WO2004012012A1 - 電子装置の製造方法 - Google Patents

電子装置の製造方法 Download PDF

Info

Publication number
WO2004012012A1
WO2004012012A1 PCT/JP2002/007760 JP0207760W WO2004012012A1 WO 2004012012 A1 WO2004012012 A1 WO 2004012012A1 JP 0207760 W JP0207760 W JP 0207760W WO 2004012012 A1 WO2004012012 A1 WO 2004012012A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
pattern
molecule
resist
electronic device
Prior art date
Application number
PCT/JP2002/007760
Other languages
English (en)
French (fr)
Inventor
Hiroshi Fukuda
Yoshiyuki Yokoyama
Takashi Hattori
Toshio Sakamizu
Tadashi Arai
Hiroshi Shiraishi
Original Assignee
Hitachi, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi, Ltd. filed Critical Hitachi, Ltd.
Priority to US10/523,247 priority Critical patent/US7642145B2/en
Priority to JP2004524096A priority patent/JP3927575B2/ja
Priority to PCT/JP2002/007760 priority patent/WO2004012012A1/ja
Publication of WO2004012012A1 publication Critical patent/WO2004012012A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration

Definitions

  • the present invention relates to a method for producing an electronic device such as a semiconductor integrated circuit, and more particularly to a lithographic process for forming the circuit pattern with high accuracy, and a radiation-sensitive composition used therefor.
  • circuit patterns of semiconductor integrated circuits are becoming finer, and in particular, the shortening of the gut length and the wiring pitch of CMOS transistors are accelerating. Accordingly, strict dimensional accuracy is required for these circuit patterns.
  • so-called chemical amplification resists are mainly used as resist materials used for forming the most advanced fine circuit patterns.
  • An acid generator that generates an acid upon exposure is uniformly dispersed in the matrix resin, and the acid catalyzed reaction of the acid generated in the exposed portion changes the solubility of the matrix resin.
  • a positive resist for KrF excimer laser exposure or electron beam (EB) exposure the (phenolic) hydroxyl group of a polyhydric styrene resin (ras), which is soluble in water, is removed by an acid-catalyzed reaction.
  • a non-polar protecting group to make it insoluble in alkali and remove the protecting group with an acid generated in the exposed area (deprotection reaction) to change the polarity of the exposed area and make it soluble in the alkaline developing solution.
  • a copolymer of an alicyclic polymer and an acryl-based resin is used as the matrix resin, and its polar group (soluble) is protected with an appropriate non-polar group as in the case of KrF to make it insoluble. It is deprotected by an acid catalyst to make it soluble. Based on deprotection reaction In a typical chemically amplified positive resist, one macromolecule is a copolymer of m protected units (monomer) and ⁇ deprotected units (m + n monomer). Yes, the solubility rapidly increases when the protection ratio mZ (m + n) falls below a certain value due to the acid-catalyzed deprotection reaction.
  • a cross-linking type and a polarity changing type are known.
  • a cross-linking agent molecule having a plurality of reactive groups that bond to a polymer constituting a resist matrix (a main element constituting a resist film) by an acid-catalyzed reaction is dispersed in the resist.
  • the polymers are bonded to each other via a cross-linking agent by a catalytic reaction of an acid generated in the exposed portion, and the molecular weight is increased (further gelled), so that the polymer becomes hardly soluble in a developer.
  • polarity change type a polymer or a molecule containing a reactive group that changes from polar to non-polar by an acid-catalyzed reaction is known.
  • a pinacol transfer reaction or a ratatonization reaction is used as such a reaction.
  • edge roughness (or line edge roughness, commonly known as LER) is a phenomenon in which the edge of a resist pattern fluctuates locally (usually within a length of about the line width), and is etched from the resist pattern to the circuit pattern. As a result, this variation is transferred, thereby affecting the performance of the circuit pattern.
  • Fig. 11 shows an example of line edge roughness. For example, in the case of a so-called 90-technology node CMOS transistor, the LER of the resist for ArF exposure applied to the gate length of 65 nm is reaching 10 nm from 6 plates, which is more than 10% of the gate length.
  • one M0S transistor can be considered as a parallel connection of transistors with different Lg.
  • problems such as excessive leakage current may occur in the portion where Lg is small as shown in FIG. For this reason, there has been a problem that desired transistor performance cannot be obtained and it is difficult to improve the performance of the semiconductor device.
  • EM electrification migration
  • the line edge roughness is usually quantified by the magnitude of the variation in the edge position in the direction perpendicular to the line (for example, 3 ⁇ of the appearance frequency frequency distribution of the edge position) as shown in the lower part of Fig. 11.
  • the positive type resist into which the polarity conversion group is introduced has a problem that it is difficult to control the solubility due to intramolecular interaction.
  • resists using fullerene have problems such as low sensitivity and necessity of organic solvent development.
  • the mechanical strength is weak, and there is a problem that the pattern is bent due to surface tension during drying after development and rinsing.
  • the heat resistance is low, the shape of the resist pattern is destroyed by heating at the time of etching the underlayer, and there is a problem that the resist pattern cannot be used as a mask at the time of underlayer etching.
  • the pattern that requires the highest precision is the gate of the transistor, but the gate layer generally has a low pattern density.
  • the acid generator is randomly dispersed in the resist, and part of the acid is generated by exposure to light, which diffuses to generate an acid-catalyzed reaction. Therefore, the spatial distribution of the acid-catalyzed reaction becomes random reflecting the random initial distribution of the generated acid, which is the variation of the resist pattern edge.
  • the second cause of roughness is due to the random variation in solubility changes caused by acid-catalyzed reactions in each of the molecules that make up the resist tomato lettuce.
  • the probability of the above-mentioned acid-catalyzed reaction occurring is given continuously as a function of the acid concentration, but the matrix Whether an acid-catalyzed reaction site, which determines the solubility of the individual molecules that form, takes place is a completely stochastic event.
  • An object of the present invention is to provide a method for manufacturing a high-performance and highly-integrated semiconductor device or semiconductor integrated circuit at low cost by using a pattern forming method with high resolution and high sensitivity and low edge roughness. Is the primary purpose.
  • a second object of the present invention is to reduce the effects of mask defects, increase in flare light, degradation in resolution, etc., when using the electron beam projection exposure method or the extreme ultraviolet (EUV) projection exposure method.
  • Another object of the present invention is to provide a method for processing the gate, wiring, or hole of the semiconductor device or the semiconductor integrated circuit with high accuracy.
  • a third object of the present invention is to provide a method for manufacturing a semiconductor device in which the thermal and mechanical characteristics of the formed pattern are improved.
  • the first object is to generate an acid in a resist (radiation-sensitive composition) film by irradiating a patterned radiation, change the solubility of the resist by a reaction using the acid as a catalyst, Form a pattern by developing
  • the above-mentioned resist includes an acid-generating group that generates an acid catalyst by irradiation with radiation, and a defined number of four or more reactive groups that cause a polarity conversion reaction by the above-mentioned acid catalyst.
  • the average distance (reciprocal of the cube root of acid concentration) between the acid catalyst molecules generated in the above-mentioned exposed part is composed mainly of molecules having a determined molecular weight (preferably having an average diameter of 2 nm or less).
  • the diffusion length of the generated acid is preferably set to 15% or less of the minimum pattern size to be transferred.
  • the acid-catalyzed reaction ⁇ ⁇ ⁇ required for one molecule to obtain solubility is set to 4 or more, or the average distance between the reactive groups (reciprocal of the cube root of the reactive group concentration) is determined by the pattern This is achieved by making the minimum dimension of the steel 1% or less. Furthermore, it is achieved by enclosing the acid generator molecule containing the acid generating group in the molecule or bonding the acid generating group to the molecule.
  • Examples of the above molecules include so-called supramolecules, for example, cyclodextrin, force rexarene, polynuclear phenol, dendrimer, fullerene, clauane ether, androsterone, (silicon) Si-based monomer 'oligomeric derivative' as a core nucleus molecule, and a part thereof.
  • Those into which a plurality of polarity conversion groups have been introduced can be used.
  • the reaction using an acid as a catalyst is a polarity conversion reaction, that is, a deprotection reaction of a hydroxyl group protected by an appropriate protecting group used in a positive type chemically amplified resist, or a negative type chemically amplified resist.
  • the used lactonization reaction and carbinol intramolecular dehydration reaction such as pinacol transfer can be used.
  • the second object the electron beam projection exposure method, or extreme ultraviolet (EUV) projection exposure 3 ⁇ 4 method, or F 2 laser projection exposure method, or the A r F excimer laser projection exposure method, the above small nucleus molecule Negative-type resists with polar groups such as ratatones and carbinol intramolecular dehydration reactions, This is achieved by processing the gate layer or the wiring layer of the CMOS integrated circuit.
  • the wiring layer, hole layer, or gate layer of the CM S integrated circuit is processed using a positive resist in which a polarity change reactive group such as a deprotection reaction is introduced into the small nucleus molecule and a visual field mask.
  • the third object is achieved by forming a desired pattern with the resist material and then performing DW curing (or EB curing) for performing a heat treatment while irradiating the pattern with DUV light (or an electron beam). Is done. Further, it is achieved by mixing a thermal crosslinking agent into the resist and heat-treating after pattern formation to thermally crosslink. Further, it is achieved by projecting and exposing a desired mask image to the resist material and then developing using a supercritical fluid.
  • FIG. 1 is a schematic diagram showing a plan configuration of a device according to one embodiment of the present invention
  • FIG. 2 is a cross-sectional view schematically showing a manufacturing process of a device according to one embodiment of the present invention.
  • FIG. 3 is a cross-sectional view schematically showing a manufacturing process of a device according to one embodiment of the present invention.
  • FIG. 4 is a cross-sectional view schematically showing a manufacturing process of a device according to one embodiment of the present invention.
  • FIG. 5 is a cross-sectional view schematically showing a manufacturing process of a device according to another embodiment of the present invention.
  • FIG. 6 is a schematic diagram showing a planar configuration of a device according to another embodiment of the present invention.
  • FIG. 7 is a characteristic diagram showing one example of an analysis result showing the effect of the present invention
  • FIG. 8 is a characteristic diagram showing another example of the analysis result showing the effect of the present invention
  • FIG. 9 is a characteristic diagram showing another example of the analysis result showing the effect of the present invention.
  • FIG. 0 is a schematic diagram showing the molecular structure and arrangement of the radiation-sensitive composition according to one example of the present invention.
  • FIG. 11 is a schematic diagram showing an example of line edge roughness (L ER). BEST MODE FOR CARRYING OUT THE INVENTION
  • the grounds for the means for achieving the first object will be described.
  • the main causes of the edge roughness are: 1) melting of molecules near edges; random fluctuation of non-dissolving; 2 ) Localization of acid generated in the resist by exposure.
  • to suppress random fluctuation of molecular dissolution / non-dissolution it is necessary to satisfy at least one of the following three conditions, and it is more preferable to satisfy three conditions. I understood.
  • Figure 7 shows an example of the analysis of the dependence of the required number of reactions k and k on the LER. It can be seen that LER is suppressed by setting k to 4 or more and by bringing the variation of k closer to 0. Although the example in FIG. 7 is for very limited conditions, the above results are almost true for a wider range of conditions.
  • the reactive group preferably forms a chemically amplified resist by changing the solubility of a molecule in a developer by an acid catalyzed reaction.
  • the reaction group and the reaction mechanism for controlling the solubility by binding to the above-mentioned mother nucleus molecule include a hydroxyl group protected with a suitable protecting group widely used in the known positive type chemical amplification system resist. Or an intramolecular dehydration reaction such as a ratatonization reaction and a pinacol transfer reaction used in a negative type chemically amplified resist.
  • the polymer chains are entangled by themselves and enclose the reaction site inside. Since the reaction site buried inside does not contribute to the solubility of the molecule, the effective number of reaction sites is not necessarily proportional to the molecular weight.
  • the reaction site is added to the outside of the mother nucleus molecule and directly contributes to the determination of the solubility of the molecule. This increases the effective reaction site density.
  • the required number of acid-catalyzed reactions can also be achieved by lowering the concentration of the developing solution in the case of a positive resist and increasing the concentration in the case of a negative resist.
  • the diffusion length of acid is sufficiently larger than the average distance between the closest acid molecules in the exposed portion. Is preferred. However, if the acid diffusion length is long, the sharp acid concentration distribution generated in the resist film due to exposure is blurred, and the effective image contrast is reduced and the resolution is reduced. In addition, roughness caused by random fluctuation of dissolution / non-dissolution of the above molecules is On the contrary, it increases. Therefore, in order to suppress this, it is preferable to satisfy the following conditions.
  • FIG. 8 shows an analysis example of the dependence of LER on the required number of reactions k and the acid diffusion length.
  • the acid diffusion length is set to 15% or less of the pattern size (15 nm or less because the pattern analysis example assumes a pattern size of 90 nm). This indicates that LER is suppressed.
  • Figure 9 shows an example of the analysis of the average distance between the closest acid catalyst molecules of LER and the diffusion length of acid. However, Fig. 9 considers only the components of the LER due to the acid distribution. It can be seen that the components due to acid distribution in LER are suppressed by making the distance between acid molecules sufficiently smaller than the acid diffusion length (approximately 1/3 or less).
  • LER can be suppressed by setting the distance between acid molecules to about 5% or less of the pattern size (the analysis example in the figure assumes a pattern size of 90 mm, which is 5 mm or less).
  • the analysis examples in Figs. 8 and 9 are for very limited conditions, the above results are almost valid for a wider range of conditions.
  • the diffusion length is preferably 6 nm or less from (Condition 4). Diffusion length suppression is typically achieved by lowering the beta temperature after exposure, reducing the time, or using acids with small diffusion coefficients (PAGs with relatively large anion portions). .
  • the conditions for suppressing the acid diffusion length all act in the direction of lowering the resist sensitivity (increase in the exposure required for pattern formation). However, the increase in the acid generator concentration improves the sensitivity. Cancels out and the sensitivity is kept almost equal.
  • the PAG concentration must be increased as the size is reduced.
  • the PAG molecules aggregate and the PAG and the polymer undergo phase separation.
  • the solid solubility limit of the PAG concentration is around 10 wt%, and there is a problem that it is difficult to increase the concentration while dispersing the PAG uniformly in the resist film. The same applies to the case where the above supramolecules are used for the mother nucleus. Therefore, in the present invention, in order to solve the above problem and achieve a desired acid generator concentration, the acid generator molecule is included or bonded to a molecule serving as a mother nucleus of the resist.
  • the number of polymer molecules N p For a conventional resist (assuming a polymer molecular weight of 20000, PAG weight ratio of 2-5%; polymer size of 3-4 nm, average distance between PAGs of 3 nra), the number of polymer molecules N p .
  • the ratio between the number of lymer and the number N pag of PAG molecules is about 2: 1 to 1: 1.
  • the conventional polymer is replaced with a molecule having the supramolecule as the mother nucleus, the molecular weight is reduced by about a fraction to one order of magnitude compared to the conventional polymer.
  • the ratio of the number of molecules is about 10: 1 to 5: 1. Therefore, the PAG concentration can be increased by nearly one order of magnitude by binding and including PAG to individual supramolecules.
  • Cyclodextrin easily includes a hydrophobic group such as a phenyl group, and this property has already been widely and industrially used in foods, cosmetics, and the like. This is also the case with force allenes and dendrimers.
  • PAG By having the property as a guest for the supramolecular host, PAG can be introduced ideally in a 1: 1 ratio for each supramolecule.
  • the cation portion of PAG can be a hydrophobic group of a size that can be included in cyclodextrin.
  • an acid generating group may be bonded to the mother nucleus molecule without inclusion. A method for attaching an acid-generating group to a polymer has been reported. By using these known methods, an acid-generating group can be bonded to the above-mentioned various supramolecules instead of the polymer. This can greatly increase the amount of PAG introduced.
  • the main layer of the CMOS LSI should have a negative resist for the gate layer and a positive resist for the contact and via layers and a wiring layer (damascene) from the viewpoint of the pattern area ratio.
  • a resist with positive polarity it is desirable to use a resist with positive polarity.
  • a negative resist is indispensable for applying a dark-field mask to a gate layer that requires a reduction in edge roughness.
  • the negative resist is preferably a polarity-change type rather than a cross-link type. That is, in the case of the cross-linked negative type resist disclosed in Japanese Patent Application Laid-Open No.
  • a cross-linking reaction occurs (via a cross-linking agent) in an exposed portion or a partially exposed portion near a pattern edge.
  • the bonding between matrix molecules proceeds. Since this process is a random stochastic process, the cross-linked molecules generated in the partially exposed portion near the pattern edge have a wide molecular weight distribution, which makes it difficult to suppress edge roughness.
  • a positive-type or negative-type bipolar resist is formed by adding a reactive group that causes a deprotection reaction using an acid catalyst or a ratatonation reaction or a pinacol transfer reaction to a small mother nucleus molecule.
  • these can be used properly depending on the application layer.
  • thermal crosslinking agent in the resist in advance and performing a heat treatment after pattern formation, thermal crosslinking occurs between the above supramolecules and the thermal resistance is improved.
  • thermal crosslinking occurs between the above supramolecules and the thermal resistance is improved.
  • an attractive force is generated between the resist patterns due to the surface tension of the rinsing liquid (water), and the patterns are bent or fall down. Therefore, bending can be prevented by using development with a supercritical fluid having a small surface tension.
  • a supercritical fluid having a small surface tension.
  • the material according to the present invention since the number of hydroxyl groups of the supramolecule serving as the core is constant, it can be completely protected and has solubility in a nonpolar supercritical fluid such as carbon dioxide. It can be done.
  • the exposed part turns polar by deprotection, becomes insoluble in nonpolar supercritical fluid, and becomes negative.
  • a certain number of reactive groups that can be lactonized by an acid catalyst can be provided to the mother nucleus molecule.
  • a positive resist can be formed by ratating all the reactive groups at a predetermined exposure dose and making the exposed portions nonpolar.
  • the positive resist is composed only of completely protected molecules, it may be difficult to achieve sufficient solubility even when exposed.
  • a completely mixed molecule of partially protected or unprotected molecule may be used as a resist matrix.
  • ratatonic molecules may be appropriately mixed. Thereby, the resist sensitivity is improved.
  • the probability P that each molecule acquires solubility is given as a function of the energy dose I.
  • the above-mentioned probability changes with the change in the dose in the direction perpendicular to the pattern edge (X direction).
  • the probability of each molecule acquiring solubility in the completely light-shielded area is almost 0, while the probability of each molecule acquiring solubility in the completely exposed area is considered to be almost 1.
  • Can be The resist pattern edge after development is generated in the transition region where the above probability changes from 0 to 1. You. In the transition region, the position of the edge is uncertain because the molecule may or may not gain solubility. This causes roughness. Defining the width of the transition region for convenience here as the range where the probability of gaining solubility is between 10% and 90%, the roughness LER is
  • LER (0.9-0. L) /
  • edge (0.9-0.1) /
  • edge where dP / dx is the solubility acquisition probability distribution at the edge position.
  • dP / d (logI) depends only on the material, and NLS depends only on the optical system.Roughness can be obtained by maximizing the dP / d (logI) of the material and maximizing the NLS of the optical system. Be suppressed. From the above equation, the ratio between the dimension and LER is expressed by the following equation.
  • NLS'W is kept constant. (Actually, however, the effective value decreases due to acid diffusion.) Therefore, in order to maintain a constant ratio of dimension and LER, it is necessary to keep dP / d (logI) raax constant. If the effective value of NLS edge 'W decreases due to acid diffusion, dP / d (logl) max must be further increased. On the other hand, dP / d (logl) max depends on the number of reactions per molecule N site required for obtaining molecular solubility. N site depends on the molecular size and the volume density of the number of reaction sites ,
  • nsite is the number of reaction sites per unit volume
  • d m . leeule is the representative dimension of the molecule. (A lot of reactions are required to dissolve large molecules.) Therefore, to maintain a constant ratio of dimensions and LER, nsite'd m . lecule 3 needs to be kept constant. d m . when it is in 1 / k the lecule, it is necessary to multiply the nsite k 3.
  • the LER is scaled down and scaled. Can be performed.
  • reaction solution was poured into 400 ml of water, extracted with ethyl acetate, and the organic layer was washed three times with water. After the organic layer is dried over sodium sulfate, sodium sulfate is filtered off, the solvent is distilled off from the filtrate under reduced pressure, concentrated, reprecipitated with n-hexane, and then dried to give tert-butyl acetate. /3—10.3 g of cyclodextrin was obtained (molecular weight 1932).
  • tert-butyl acetic acid / 3-cyclodextrin (I) 100 parts by weight of the obtained tert-butyl acetic acid / 3-cyclodextrin (I), 5 parts by weight of an acid generator, triphenylsulfonium nonaflate, and 0.01 part by weight of phenyl pyridine, 300 parts by weight of hexahoxanone
  • the mixture was dissolved in the solution, and the solution was filtered using a Teffin letter having a pore size of 0.20 ⁇ m to obtain a resist solution.
  • the above resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C. for 2 minutes to form a resist film having a thickness of 150 nm.
  • the resist film was exposed to a line-and-space pattern using an electron beam drawing apparatus with an acceleration voltage of 50 kV. After that, a post-exposure bake was performed at 120 ° C for 2 minutes, and development was performed with an aqueous solution of tetramethylammonium hydroxide (0.119% by weight) at 23 ° C for 60 seconds, followed by rinsing with pure water for 30 seconds. At an exposure of 32 ⁇ C / cm 2 , a positive 60 nm line and space pattern was obtained.
  • the number of protecting groups per molecule of the above compound (I) is 7, and its molecular weight is about 1700.
  • the number of deprotection reactions required per molecule to dissolve is It increases as the developer concentration decreases.
  • the developer concentration was set to a concentration that would result in dissolution when approximately 6 deprotections occurred.
  • the above resist was applied on a NaC1 plate. This was irradiated with light from a xenon mercury lamp through a 250 nm interference filter.
  • the SS CZcm 2 which was the exposure amount used for pattern formation in the electron beam lithography with the acceleration voltage of 50 kV described above, was irradiated with KrF.Kisma laser light corresponding on the sensitivity characteristic curve. It was measured by an infrared absorption spectrum before and after exposure and after baking. As a result, it was found that 6 to 7 t-butyl groups were out of 7 after baking after exposure.
  • t-butyl acetic acid-cyclodextrin (I) 100 parts by weight of t-butyl acetic acid-cyclodextrin (I), 15 parts by weight of an acid generator, dimethinorefle-sulfoyum nonaflate, and 0.05 part by weight of phenylpyridine are dissolved in 500 parts by weight of hexanone.
  • the solution was filtered using a 0.20 Teflon filter to prepare a resist solution.
  • the above resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and after the coating, heat-treated at 100 ° C. for 2 minutes to form a 150 nm-thick resist film.
  • the content of the acid generator is as large as 15 parts by weight, which is almost equivalent to the amount of one molecule of the acid generator per one molecule of acetic acid t-butylated iS-cyclodextrin (I). I do.
  • the acid generator since the acid generator was included in the cyclic portion of the cyclodextrin structure, no layer separation was observed, and a uniform coating film was formed. As a result, it was possible to include one acid generator per cyclodextrin structure on average. Therefore, it was possible to solve the problem that it was difficult to increase the concentration of the acid generator while uniformly dispersing the acid generator in the resist film.
  • dimethylphenylsulfonidymnonaflate was used as the acid generator.
  • the acid generator having a hydrophobic portion such as a phenyl group capable of being included in cyclode or xytrin is not limited thereto.
  • a variety of things can be used. Specifically, the above-mentioned ones having a phenyl group on the cation side, or those having a phenyl group on the anion side such as triphenylinoles / levonium-l-trans-sulfonate are also used as the above-mentioned salt. Desired ,.
  • any substance that generates a strong acid upon irradiation with actinic radiation may be used.
  • the sulfonic acid imide include N-triphenylonelomethanesulfonyloxynaphthylimide and the like, and phenyls such as N-toluenesulfonyloxynaphthoylimid and N-camphorsulfonyloxynaphthoylimid.
  • Sulfonic acid imide having a base camphor structure is preferable because it is easily included in the cyclodextrin structure.
  • reaction solution was poured into 400 ml of water, extracted with ethyl acetate, and the organic layer was washed three times with water. After the organic layer is dried over sodium sulfate, sodium sulfate is separated by filtration, the solvent is distilled off from the filtrate under reduced pressure, concentrated, reprecipitated with n-hexane, and then dried to give ⁇ -petit mouth. 13.5 g of tonated ⁇ -cyclodextrin ( ⁇ ) was obtained (molecular weight: 1969).
  • This compound (m) was dissolved in 100 parts by weight of loo part by weight, 14 parts by weight of dimethylphenylsulfonium triflate and 0.05 part by weight of 2-benzylpyridine as an acid generator in 1-methoxy-2-propanol. This was filtered using a Teflon filter with a pore size of 0.20 ⁇ to obtain a resist solution.
  • the above resist solution is spin-coated on a silicon substrate treated with hexamethyldisilazane, and after coating, heat-treated at 100 ° C for 90 seconds to form a resist film with a thickness of 0.25 ⁇ . did.
  • the content of the acid generator is as large as 14 parts by weight, and the amount of the acid generator is almost one molecule per one molecule of the cyclodextrin structure.
  • the acid generator was included in the cyclic portion of the cyclodextrin structure, no layer separation was observed, and a uniform coating film was formed. This made it possible, on average, to include one acid generator per cyclodextrin structure. Therefore, it was possible to solve the problem that it was difficult to increase the concentration of the acid generator while uniformly dispersing the acid generator in the resist film, which was difficult in the conventional resist.
  • the resist film was exposed using an electron beam projection exposure system. After exposure, a beta test was performed at 120 ° C for 90 seconds. Development was carried out for 10 seconds with an aqueous solution of tetramethylammonium hydroxide at 23 ° C (0.048 wt./.), followeded by rinsing with pure water for 15 seconds. As a result, a high-sensitivity, negative 50 nm line-and-space pattern with an exposure dose of 10 CZcm 2 was obtained. When the edge roughness was measured, it was extremely small at 2 nm or less.
  • the resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C. for 2 minutes to form a resist film having a thickness of 150 dishes.
  • the resist film was exposed to a line and space pattern using an electron beam lithography system with an acceleration voltage of 50 kV. Thereafter, a post-exposure bake is performed at 120 ° C for 2 minutes, and the development is performed with an aqueous solution of tetramethylammonium hydroxide (0.48 wt./.) At 23 ° C for 60 seconds, followed by pure water for 30 seconds. Rinsed. At a light exposure of 25 / CZcm 2 , a positive 50 plate line-and-space pattern was obtained.
  • the number of protecting groups per molecule of the above compound (IV) is 8, and its molecular weight is 1,120.
  • the number of deprotection reactions per molecule required for dissolution increases with decreasing developer concentration.
  • the developer concentration was set to a concentration that would cause dissolution when approximately 6 deprotections occurred.
  • the above resist film was etched by a parallel plate type reactive ion etching apparatus using CHF 3 gas.
  • the conditions used were CHF 3 flow rate 35 sccm, gas pressure 10 OmTorr, and RF bias power 150 W.
  • the etch rate of this resist was 1.25 when the polyhydroxystyrene of city and Osaka was 1.0, indicating that the dry etching resistance was high.
  • the above resist was applied on a NaC1 plate. This was irradiated with light from a xenon mercury lamp through a 250 dish interference filter. At this time, a KrF excimer laser beam corresponding to 25 ⁇ 0 TM 2 , which is the exposure amount used for pattern formation in the electron beam lithography with the acceleration voltage of 50 kV described above, on the sensitivity characteristic curve was irradiated. It was measured by infrared absorption spectrum before and after exposure and after baking. As a result, it was found that about 7 out of 8 ethoxyxetyl groups were removed after beta after exposure.
  • the content of the acid generator is as large as 25 parts by weight, and 1 molecule of the acid generator is contained with respect to 1 molecule of 1-ethoxyxylating force lix [4] resorcinol allene 0V).
  • the acid generator is included in the annular portion of the reticulose [4] resorcinol allene structure, no layer separation is observed and a uniform coating film is formed.
  • the resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C. for 2 minutes to form a resist film having a thickness of 120 nm.
  • the resist film was exposed to a line-and-space pattern using an electron beam lithography system with an acceleration voltage of 70 kV. Thereafter, a post-exposure bake was performed at 120 ° C for 2 minutes, and the development was performed with an aqueous solution of tetramethylammonium hydroxide (0.48% by weight) at 23 ° C for 60 seconds, followed by rinsing with pure water for 30 seconds. .
  • a high-sensitivity, positive 50 nm line-and-space pattern with an exposure dose of C / cm 2 was obtained. When the line edge roughness of the obtained pattern was measured with a scanning electron microscope, it was found to be as small as 2 nm or less.
  • dimethyl persulfonium nonaflate was used as the acid generator.
  • the acid generator that can be included in the cyclic portion of the force rex [4] resorcinol allene structure is not limited to this, but various types are used. Things can be used.
  • a description will be given of an example in which a negative resist using force ricks [4] resorcinarene as a mother nucleus is synthesized.
  • reaction solution was poured into 400 ml of water, extracted with ethyl acetate, and the organic layer was washed three times with water. After the organic layer was dried over sodium sulfate, sodium sulfate was filtered off, the solvent was distilled off from the filtrate under reduced pressure, concentrated, reprecipitated with ⁇ -hexane, and then dried to obtain ⁇ -butyrolataton. 14.6 g of calix [4-resorcinallene (V) was obtained (molecular weight: 1217).
  • Compound (VI) was dissolved in 100 parts by weight, 21 parts by weight of dimethylphenylsulfonium triflate as an acid generator and 0.05 part by weight of 2-benzylpyridine were dissolved in 300 parts by weight of 1-methoxy-2-propanol. This was filtered using a Teflon filter with a pore size of 0.20 / im to obtain a resist solution.
  • the above resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane. After coating, the resist solution was heated at 100 ° C for 90 seconds to form a 0.20-m-thick resist film. .
  • the content of the acid generator is as large as 21 parts by weight, which is equivalent to the amount of one molecule of the acid generator per one molecule of the calix [4] resorcinarene structure.
  • the acid generator was included in the annular portion of the Rick Rix [4] resorcinol allene structure, no layer separation was observed and a uniform coating film could be formed.
  • the resist film was exposed using an electron beam projection exposure system.
  • tert-butyl acetic acid fullerene 100 parts by weight of the obtained tert-butyl acetic acid fullerene, 5 parts by weight of an acid generator triphenylsulfonidum nonaflate, and 0.01 part by weight of phenylpyridine are dissolved in 300 parts by weight of propylene glycol monomethyl ether, and the pore size is 0.
  • the solution was filtered using a 20 ⁇ Teflon filter to obtain a resist solution.
  • the resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C. for 2 minutes to form a resist film having a thickness of 100 nm.
  • the resist film was exposed to a line and space pattern using an electron beam lithography system with an acceleration voltage of 50 kV. Then 120. After exposure of the C2 minute perform base one click, development was carried out for 30 seconds at tetramethylammonium two Umuhi Dorokishido aqueous 23 ° C (0. 048 weight 0/0), followed by rinsing for 10 seconds pure water. At an exposure of 22.5 CZ cm 2 , a positive 50 nm line and space pattern was obtained. The line edge roughness of the obtained pattern was measured with a scanning electron microscope and found to be as small as 2.5 nm.
  • Example 4 Example 4:
  • Octakis (4-hydroxyphene) ethyl sesquioxane 15.8 g (8.0 marl) is dissolved in tetrahydrofuran, and there is 4.6 g (0.064 mol) of ethyl vinyl ether. ), 0.30 g of pyridinium mu-p-toluenesulfonate, sealed, and allowed to react for 10 hours. After the reaction, 200 ml of ethyl acetate was added, and the mixture was washed three times with 150 ml of water.
  • the solution was filtered using a Teflon filter (1) to obtain the first resist solution.
  • a second resist solution mainly composed of normal nopolak resin is spin-coated, and after coating, heat-treated at 200 ° C for 15 minutes to give a film thickness of 500 nm. No ha An organic beta film was formed.
  • the first resist solution was spin-coated, followed by a heating treatment at 100 ° C. for 2 minutes to form a resist film having a thickness of 100 nm.
  • the resist film was exposed to a line-and-space pattern using an electron beam lithography system with an acceleration voltage of 50 kV. After that, bake after exposure at 120 ° C for 2 minutes. C was performed for 60 seconds with an aqueous solution of C tetramethylammonium hydroxide (2.38% by weight), and then rinsed with pure water for 30 seconds. At an exposure dose of 10 Ccm 2 , a positive 50 nm line-and-space pattern was obtained. When the line edge roughness of the obtained pattern was measured with a scanning electron microscope, it was found to be as small as two plates.
  • the number of protecting groups per molecule of the above compound is 8, and its molecular weight is about 2400.
  • the number of deprotection reactions per molecule required for dissolution increases with decreasing developer concentration.
  • the developer concentration was set to a concentration that would result in dissolution when six of them were deprotected.
  • the above resist was applied on a NaC1 plate. This was irradiated with light from a xenon mercury lamp through a 250 dish interference filter. At this time, the exposure dose of 10 / CZcm 2 used for pattern formation in the electron beam lithography with the acceleration voltage of 50 kV was irradiated with a KrF excimer laser beam corresponding to the sensitivity characteristic curve. It was measured by an infrared absorption spectrum before and after exposure and after baking. As a result, it was found that six out of eight ethoxyxyl groups were removed after the post-exposure bake.
  • etching was carried out of the hard beta organic film.
  • the above registry contains 18% silicon by weight.
  • the etch rate of this resist was 1/22 when the novolak resin that was hard beta was set to 1, and the pattern could be transferred to the nopolak resin with a high selectivity. In other words, a high-precision two-layer resist The process was possible.
  • the first resist according to the present example had relatively small absorption at 157 dust, which is the exposure wavelength of the F 2 excimer laser, and had an absorbance of 1.9 at a film thickness of 1 m. Therefore, this resist was processed under the same process conditions as above, with a film thickness of lOOnm. It was evaluated using an excimer laser stepper (NA0. 80), with an exposure dose 17 mJ / cni 2, very small positive type 6 onm line and space pattern edge roughness was obtained.
  • NA0. 80 excimer laser stepper
  • the positive type resist was described.
  • cytakis (dimethylsilicoxy) silsesquioxane was used as a mother nucleus molecule, and the polarity was changed from polar to nonpolar by an acid-catalyzed reaction.
  • a reactive group for example, a ⁇ -hydroxycarboxylic acid structure can be used.
  • Each of the above two types of photosensitive resin composition solutions was dropped on a silicon wafer, spin-coated, and then heat-treated at 120 ° C for 2 minutes to obtain a coating film having a thickness of 0.4 zm.
  • a coating film having a thickness of 0.4 zm.
  • the line edge roughness of the pattern was measured, it was 8 nra for the comparative resist, but was reduced to about 3 dishes for the resist according to the present invention.
  • the main component of the matrix constituting the resist is a conventional polymer, and thus the edge roughness is the same as that of the conventional resist, whereas in the present embodiment, the roughness is reduced.
  • the number of protecting groups per molecule of TPP A-1000 P whose phenolic hydroxyl group is protected by the above THP is 6, and its molecular weight is about 1500.
  • the number of deprotection reactions per molecule required for dissolution increases with decreasing developer concentration.
  • the developer concentration was set to a concentration that would result in dissolution when four were deprotected.
  • TPPA-1000P manufactured by Honshu Chemical Industry Co., Ltd.
  • 2-bromo_ ⁇ -petit mouth ratataton 9.33 g, 56.5 mmol
  • the solution was dissolved in 00 ml, and 2.71 g (113 mmol) of sodium hydride was gradually added thereto. After stirring at room temperature for 12 hours, the precipitated sodium bromide was filtered off. The filtrate was mixed with 50 ml of ethinole acetate and washed with 500 ml of dilute hydrochloric acid and then with 50 ml of water.
  • this compound (II) 100 parts by weight of this compound (II), 10 parts by weight of triphenylsulfonium dimnonaflate as an acid generator, and 0.05 part by weight of 2-benzylpyridine were dissolved in 1000 parts by weight of 1-methoxy-2-propanol. This was filtered using a Teflon filter with a pore size of 0.20 m to obtain a resist solution.
  • the resist solution described above was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C for 90 seconds to form a 0.35 m-thick resist film.
  • the resist film was exposed using an electron beam projection exposure apparatus. After exposure After exposure at 120 for 90 seconds, beta was performed. Development was performed for 10 seconds in an aqueous solution of tetramethylammonium hydroxide (2.38% by weight) at 23 ° C, followed by rinsing with pure water for 15 seconds. As a result, a negative-type resist pattern with extremely small edge roughness was formed.
  • the resist film was exposed using an ArF excimer laser stepper (NA0.60) through a Levenson-type phase shift mask. After that, post-exposure bake and development under the above process conditions were performed. As a result, a negative 0.10 ⁇ line and space pattern with extremely low edge roughness was obtained at an exposure dose of 15 mJ / cm 2 .
  • 21-promo ⁇ -butyrolactone was used as the lactone compound to react with the polynuclear phenol.
  • 2-bromo-13-dimethyl- ⁇ -petit mouth ratatone, 2-promo ⁇ -parolelactone, etc. which can be used.
  • the number of reactive groups per molecule of the above compound (X) is 6, and its molecular weight is 1,600.
  • the number of ratatonization reactions per molecule required for dissolution increases as the developer concentration increases.
  • the developer concentration was set to a concentration at which insolubilization occurred when the five reactive groups were lactonized.
  • this compound (XIV) 100 parts by weight of this compound (XIV), 10 parts by weight of triphenylsulfon-dimethylnonaflate as an acid generator, and 0.05 parts by weight of 2-benzylpyridine were dissolved in 1,000 parts by weight of 1-methoxy-2-propanol. This was filtered using a Teflon filter with a pore size of 0.20 m to obtain a resist solution. The above resist solution was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C for 90 seconds to form a 0.35 / m-thick resist film.
  • the resist film was exposed using an electron beam projection exposure apparatus. After exposure, a post-exposure bake was performed at 120 ° C. for 90 seconds. Development was performed for 10 seconds with an aqueous solution of tetramethylammonium hydroxide (23.38 weight 0 /.) At 23 ° C, followed by rinsing with pure water for 15 seconds. As a result, a resist pattern with extremely small edge roughness was formed.
  • the number of reactive groups per molecule of the compound (XIV) is 6, and its molecular weight is 2,275.
  • the number of lactonization reactions per molecule required for dissolution increases as the developer concentration increases. In this example, the developer concentration was set to a concentration that would be unnecessary when the six reactive groups were converted into lactones.
  • the resist film was exposed using an electron beam projection exposure system.
  • Post-exposure bake was performed at 120 ° C for 90 seconds.
  • Development was performed for 10 seconds in an aqueous solution of tetramethylammonium hydroxide (0.05% by weight) at 23 ° C, followed by rinsing with pure water for 15 seconds.
  • a resist pattern with extremely small edge roughness was formed.
  • the resist film was exposed through an Levenson-type phase shift mask using an ArF excimer laser stepper (NA 0.60). After that, perform post-exposure beta and development under the above process conditions. Was. As a result, a negative 0.10 m line and space pattern with extremely low edge roughness was obtained at an exposure of 2 O mjZcm 2 .
  • the number of protecting groups per molecule of the above compound (X V) is 6, and its molecular weight is 3,100.
  • the number of deprotection reactions per molecule required for dissolution increases with decreasing developer concentration.
  • the developer concentration was set to a concentration that would result in dissolution when six of them were deprotected.
  • tert-butyl cholic acid was used as the steroid compound having tert-butyl ester, but tert-butyl cholesteric acid deoxycholic acid, tert-butyl cholesterol enolate, ursodeoxycotyl tert-butyl ester
  • tert-monophthalic acid mono-oleate can be used.
  • Example 1 described on a silicon substrate treated with hexamethyldisilazane
  • the positive resist solution was spin-coated and heated at 100 ° C. for 2 minutes to form a resist film having a thickness of 150 dishes.
  • Various patterns were projected and exposed on the resist film using an electron beam projection exposure apparatus with an accelerating voltage of 100 kV, and further baked after exposure at 120 ° C. for 3 minutes. Thereafter, the above wafer was developed using a supercritical developing device as described below to obtain a negative pattern.
  • a 40-line line space pattern could be formed without causing the resist pattern to collapse.
  • the line pattern roughness of the obtained pattern was smaller than that of a conventional resist based on a polymer.
  • the negative resist solution described in Example 2 was spin-coated on a silicon substrate treated with hexamethyldisilazane, and then heated at 100 ° C for 2 minutes to form a resist film having a thickness of 150 nm. .
  • Various patterns were projected and exposed on this resist film using an EUV reduction projection exposure apparatus, and a predetermined heat treatment and image development were performed to form a resist pattern with extremely small edge roughness. After that, DUV curing was performed on the above resist pattern.
  • the base film was processed by dry etching using the resist pattern as a mask, and the resist pattern was transferred to the base film.
  • the resist pattern Regardless of the rise in substrate temperature during dry etching, the resist pattern maintained a relatively good (square) shape during etching, and an underlying pattern with very small edge roughness and a good shape was obtained.
  • a DUV curing device for a KrF exposure compatible resist was used, but this is not a limitation. Similar effects were obtained by performing EB curing.
  • An EB curing device for ArF resist was used, but not limited to this.
  • the resist when dry etching is performed without performing the above-described curing, the resist is thermally deformed due to an increase in the substrate temperature during the dry etching, so that there is a problem that a dimensional shift in pattern transfer to a base increases. .
  • Example 11 The curing process of this embodiment can be applied to other resist materials shown in the present invention.
  • Example 11 The curing process of this embodiment can be applied to other resist materials shown in the present invention.
  • Embodiment 2 In this embodiment, a method for manufacturing a semiconductor device using the material of Embodiment 1 will be described with reference to FIGS.
  • Figure 1 shows a part of a logic gate (a two-input NAND gate) in a typical logic LSI (semiconductor integrated circuit device).
  • FIG. The two n-type MOS transistor elements nMOS 1 are provided on the ri-type semiconductor region n1 on the surface of the p-type well region PW formed on the semiconductor substrate.
  • -Type MOS transistor elements p MOS 1 are formed on the p-type semiconductor region p 1 on the surface of the n- type well region NW.
  • Gate electrodes 2A and 2B are shared by nMOSl and pMOSl.
  • the gate electrode 2A may be, for example, a single-layer film of low-resistance polycrystalline silicon, a polysilicon structure having a silicide layer provided on the low-resistance polycrystalline silicon film, or a barrier such as tantalum nitride on the low-resistance polycrystalline silicon film.
  • a metal film such as tungsten is deposited through the film, a polymetal structure, or a barrier film such as titanium nitride is deposited in a trench dug in the insulating film, and copper is further deposited thereon. It has a damascene gate electrode structure formed by embedding a metal film such as. The portion of the semiconductor substrate below the gate insulating film below the gate electrode 2A becomes a channel region.
  • the wiring 3 A is, for example, a power wiring on the high potential side (for example, about 0.5 to 1.5 V), and through the contact hole CNT 1 as shown in FIG. It is electrically connected to the type semiconductor region p1.
  • the wiring 3 B is, for example, a power supply wiring on the low potential side (for example, about 0 V), and is electrically connected to the n-type semiconductor region n 1 through the contact hole CNT 2 as shown in FIG. .
  • the wiring 3C is an input wiring of the above-mentioned circuit, and is in contact with and electrically connected to the wide portion of the gate electrode 2A through the contact hole CNT3.
  • the wiring 3D is electrically connected to both the n-type semiconductor region n1 and the p-type semiconductor region p1 through the contact holes CNT4 and CNT5.
  • the wiring 4A is electrically connected to the wiring 3D through the through hole TH1.
  • the wirings 3A to 3D are so-called intra-cell wirings, all of which are formed in the first wiring layer.
  • An actual integrated circuit will include a number of different types of logic gates, including elements similar to those described above, and these logic gates will be in layers one through They are connected to each other by the wiring of the five wiring layers.
  • FIG. 2 is a schematic diagram showing a manufacturing process of the semiconductor device using a cross-sectional view of a typical portion of the device.
  • the M0S transistor 22 is formed (Fig. 2, second stage), and further, the contact hole 23, the first layer wiring 24 and an inter-layer insulation film 25 (Fig. 2, 3rd stage), an interlayer insulation film 26 and a connection hole 27 are formed thereon, and a second-layer interconnection 28 and interconnection insulation A film 29 is formed (FIG. 2, fourth stage).
  • the wiring (not shown) of the third layer or more is formed in the same manner.
  • the radiation-sensitive material according to the present invention was used depending on the exposure method used for forming each pattern.
  • the formation of a gate pattern that requires particularly high precision will be further described. Note that the area ratio of the gate pattern in all chips is small.
  • a predetermined heat treatment step was performed to form a resist film 32 (FIG. 3 (a)).
  • EUV extreme ultraviolet
  • EUV extreme ultraviolet
  • EUV extreme ultraviolet
  • a reflective mask for extreme ultraviolet (EUV) projection exposure on which the same layer wiring pattern for connecting a desired gate and a gate is formed is formed on the mask. This pattern was projected and exposed on the resist film 32.
  • a predetermined heat treatment step was performed, and a region other than the extreme ultraviolet (EUV) exposed portion was removed by a developing process using an alkali developing solution to form a rest pattern 33 (FIG. 3 (b)).
  • the resist pattern 33 was formed planarly so as to cover the desired pattern.
  • a gate pattern with a small roughness can be formed with high accuracy, and therefore, high performance without deterioration of element performance due to local short channel effect caused by roughness.
  • Semiconductor device was manufactured.
  • a negative resist is used, a reflection mask having a gate pattern portion as a reflection surface is used.
  • the area ratio of the gate pattern to the entire chip is small, the ratio of the reflection surface to the mask is small. Is reduced (so-called dark field type), the probability of occurrence of phase defects on the reflection surface is reduced, and the mask production yield and chip production yield are improved.
  • a method of forming a copper wiring by a dual damascene method according to the present invention will be described.
  • a low dielectric constant interlayer film 41 and a cap film 42 are deposited on a wiring substrate 40 having a smooth surface as shown in FIG. 4 (a), and a positive resist material described in Example 2 is applied.
  • a predetermined heat treatment step was performed to form a resist film 43 (FIG. 4 (a)).
  • an extreme ultraviolet (EUV) projection exposure reflective mask having a desired connection hole pattern as a reflection pattern was projected and exposed on the resist film 43 using an extreme ultraviolet (EUV) projection exposure apparatus. .
  • EUV extreme ultraviolet
  • a predetermined heat treatment step was performed, and an extreme ultraviolet (EUV) exposure region was removed by a development process using an alkali developer to form a resist pattern 44 having an opening at a desired connection hole position (see FIG. 4 (b);).
  • EUV extreme ultraviolet
  • the resist pattern 44 is used as an etching mask, the cap film and the low dielectric constant interlayer film exposed therefrom are etched, and then the resist pattern 44 is removed (FIG. 4 (c)), and the connection hole is formed. 4 5 Formed.
  • a predetermined heat treatment step was performed to form a resist film 46 (FIG. 4D).
  • a reflective mask for extreme ultraviolet (EUV) projection exposure having an area other than the desired wiring groove pattern as a reflection pattern is projected onto the resist film 46 using an extreme ultraviolet (EUV) projection exposure apparatus.
  • EUV extreme ultraviolet
  • a predetermined heat treatment step was performed, and a region other than the extreme ultraviolet (EUV) light exposed portion was removed by a developing process using an alkali developing solution, thereby forming a resist pattern 47 having an opening in a desired wiring groove portion ( Figure 4 (e)).
  • the resist pattern 47 as an etching mask, the cap film and the low dielectric constant interlayer film exposed therefrom are etched, and then the resist patterns 4.7 are removed (FIG. 4 (f)), and a desired wiring groove is formed. 4 8 formed.
  • a force using an extreme ultraviolet (EUV) projection exposure method as a main exposure method is not limited thereto, and various methods can be used.
  • EUV extreme ultraviolet
  • an electron beam projection exposure method, an ArF excimer laser exposure method, or the like may be used.
  • the radiation-sensitive material it is preferable that the radiation-sensitive material be appropriately changed without departing from the gist of the present invention.
  • the above description relates to a method for manufacturing a semiconductor device, but is not particularly limited thereto.
  • Example 12 liquid crystal displays, magnetic heads, optical disk masters, magnetic disk masters (patterned Media, optical integrated circuits, various types of MEMS (micro-electromechanical systems), etc., as long as the pattern is transferred onto the sensitive substrate by irradiating energy rays, it can be applied by using the same method.
  • Example 12
  • FIG. 5 is a cross-sectional view showing main steps of manufacturing the element.
  • a P-type Si semiconductor 50 is used as a substrate, and an element isolation region 51 is formed on the surface thereof using a known element isolation technique.
  • a word line 52 having a structure in which polycrystalline Si having a thickness of 15 O nm and SiO 2 having a thickness of 20 O nm is formed is formed.
  • the n-diffusion layer 54 is formed by the usual method.
  • a data line 55 made of polycrystalline Si, high melting point metal silicide, or a laminated film of these is formed through ordinary steps.
  • a storage electrode 56 made of polycrystalline Si is formed through normal steps. Thereafter, Ta 2 0 5, Si 3 N 4 Si0 2, BST, PZT, ferroelectric, or the like of these composite films were deposited to form a capacitor insulating film 5 7.
  • a low-resistance conductor such as polycrystalline Si, high-melting-point metal, high-melting-point metal silicide, or Al or Cu is applied to form a plate electrode 58.
  • a wiring 59 is formed through a normal process.
  • a memory element was manufactured through a normal wiring forming step and a passivation step.
  • the normal manufacturing process was used.
  • the pattern formation of the above various element structures is performed according to the present invention. This was performed using a resist and an ArF excimer laser exposure.
  • a periodic phase shift mask and a negative resist are combined to form the element isolation region, word line, and data line patterns, and a periodic phase shift mask and a positive resist are used to form the storage electrode pattern.
  • the resist material according to the present invention was not applied to a process having a relatively large pattern size, such as a conductive hole forming process in a passivation process and a pattern forming process in an ion implantation mask forming process.
  • FIG. 6 shows a pattern arrangement of a memory portion of a typical pattern constituting a manufactured memory element.
  • 60 is a word line
  • 61 is a data line
  • 62 is an active area
  • 63 is a storage electrode
  • 64 is a pattern of electrode extraction holes.
  • the pattern formation of the example of the present invention was used for all except the formation of the electrode extraction hole of 64 shown here.
  • the present invention was used in the process using the minimum design rule other than the pattern formation shown here.
  • the size between the patterns could be reduced as compared to the device fabricated using the conventional method.
  • devices with the same structure can be made smaller, and when manufacturing semiconductor devices, the number of products that can be manufactured from one wafer increases, and the yield improves.
  • the resist when manufacturing a semiconductor device by irradiating a resist film with a patterned radiation to form a pattern, the resist is used as an acid 'generating group that generates an acid catalyst by irradiation.
  • a cyclodextrin having a defined molecular weight of not more than 500, having a defined molecular weight of not more than 50,000, having a defined molecular weight of not more than 50,000, having a defined molecular weight of not less than 4 000, generating a polarity conversion reaction by the acid catalyst, dendrimer, fullerene, and crown ether ,
  • a derivative molecule preferably having an average diameter of 2 plates or less
  • a main component such as a rostellone derivative, a silicon (Si) -based monomer, or an oligomer
  • the above one molecule can improve the solubility.
  • the number of acid catalyst reactions required to obtain is 4 or more, or the average distance between the above reactive groups (reciprocal of the cube root of the reactive group concentration) is 1% or less of the minimum dimension of the above pattern .
  • an acid generator molecule containing the acid generating group may be included in the molecule, or the acid generating group may be bonded to the molecule to form an acid catalyst between the acid catalyst molecules generated in the exposed portion.
  • the average distance (reciprocal of the cube root of the acid concentration) should be 5% or less of the minimum pattern size to be transferred, and the diffusion length of generated acid should be 15% or less of the minimum pattern size to be transferred.
  • the use of an electron is improved.
  • a line projection exposure method or an extreme ultraviolet (EUV) projection exposure method it is possible to always use a dark field mask for various layers of a semiconductor integrated circuit device, resulting in increased mask defects, increased flare light, and reduced resolution.
  • the gate, the wiring, or the hole of the semiconductor integrated circuit can be processed with high accuracy while suppressing the effects of the above.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

微細化に伴い半導体回路パターン寸法に対する要求精度がレジスト分子サイズに近づくと、レジストパターンのエッジラフネスによりデバイス性能が劣化し、システム性能に悪影響を及ぼす問題がある。本発明では、従来ポリマーに比べて寸法の小さな超分子を主成分とし、分子溶解性変化に要する反応数を一定かつできるだけ大きくするとともに、酸発生剤を超分子に包接又は結合して酸触媒濃度を大きくすることにより問題の解消を図った。その結果、50nm以下のパターン寸法に対しても、高い生産性で分子精度のパターンを形成することが可能となり、高性能のシステムが実現できる。

Description

2002/007760
明 細 書 電子装置の製造方法 技術分野
本発明は、 半導体集積回路等の電子デバイスの製造方法、 特に上記回 路パターンを精度よく形成するためのリソグラフイエ程、 及びそれに用 いられる感放射線組成物に関する。
背景技術
現在半導体集積回路では回路パターンの微細化が進んでおり、 特に CMOS トランジスタのグート長の短縮及び配線ピッチの縮小が加速して いる。 これに伴いこれらの回路パターンには厳しい寸法精度が要求され るようになっている。 現在最先端の微細回路パターンの形成に用いられ るレジス ト材料は、 いわゆる化学増幅系レジス トが主流である。 マトリ タス樹脂中に露光により酸を発生する酸発生剤が均一に分散されており、 露光部に発生した酸の酸触媒反応によりマトリクス樹脂の溶解性が変化 する。 例えば、 KrF エキシマレーザ露光又は電子線 (EB) 露光用ポジ型 レジス トでは、アル力リ可溶なポリ ヒ ドロ シスチレン樹脂(ras)の(フ ノール性) 水酸基を、 酸触媒反応により離脱する適当な非極性保護基 で置換してアルカリ非可溶性とし、 露光部に発生した酸により保護基を はずす (脱保護反応) ことにより露光部の極性を変化させ、 アルカリ現 像液に可溶とすることによりポジ型を実現している。 又、 ArF では例え ば脂環式ポリマーとァクリル系樹脂の共重合体をマトリクス樹脂とし、 その極性基 (可溶性) を KrF用と同様に適当な非極性基で保護して非可 溶としこれを酸触媒により脱保護して可溶とする。 脱保護反応に基づく 一般的な化学増幅系ポジレジストでは、 1本の高分子は m個の保護化さ れた単位 (モノマー) と η個の脱保護化された単位の共重合体 (m+n 量体) であり、 酸触媒脱保護反応により保護化率 mZ(m+n)が一定値 以下となると急激に溶解性が増大する。
一方、ネガ型反応機構としては、架橋型と極性変化型が知られている。 架橋型では上記酸発生剤に加え、 酸触媒反応によりレジストマトリクス (レジスト膜を構成する主要素) を構成する高分子と結合する反応基を 複数有する架橋剤分子がレジスト中に分散されている。 露光部で発生し た酸の触媒反応により架橋剤を介して上記高分子同士が結合し、 分子量 が増大する(さらに進んでゲル化する)ことにより現像液に難溶となる。 極性変化型としては、 酸触媒反応により極性から非極性へ変化する反応 基を含むポリマー又は分子が知られており、 かかる反応としてはピナコ ール転移反応やラタトン化反応が用いられる。
又、 力リ ックスアレン、 フラーレン、 デンドリマー、 シクロデキスト リン等の高分子以外の分子をマトリタスとするレジストも提案 ·検討さ れている。 さらに、 これらの材料を用いて化学増幅系レジス トを構成す ることも提案されている。 力リ ックスアレンについては例えば、 ジャー ナル オフ、、 フォトポリマー サイエンス アンド テクノロジー、 第 1 3卷、 3号、 第 4 1 3頁から 4 1.8頁 (2 0 0 0年) (Journal of Photopolymer Science and Technology, Vol. 13, No. 3 (2000) pp.413-418.) に、 フラーレンについては例えば、 ジャーナル ォプ フ オトポリマー サイエンス アンド テクノロジー、 第 14卷、 4号、 第 5 4 3頁カゝら 5 4 6頁 ( 2 0 0 1年) (Journal of Photopolymer Science and Technology, Vol. 14, No. 4 (2001) pp.543-546. ) に、 デ ンドリマーについては例えば、 ジャーナル ォプ フォトポリマー サ ィエンス アンド テクノロジー、 第 1 3卷、 2号、 第 3 3 9頁から 3 4 4頁 ( 2 0 0 0年) (Journal of Photopolymer Sci ence and Technology, Vol. 13, No. 2 (2000) pp. 339-344. ) に、 各々論じられている。 発明の開示
上記化学増幅系レジストでは、 微細化に伴いエッジラフネスが顕在化 するという課題がある。 エッジラフネス (又はラインエッジラフネス、 通称 LER) とは、 レジス トパターンのエッジが局所的に (通常ライン幅 程度の長さの範囲内で) 変動する現象で、 レジス トパターンから回路パ ターンへエッチングによりこの変動が転写されることにより回路パター ンの性能に影響を及ぼす。ラインエッジラフネスの一例を図 1 1に示す。 例えば、 いわゆる 90應技術ノードの CMOS トランジスタではゲート長 65nmに対して、これに適用する ArF露光用レジストの LERが 6皿から 10nm とゲート長の 1割以上に達しつつある。 この場合、 局所的にゲート長が 変動するため、 1つの M0S トランジスタは異なる Lgを有するトランジス タの並列接続と考えることができる。 LERと Vth特性によっては、 図 1 1中に示すように L gの小さい部分で過剰のリーク電流が生じる等の問 題が生じることになる。このため、所望のトランジスタ性能が得られず、 半導体装置の高性能化が困難になるという問題点があった。 又、 配線パ ターンに適用した場合には局所的に配線幅が減少し、 いわゆるエレク ト 口マイグレーショ ン (EM) による配線切断が生じる等の恐れがある。 ラ インエッジラフネスは、 通常図 1 1下に示した様にラインと垂直な方向 におけるエッジ位置のパラツキの大きさ (例えばェッジ位置の出現頻度 度数分布の 3 σ ) により定量化する。
—方、 前記超微細パターン形成のための各種レジス ト材料には、 例え ば、 力リ ックスアレン等の分子を用いた場合でも、 高解像度又はラフネ スの抑制と実用的な感度の両立が困難であるという問題点があった。 例 えば、 前記力リックスアレンでは、 非化学増幅系のためラフネスは小さ いものの感度が低い。 さらに、 上記力リ ックスアレンにおいて化学増幅 系を導入することが提案されているが、 架橋型ネガ型レジス トでは、 後 述するように架橋による分子量及びその分散の増大によりラフネスの抑 制が難しく、 一方、 極性変換基を導入したポジ型レジス トでは分子内相 互作用により溶解性の制御が難しいという問題点があった。 また、 フラ 一レンを用いたレジストでは低感度、 有機溶媒現像が必要等の問題点が あった。 また、 機械的強度が弱く、 現像 · リ ンス後の乾燥時に表面張力 によりパターンが折り曲がる等の問題点があった。 又、 耐熱性が低いの で下地エッチング時の加熱によりレジストパターン形状が崩れてしまい、 下地エッチング時のマスクとなり得ないという問題点があった。
次に、 従来ポジ型レジスト材料を用いた半導体装置の製造方法の課題 について述べる。 MO S半導体集積回路において、 最も高い精度の要求 されるパターンはそのトランジスタのゲートであるが、 一般にゲート層 はパターン密度が低いため、 従来レジス トの主流であるポジ型レジス ト を用いるとゲート及ぴグートと同層で形成する配線パターン以外の大部 分領域にエネルギー線を照射する必要がある。 即ち、 F2露光法の場合光 透過部、 EPLの場合電子線透過部の大きな EUVの場合、 EUV光反射部の きなマスクを用いる必要がある。 し力.しながら、 F2、 EUV 露光法におい ては、 マスク上の光透過部、 反射部の割合が大きいと、 マスク欠陥の出 現確率が増大し歩留まりが低下するという問題がある。 さらに、'マスク 上の光透過部、 反射部の割合が大きいと、 フレア光が増大するという問 題がある。 又、 ステンシルマスクを用いる EPLでは、 マスク上の電子線 透過部の割合が増大すると機械強度的が脆弱となるという問題がある。 又、 電子線透過部の割合の大きいとマスク透過電流が増大しクーロン効 果により所望の解像度 (又はスループット) が得られないという問題が ある。 これらの問題は、 用いるレジストをポジ型ではなくネガ型とする ことにより、 使用するマスクを、 各々その光透過部、 電子線透過部、 EUV 光反射部パターンがポジ型の場合と反転したダークフィールド(暗視野) マスク (比較的広い光遮光部、 電子線遮蔽部、 EUV 光非反射部中に、 面 積比率の小さな光透過部、 電子線透過部、 EUV 光反射部が存在するマス ク) とすることにより解決される。 しかしながら、 上記従来ネガ型レジ ストはいずれも架橋型であるために前記ラフネスが十分に抑制できない という問題点があった。 なお、 上記ゲートは通常のレジス トをマスクと するエッチングにより形成すると仮定したが、 いわゆるダマシンゲート 法を用いる場合には、 従来通りポジ型レジス トを用いればよい。
又、 低誘電率層間絶縁膜を用いた銅多層配線システムを、 いわゆる先 孔方式のデュアルダマシン法を用いて形成する場合、 接続孔形成後、 溝 パターンの形成時に従来のポジ型レジストを用いると、 いわゆるポィゾ ユング現象による解像不良が生じるという問題があった。 この問題もネ ガ型レジス トを用いることにより解決ざれるが、 上記同様に従来ネガ型 レジス トではラフネスが十分に抑制できないという問題点があった。 さらに、 発明者らの検討によれば、 上記ラフネスには化学増幅系レジ ス トの原理に関わる 2つの原因がある。 ラフネスの原因の第 1は、 露光 により発生した酸の分布 (局在化) によるものである。 酸発生剤はレジ スト中にランダムに分散され、露光によりそのうちの一部が酸を発生し、 これが拡散して酸触媒反応を生じる。 従って、 酸触媒反応の空間分布は 発生した酸のランダムな初期分布を反映してランダムとなりこれがレジ ス トパターンエッジのばらつきとなる。 ラフネスの原因の第 2は、 レジ ストマトリタスを構成する分子の各々において酸触媒反応により生じる 溶解性変化のランダムなばらつきによるものである。 上記酸触媒反応の 生じる確率は酸濃度の関数として連続に与えられるが、 マトリクスを構 成する個々の分子の溶解性を決定する酸触媒反応サイ トが反応を生じる かは、 完全に確率的事象である。 従って、 上記確率の遷移領域 (レジス トパターンエッジ付近) では、 酸濃度が同じであっても分子は溶けたり 溶けなかったりする。 このため、 レジス トパターンエッジにばらつきが 生じる。 このように、 ラインエッジラフネスは化学増幅系レジス トの動 作原理に深く根ざした問題であるといえる。
以上をまとめると、 上記根本原因を解決してエッジラフネス抑えると 同時に、 65nmノード以降のゲートパターンや配線パターンの加工を行う ための EPL、 EUV露光方式に対応した高解像度'かつ高感度で、 かつ、 様々 な転写層のパターンにおいてダークフィールドマスクの使用を可能とす る めに、 ポジ型及びネガ型極性を有するレジストが必須となるが、 従 来のレジストでは、 これらすベての条件を満足することは困難であると いう問題点があった。
本発明は、 高解像度かつ高感度で、 しかもエッジラフネスの小さなパ ターン形成方法を用いて、 高性能かつ高集積の半導体装置もしくは半導 体集積回路を、 低コストで製造する方法を提供することを第 1の目的と する。
又、 本発明の第 2の目的は、 電子線投影露光法や極紫外 (E U V ) 投 影露光法を用いた場合に、 マスク欠陥.、 フレア光の増大、 解像度の劣化 等の影響を抑えつつ、 上記半導体装置もしくは半導体集積回路のゲート 又は配線又はホールを高精度かつ加工する方法を提供することにある。
さらに、 本発明の第 3の目的は、 上記形成したパターンの熱的及ぴ機 械的特性を向上した半導体装置の製造方法を提供することにある。
上記第 1の目的は、 パターン化した放射線を照射することにより レジ スト (感放射線組成物) 膜に酸を発生させ、 上記酸を触媒とする反応に より上記レジス トの溶解性を変化させ、 現像によりパターンを形成して ' 半導体装置を製造する際、 上記レジス トを、 放射線照射により酸触媒を 生じる酸発生基と、 上記酸触媒により極性変換反応を生じる 4以上の確 定した数の反応基を含む 5 0 0 0以下の確定した分子量を有する分子 (平均的直径が 2nm以下であることが望ましい)を主成分として構成し、 上記露光部に発生した酸触媒分子間の平均距離(酸濃度の 3乗根の逆数) を転写する最小パターン寸法の 5 %以下とすることにより達成される。 この際、 発生した酸の拡散長を、 転写する最小パターン寸法の 1 5 %以 下とすることが好ましい。 又、 上記 1個の分子が溶解性を獲得するのに 要する酸触媒反応敎を 4以上とするか、 又は、 上記反応基間の平均距離 (反応基濃度の 3乗根の逆数) を上記パターンの最小寸法の 1 %以下と することにより達成される。 さらに又、 上記酸発生基を含む酸発生剤分 子を上記分子に包接するか、 又は、 上記酸発生基を上記分子に結合する ことにより達成される。
上記分子としては、 いわゆる超分子、 例えばシクロデキストリン、 力 レックスアレン、 多核フエノール、 デンドリマー、 フラーレン、 クラウ ンエーテノレ、 アンドロステロン、 (シリコン) Si 系モノマー 'オリゴマ 一の誘導体を母核分子とし、 その一部に複数の極性変換基を導入したも のを用いることができる。 上記酸を触媒とする反応としては極性変換反 応、 即ちポジ型化学増幅系レジストで利用されている適当な保護基で保 護された水酸基の脱保護反応、 又は、 ネガ型化学増幅系レジストで利用 されているラクトン化反応、 ピナコール転移等のカルビノール分子内脱 水反応等を利用することができる。
上記第 2の目的は、 電子線投影露光法、 又は極紫外 (E U V) 投影露 ¾法、 又は F2レーザー投影露光法、 又は A r Fエキシマレーザ投影露光 法において、 上記小型の母核分子にラタ トン化、 カルビノール分子内脱 水反応等の極性変化反応基を導入したネガ型レジストと喑視野マスクを 用いて C MO S集積回路のゲート層又は配線層を加工することにより達 成される。 又、 上記小型の母核分子に脱保護反応等の極性変化反応基を 導入したポジ型レジストと喑視野マスクを用いて C M〇 S集積回路の配 線層又はホール層又はゲート層を加工することにより達成される。 上記第 3の目的は、 上記レジスト材料により所望のパターンを形成し た後、パターンに DUV光(又は電子線) を照射しながら熱処理を行う DW キュアリング(又は EBキュアリング)を行うことにより達成される。又、 前記レジストに熱架橋剤を混入し、 パターン形成後に熱処理して熱架橋 させることにより達成される。 又、 上記レジス ト材料に所望のマスク 影像を投影露光した後、 超臨界流体を用いて現像することにより達成さ れる。 図面の簡単な説明
第 1図は、 本発明の 1実施例によるデパイスの平面構成を示す模式図 であり、
第 2図は、 本発明の 1実施例によるデバイスの作製過程を模式的に示す 断面図であり、
第 3図は、 本発明の 1実施例によるデバイスの作製過程を模式的に示す 断面図であり、
第 4図は、 本発明の 1実施例によるデバイスの作製過程を模式的に示す 断面図であり、
第 5図は、 本発明の別の 1実施例によるデバイスの作製過程を模式的に 示す断面図であり、
第 6図は、 本発明の別の 1実施例によるデバイスの平面構成を示す模式 図であり、
第 7図は、 本発明の効果を示す解析結果の 1例を示す特性図であり、 第 8図は、 本発明の効果を示す解析結果の別の例を示す特性図であり、 第 9図は、 本発明の効果を示す解析結果の別の例を示す特性図であり、 第 1 0図は、 本発明の 1実施例による感放射線組成物の分子構造おょぴ 配置を示す模式図である。
第 1 1図は、 ラインエッジラフネス (L E R ) の一例を示す模式図であ る。 発明を実施するための最良の形態
まず、 上記第 1の目的を達成するための手段の根拠について説明する 前述のように、 前記エッジラフネスの主原因は、 1 ) エッジ近傍での分 子の溶解 .非溶解のランダムな変動、 2 ) 露光によりレジス ト中に発生 した酸の局在化、 の 2つである。 発明者等の解析の結果、 このうち、 分 子溶解 ·非溶解のランダム変動を抑制するには、 以下の 3つの少なく と も 1つを満たせばよく、 さらに 3つの条件を満たすことが好ましいこと がわかった。
(条件 1 ) 溶解性逆転に要する酸触媒反応数が、 1 分子当たり 4個以上 であること。
(条件 2 ) 分子の代表寸法 (平均直径) 力 形成しょうとするパターン 寸法の少なく とも 1/20以下であること。
(条件 3 ) 溶解性獲得に要する 1分子当たりの酸触媒反応数が一定 (ば らつきが 0) であること。
一般に、 高分子化合物をベースポリマーとして、 用いた従来レジス ト 材料では、 ポリマーの分子サイズ (分子量) を小さくすると重合度が減 少して分子 1個当たりの反応サイト数が減少する。 従って、 上記 (条件 1 ) (条件 2 ) が相反してしまう。分子サイズが大きいと形状効果により ラフネスが生じ、 一方、 反応サイ ト数が小さいと (後で述べる様に) ェ ッジ近傍における前述の溶解遷移領域の幅が大きくなるため、 エッジ近 傍における個々のポリマーの溶解性のバラツキが増大してやはりラフネ スが増大する。 後者の溶解確率のパラツキに起因するラフネスは、 個々 のポリマーの溶解性変化に必要な反応数 kが 4以下になると特に顕著と なる。
一方、 一般に合成高分子では、 分子量 (重合度)、 及び 1分子当たりの 反応基数 (前記ポジ型レジス トの場合の保護化率、 共重合比率等) にバ ラツキが存在するため、 溶解性変化に必要な反応数 kにもバラツキが生 じ、 これに起因するラフネスが増大する。 特に、 形状効果によるラフネ スを抑えるために分子量を小さくした場合、 kのパラツキの溶解性変動 に及ぼす影響が増大する。 例えば k < 4の成分が混入し、 これが平均的 なラフネスを劣化させてしまう。
LER の上記必要反応数 k及び kのバラツキ依存性の解析例を図 7に示 す。 kを 4以上にすることにより、 また kのパラツキを 0に近づけるこ とにより LERが抑制されることがわかる。 図 7の例は極めて限定された 条件に対するものではあるが、 上の結果はより広い範囲の条件に対して もほぼ成立する。
この問題は、 比較的小型の母核分子の周囲に複数の反応サイトを導入 した分子 (ポリマーでない) を用いることにより解決される。 反応サイ トの結合する母核として分子を用いることにより、 分子サイズや反応サ イト数は一定となり、 高分子特有の分子量、 反応サイト数ばらつきは抑 制される。 即ち、 (条件 2 ) (条件 3 ) を満足しつつ (条件 1 ) を達成で きる。 このような、 母核分子としては、 いわゆる超分子、 例えばシクロ デキス ト リン、 力レックスアレン、 デンドリマー、 多核フエノール、 フ ラーレン、クラゥンエーテ Λ^、アンドロステロン誘導体、 Si系モノマー . オリゴマ一等を用いることができる。 なお、 上記反応基は、 酸触媒反応により現像液に対する分子の溶解性 が変化するものとすることにより、 化学増幅系レジストを構成すること が好ましい。 上記母核分子に結合させてその溶解性を制御するための反 応基および反応メカニズムとしては、 既知の前記ポジ型化学増幅系レジ ストで広く利用されている適当な保護基で保護された水酸基の脱保護反 応、又は、ネガ型化学増幅系レジストで利用されているラタトン化反応、 ピナコール転移反応等の分子内脱水反応を利用することができる。
又、 上記 1個の分子が溶解性を獲得するのに必要な酸触媒反応数は大 きいほどラフネスは抑制される。 このためには第 1に反応サイ ト密度を 上げることが好ましい。 上記各種母核分子及ぴその誘導体のいくつかを , 用いることにより、 従来ポリマーに比べて反応サイ ト密度を大きくする ことが可能である。 又、 従来ポリマーでは高分子鎖が自分自身で絡み合 い、 その内部に反応サイ トをくるみこんでいると考えられる。 内側にく るみこまれた反応サイトは当該分子の溶解性には寄与しないため、 実効 的な反応サイ ト数は必ずしも分子量に比例しない。 これに対して、 上記 各種母核分子及びその誘導体のいくつかを用いた場合反応サイ トは母核 分子の外側に付加され、 当該分子の溶解性決定に直接寄与する。 このた め実効的な反応サイ ト密度が増大する。 又、 必要な酸触媒反応数は、 現 像液濃度をポジ型レジス トの場合には低濃度化、 ネガ型レジストの場合 には高濃度化することによつても達成される。
次に、 前記エッジラフネスの第 2の主原因である酸の局在化によるラ フネスの増大を抑制するためには、 酸の拡散長が露光部における最近接 酸分子間の平均距離より十分大きいことが好ましい。 しかし、 酸の拡散 長が長いと、露光によりレジスト膜中に生じた急峻な酸濃度分布がぼけ、 実効的な像コントラストが低下、 解像度が低下してしまう。 また、 これ に伴い上記分子の溶解 ·非溶解のランダムな変動に起因するラフネスが 逆に増大する。 そこでこれを抑制するためには、 以下の条件を満たすこ とが好ましい。
(条件 4 ) 酸の拡散長が、 転写する最小パターン寸法 Wの 15%以下であ ること。
(条件 5 ) 露光部における最近接酸分子間の平均距離が転写する最小 ターン寸法 Wの 5%以下であること。
LER の前記必要反応数 k及ぴ酸拡散長依存性の解析例を図 8に示す。 kを 4以上 (望ましくは 8以上) とすることに加え、 酸拡散長をパター ン寸法の 15%以下 (図の解析例はパターン寸法 90 nmを仮定しているの で 15 nm以下) とすることにより LERが抑制されることがわかる。 さら に、 LER の最近接酸触媒分子間の平均距離及び酸の拡散長依存性の解析 例を図 9に示す。 但し、 図 9では LERの酸分布起因成分のみを考慮して いる。 LER の酸分布起因成分は、 酸分子間距離を酸拡散長より十分に小 さく(ほぼ 1 / 3以下)することにより抑制されることがわかる。従って、 酸分子間距離をパターン寸法の約 5 %以下 (図の解析例はパターン寸法 90 碰を仮定しているので 5 應以下) とすることで LERが抑制される。 図 8及び 9の解析例は極めて限定された条件に対するものではあるが、 上の結果はより広い範囲の条件に対してもほぼ成立する。
パターン寸法を 40 nm (65 nm技術ノードに対応) とすると、 (条件 4 ) より、 拡散長は 6 nm以下とすることが好ましい。 拡散長の抑制は、 一般 に露光後ベータの温度を下げる、 時間を縮小する、 拡散係数の小さな種 類の酸 (比較的大きなァニオン部を有する P A G ) を利用する、 等によ つて達成される。
一方、 (条件 5 ) を満たすためには、 酸の濃度は、
1/ (0. 053 · W3 ) = 104 /W3 (個 m3 )
以上である必要がある。 露光部の P A Gの 2 5 %が酸を発生すると、 P AG濃度は上の値の 4倍となる。 必要な; P AGの重量比 (%) は、 上式 を換算すると、 (レジス ト膜の比重 cを 1· lg/cm3、 PAGの分子量 Mwを 約 400と仮定) バ c'10-12'Na'0.053'W3) x 100 (%)二 2·10一3/ W3 ( ) 以上であることが望ましい。 伹し、 Naはアポガド口数である。
酸拡散長を抑制するための条件は全てレジスト感度を下げる (パター ン形成に必要な露光量が増大する) 方向に働くが、 前記酸発生剤濃度増 大は逆に感度を向上するので、 両者は相殺して感度はほぼ同等に保持さ れる。
(条件 5)より、微細化するほど P AG濃度を上げなければならない。 し力 し、 従来レジストでは P AG濃度を上げると P AG分子が凝集して P AGとポリマーが相分離を生じる。 P AG濃度の固溶度限界は 10wt% 前後であり、 P AGをレジスト膜中に均一に分散させつつ濃度増大させ るのが難しいという問題点がある。 この事情は、 上記の超分子を母核に 利用した場合にも同様である。 そこで、 本発明では、 これを解決して所 望の酸発生剤濃度を達成するため、 酸発生剤分子を前記レジストの母核 となる分子に包接又は結合等する。従来レジスト(ポリマー分子量 20000、 PAG重量比 2 - 5%と仮定。 ポリマーサイズ 3〜4nm、 平均 PAG間距離 3 nra) では、 ポリマー分子数 Nplymerと P AG分子数 Npagの比は、 2:1〜1:1程 度となる。 ここで、 従来ポリマーを前記超分子を母核とする分子に代え た場合、 分子量が従来ポリマーより約数分の 1〜 1桁程度小さくなるた め、 PAG 重量比を等しく保つと仮定すると、 前記分子数の比は、 10: 1〜 5:1 程度となる。 そこで、 個々の超分子に PAGを結合 ·包接すること により P AG濃度を約 1桁近く増大することができる。
シクロデキストリンは、 フヱニル基等の疎水性基を容易に包接し、 こ の性質は食品、 化粧品等において既に広く工業的に利用されている。 力 リ ックスアレン、 デンドリマー等においても同様である。 : PAGを上 超分子ホストに対するゲストとしての性質をもつものとすることにより、 P A Gを各超分子に対して理想的には 1 : 1の割合で導入することがで きる。 例えば、 P A Gのカチオン部を、 シクロデキストリンに包接可能 なサイズの疎水性基とすることができる。 又、 包接させずに、 母核分子 に酸発生基を結合させてもよい。 ポリマーに酸発生基を結合する方法が 報告されている。 これら公知の方法を用いて、 ポリマーに代えて前記各 種超分子等に酸発生基を結合させることができる。 これにより、 P A G の導入量を大幅に増大することができる。
又、 デンドリマー分子に光を照射すると、 照射光のエネルギーを捕集 して、中心部に包接された分子に伝達することが知られている。そこで、 P A Gをかかるデンドリマー分子に包接した場合には、 P A Gの酸発生 効率を向上することができる。 即ち、 微弱な光を照射した場合でも、 P A Gを包むデンドリマー分子が広範囲で捕捉した光めエネルギーが、 そ の中央部の P A G部分に集中するため、 酸発生反応が生じやすい。 この ため、 極めて高感度のレジストが得られる。
この場合、 デンドリマー分子は球状かつなるベく大きいほど感度増大効 果は大きい。
なお、 上記 (条件 1 ) から (条件 5 ) までの 5つの条件は、 理想的に はその全てを満たすことが望ましいものの、 実用上必要な最低レベル以 下にラフネスを抑制することができる場合には、 そのうちのいくつかが 満たされなくて構わない。 又、 例えば、 母核分子による P A Gの包接は 必ずしも本発明の必須条件ではなく、 包接しない場合にも実用上十分な 酸濃度が得られ、 かつその他の条件が満たされる場,合には、 本発明の効 果が十分に期待できる。
次に、上記第 2の目的を達成するための手段の根拠について説明する。 上記小型の母核分子にピナコール転移反応、 ラク トン化反応等の極性変 化反応基を導入することによりラフネスの小さいネガ型レジストが実現 できる。 C MO S集積回路のゲート層に対して上記ネガ型レジストを用 いると、 エネルギー線を照射する必要のあるゲート及び配線領域の面積 比は比較的小さいので、使用するマスクはいわゆる暗視野マスクとなる。 このため、 前記課題の項に述べた、 F2、 EUVにおけるマスク欠陥、 フレ ァ光の影響、 EPL におけるステンシルマスクの機械強度劣化、 クーロン 効果による解像度劣化等の問題が解決される。 なお、 喑視野マスクを使 用する場合、 CMOS LSIの主要層には、 パターン面積比率の観点から、 ゲ 一ト層ではネガ型レジスト、コンタク ト及ぴビア層ではポジ型レジスト、 配線層 (ダマシン溝) ではポジ型の極性をもつレジス トを使用すること が望ましい。 特にエッジラフネスの低減が要求されるゲート層で暗視野 マスク適用するためにはネガ型レジストが必須である。 このとき、 反応 サイ ト数、 及び分子サイズの均一性を保持するため、 ネガ型レジス トと しては架橋型より極性変化型が好ましい。 即ち、 特開昭 6 2 - 1 6 4 0 4 5に示されている架橋型ネガ型レジス トの場合、 露光部もしくはパタ ーンエッジ近傍の部分的露光部では架橋反応により (架橋剤を介して) マトリクス分子同士の結合が進む。 この過程はランダムな確率過程なの で、 パターンエッジ近傍の部分的露光部生成される架橋後の分子は広い 分子量分布を有し、 このため、 エッジラフネスの抑制が困難となるから である。 本発明では、 小型の母核分子に、 酸触媒により脱保護反応、 又' はラタトン化反応、 ピナコール転移反応を生じる反応基を付与すること により、 ポジ型、 ネガ型両極性のレジス トを構成し、 適用層に応じてこ れらを使い分けることが可能となる。
次に、 上記第 3の目的を達成するため、 上記材料を用いた場合のプロセ ス上の問題点を解決する方法について説明する。 上記 (条件 2 ) を満た すような比較的小型の分子 (超分子) を母核とした場合、 分子間の相互 作用が小さく、 従来レジストのように高分子鎖の絡み合いがないため、 熱的 ·機械的に脆弱である (例えばガラス転移点温度 T gが低い) とい う恐れがある。 この場合、 パターン形成後に DUV光 (又は電子線) を 射しながら熱処理を行う DUVキュアリング(又は EBキュアリング) を行 うことにより、 熱的耐性が向上する。 又、 あらかじめレジストに熱架橋 剤を混入し、 パターン形成後に熱処理を行うことにより、 上記超分子間 で熱架橋が生じ、 熱的耐性が向上する。 又、 レジス ト現像 ' リンス後の 乾燥時に、 リ ンス液 (水) の表面張力により レジス トパターン間に引力 が生じパターンが折れ曲がったり倒壌するという問題がある。 そこで、 表面張力の小さな超臨界流体による現像を用いることにより、 折れ曲が りを防止することができる。 本発明による材料では、 母核となる超分子 のもつ水酸基の数が一定のため、 完全保護化することが可能であり、 二 酸化炭素等の非極性の超臨界流体に対して溶解性を持たせることができ る。 露光部は脱保護により極性に転じ、 非極性超臨界流体に非溶解とな りネガ化する。 また、 本発明による材料では、 母核分子に酸触媒により ラク トン化可能な反応基を一定数付与することができる。 この場合、 所 定の露光量で全ての反応基をラタ トン化し露光部を非極性化することに より、 ポジ型レジストを構成することができる。
なお、 上記ポジ型レジストを完全保護化された分子のみで構成した場 合、 露光しても十分な溶解性を実現することが困難な場合がある。 この 場合には、 完全保護化された分子と、 部分保護又は保護化されていない 分子とを適宜混合したものをレジストマトリクスとして用いてもよい。 同様に、 上記ネガ型レジストにおいてもラタ トン化された分子を適宜混 合してもよい。 これにより、 レジス ト感度が向上する。
本発明の実施例について述べる前に、 微細化に伴いラフネスを所定目 標 (例えば線幅の 5 °/。) 以下に抑制するためのスケーリング則について 述べる。
まず、 第 1に、 エッジ近傍での酸局在化に起因する分子レベルのラフ ネスを微細化と共にスケーリングして抑制するには次の条件を満たす必 要がある。
(スケーリ ング則 1 ) 最小パターン寸法を 1/kに微細化した場合、 これ に対応して化学増幅系レジストの酸濃度を k3倍、拡散長を 1/kにスケー リングする。
第 2に、 レジストエッジにおいて分子形状に起因する凹凸が生じる恐 れがある。 これを抑制するには分子サイズを微細化に比例して縮小する ことが好ましい。
(スケーリング則 2 ) 最小パターン寸法を 1/kに微細化した場合、 これ に対応してレジスト分子サイズを 1/k (分子量はほぼ 1/k3倍) にスケ ーリングする。
第 3に、 ここで分子サイズ縮小に伴い 1分子当たり反応サイ ト数も減 少することに注意する。単純に分子を小さくすると、分子の感光特性(露 光に対する溶解性変化の応答特性) も変化してしまう。 これを維持する ためには、 次の条件を満たす必要がある。
(スケーリング則 3 ) 最小パターン寸法を 1/kに微細化した場合、 これ に対応して反応サイト数の体積密度を k3倍にスケーリングする。
以下、 スケーリング則 3について若干詳しく説明する。 各分子が溶解 性を獲得する確率 Pは、エネルギー線照射量 Iの関数として与えられる。 パターンエッジに垂直な方向 (X 方向) における照射量変化に伴い、 上 記確率も変化する。 ポジ型レジス トの場合、 完全遮光部では各分子が溶 解性を獲得する確率はほぼ 0に近く、 一方、 完全露光部では各分子が溶 解性を獲得する確率はほぼ 1に近いと考えられる。 現像後のレジストパ ターンエッジは、 上記確率が 0から 1へ変化する遷移領域内に生成され る。 遷移領域内では分子は溶解性を獲得したりしなかったりするのでェ ッジの位置は不確定性を有する。 これがラフネスの原因となる。 遷移領 域の幅を、 便宜上ここでは溶解性獲得確率が 10%と 90%の間の範囲 と定義すると、 ラフネス LERは、
LER = (0.9-0. l)/|dP/dx|edge = (0.9-0.1) /| dP/d(logI) -NLS) |edge 但し、 dP/dx はエッジ位置における溶解性獲得確率分布のエッジ垂直方 向傾き、 NLSedse (=d(logI)/dx) は、 エッジ位置における照射エネルギー 強度分布のェッジ垂直方向規格化ログスロープ (露光装置の解像性能指 標として一般的に用いられる) である。 dP/d(logI)は材料のみに依存 する量、 NLS は光学系のみに依存する量であり、 ラフネスは材料の dP/d(logI)を最大に、光学系の NLSを最大にすることにより抑制される。 上式より、 寸法と LERの比は、 次式で表される。
LER/W = (0.9 - 0.1)/ [dP/d(logI)max-NLS-W]
露光装置の解像性能向上に比例して微細化した場合、 NLS'W は一定に保 たれる。 (但し実際には、酸拡散により実効的な値は減少する。)従って、 寸法と LERの比を一定に維持するためには、 dP/d(logI)raaxを一定に保つ 必要がある。 また、酸拡散により NLSedge'Wの実効的な値は減少する場合 には、 dP/d (logl) maxをさらに大きくする必要がある。一方、 dP/d (logl) max は分子溶解性獲得に必要な分子当たり反応数 Nsiteに依存する。 Nsiteは 分子サイズと反応サイ ト数の体積密度に依存し、
NSite = nsite.dralecule 3
但し、 nsiteは単位体積あたりの反応サイ ト数、 dmleeuleは分子の代表 寸法である。 (大きな分子を溶かすには、沢山の反応が必要) よって、寸 法と LERの比を一定に保つには、 nsite'dmlecule 3を一定に保つ必要が ある。 dmleculeを 1/kにしたとき、 nsiteを k3倍する必要がある。
以上より、 上記第 3のスケーリング則により LERを微細化とともにスケ ーリングすることができる。
ラフネスの支配因子は、 酸発生の離散性に起因する因子、 分子の大き さに起因する因子、 主反応確率の遷移領域の幅に起因する因子、 の 3つ が存在し、 これらをパランスよく抑制していく必要がある。 拡散を含め た酸存在確率分布が一様で、 かつ分子の寸法が遷移領域幅がより大きい とき (これを、 デジタル分子イメージ条件と呼ぶ)、分子自体の凹凸がラ フネスとなる。 この場合のラフネス抑制には低分子量化が有効である。 一方、 分子が小さすぎると、 各分子の溶解性を決める反応サイ トの数 Nsiteが減少し (dP/d (logI)が小さくなり)、 LERが増大する。 そこで、 反 応サイト数の体積密度に依存して最適な分子サイズを選ぶことが好ま しい。 望ましくは、 微細化に伴い、 反応サイ ト数の体積密度ができるだ け大きく、かつサイズのできるだけ小さい分子を用いることが好ましい。 以下、 本発明の実施例について述べる。
実施例 1 :
本発明の別の一実施例として、 酢酸 t一プチル化 /3—シクロデキス ト' リ ン ( I ) を合成した例について述べる。
窒素気流下、 水素化ナトリウム 1. 7 g (0. 070mol) をテトラヒ ドロフ ラン 30ml に分散し、 j3—シクロデキス ト リ ン 11. 3 g (0. OlOmol) のテ トラヒドロフラン溶液 70mlを滴下した。 滴下後、 約 30分室温で攪拌し た後、 ブロモ酢酸 t—ブチル 13. 7 g (0. 070mol)、 テトラ n—プチルァ ンモニゥムブロマイド 1. l g (3. 5mmol) を一度に加え、 3時間還流を行 つた。 次に得られた反応溶液を水 400mlに注いで、 酢酸ェチルで抽出を 行い、 有機層を 3回水洗した。 有機層を硫酸ナトリウムで乾燥した後、 硫酸ナトリウムを濾別し、 ろ液から溶媒を減圧留去、 濃縮して、 n —へ キサンで再沈殿し、 次いで乾燥することにより、 酢酸 t一プチル化 /3— シクロデキス トリ ン 10. 3 gを得た (分子量 1932)。
Figure imgf000022_0001
得られた酢酸 t一プチル化 /3—シクロデキストリン( I ) 100重量部、 酸発生剤トリフエニルスルホニゥムノナフレート 5重量部、 フエ二ルビ リジン 0. 01重量部をシク口へキサノン 300重量部に溶解し、 孔径 0. 20 μ mのテフ口ンフイノレターを用いて, 、滤過しレジスト溶液とした。
へキサメチルジシラザンで処理したシリコン基板上に、 上記のレジス ト溶液を回転塗布し、塗布後 100°Cで 2分間加熱処理して、膜厚 150 n m のレジスト膜を形成した。 このレジスト膜に加速電圧 50 k Vの電子線描 画装置を用いて、 ラインアンドスペースパターンの露光を行った。 その 後、 120°C2分間の露光後べークを行い、 現像は、 23°Cのテ トラメチルァ ンモニゥムヒ ドロキシド水溶液 (0. 119重量%) で 60秒間行い、 続いて 30秒間純水でリンスした。 露光量 32 μ C/cm2で、 ポジ型の 60nmライン アンドスペースパターンが得られた。
得られたパターンのラインエッジラフネスを走査電子顕微鏡で測定した ところ、 4 n m程度であった。 これは、 ポリマーをべ一スとする従来型 レジス トの約半分程度の値である。
上記化合物 ( I ) 1分子当たりの保護基の数は 7個、 その分子量は、 約 1700程度である。 溶解するのに必要な 1分子当たり脱保護反応数は、 現像液濃度が薄くなるほど増大する。 ここでは、 およそ 6個脱保護した ときに溶解が生じるような濃度に現像液濃度を設定した。
次に N a C 1板上に上記レジス トを塗布した。 これに 250 n mの干渉 フィルターを通して、 キセノン水銀ランプの光を照射した。 この際、 前 述の加速電圧 50 k Vの電子線描画でパターン形成に使用した露光量で ある SS CZcm2に感度特性曲線上で相当する K r Fェ.キシマレ一ザ光 を照射した。 露光前および露光後べーク後の赤外吸収スぺク トルにより 測定した。 その結果、 露光後べーク後に 7個のうち 6個〜 7個の tーブ チル基がはずれていることがわかった。
また酢酸 t —プチル化 —シクロデキス トリン (I ) 100重量部、 酸 発生剤ジメチノレフエ-ルスルボユウムノナフレート 15重量部,フエニル ピリジン 0. 05重量部をシク口へキサノン 500重量部に溶解し、孔径 0. 20 のテフロンフィルターを用いて, 濾過しレジスト溶液を調製した。 へキサメチルジシラザンで処理したシリコン基板上に、 上記のレジスト 溶液を回転塗布し、塗布後 100°Cで 2分間加熱処理して、膜厚 150 n mの レジスト膜を形成した。
上記のレジスト組成は、酸発生剤の含量が 15重量部と多く、酢酸 t 一 プチル化 iS—シクロデキストリン (I ) 1分子に対して、 ほぼ酸発生剤 1分子を含有している量に相当する。 ここで、 酸発生剤がシク ·ロデキス トリン構造の環状部に包接されることから、 層分離は見られず、 均一な 塗膜が形成できた。 これにより、 平均してシクロデキストリン構造に 1 個に対して、酸発生剤 1個を含ませることが可能であった。したがって、 従来レジストにおいては困難であった、 酸発生剤をレジスト膜中に均一 に分散させつつその濃度を増大させるのが難しいという課題を解決でき た。
へキサメチルジシラザンで処理したシリコン基板上に、 上記のレジス ト溶液を回転塗布し、塗布後 100°Cで 2分間加熱処理して、膜厚 130 n m のレジスト膜を形成した。 このレジスト膜に加速電圧 70 k Vの電子線描 画装置を用いて、 ラインアンドスペースパターンの露光を行った。 その 後、 120°C2分間の露光後ベータを行い、 現像は、 23°Cのテトラメチルァ ンモニゥムヒ ドロキシド水溶液 (0, 119重量%) で 60秒間行い、 続いて 30秒間純水でリンスした。 露光量 10 C/ cm2の高感度で、 ポジ型の 50 n mラインアンドスペースパターンが得られた。 得られたパターンのラ インエッジラフネスを走査電子顕微鏡で測定したところ、 約 2 n mとさ らに減少することができた。
上記実施例では、 酸発生剤としてジメチルフエニルスルホニゥムノナ フレートを用いたが、 シクロデ、キストリンに包接可能なフエニル基のよ うな疎水性部を有する酸発生剤としては、 これに限らず様々なものを利 用することができる。 具体的には、 ォニゥム塩でも前述のカチオン側に フエ二ノレ基を有するォニゥム塩、 あるいはトリフエニノレス/レホニゥム - 一 トル^ンスルホネートのようにァニオン側にフエ二ル基を有するォ 二ゥム塩が望ましレ、。またォニゥム塩以外でも活性放射線の照射により、 強酸を発生ものであれば良く、 例えば、 スルホン酸エステル、 スルホン 酸ィミ ドなどを用いることできる。スルホン酸ィミドとしては、 N - トリ フノレオロメタンスルホニルォキシナフ.トイルイミ ドなどが挙げられるが、 N - トルエンスルホニルォキシナフトイルイミ ド、 N -カンファースルホ ニルォキシナフトイルイミ ドなどのフヱニル基ゃカンファーの構造を有 するスルホン酸ィミ ドがシクロデキストリン構造に包接されやすいので 望ましい。
次に本発明の一実施例として、 母核として γ—シクロデキストリンを 用いたネガ型レジストを合成した例について述べる。
窒素気流下、 水素化ナトリウム 1. 92 g (0. 080mol) をテトラヒ ドロフ ラン 30mlに分散し、 γ—シクロデキストリン 12. 9g (0. OlOmol) のテト ラフラン溶液 70mlを滴下した。 滴下後、 約 30分室温で攪拌した後、 2 一プロモー γ —プチ口ラク トン 14. 85 g (0. 090mol)、 テトラ n —ブチル アンモ-ゥムプロマイド 1. 2 g (3. 8匪01) を一度に加え、 3時間還流を 行った。 次に得られた反応溶液を水 400mlに注いで、 酢酸ェチルで抽出 を行い、有機層を 3回水洗した。有機層を硫酸ナトリゥムで乾燥した後、 硫酸ナトリウムを濾別し、 ろ液から溶媒を減圧留去、 濃縮して、 n —へ キサンで再沈殿し、 次いで乾燥することにより、 γ —プチ口ラタ トン化 γ—シクロデキストリン (Π ) 13. 5 gを得た (分子量 1969)。
Figure imgf000025_0001
上記のように合成した化合物 (Π ) 6. 0 g (3. Ommol) をテトラヒ ドロ フラン 280ml に溶解し, 0. 13Nテトラメチルアンモニゥム水溶液 185ml を加え, 6時間撹拌した。 それに塩酸水溶液を徐々に加えて弱酸性にし た。 この溶液に酢酸ェチル約 200mlを加えて抽出を 2回行い, 得られた 有機層を 200mlの水で 2回洗浄した。 洗浄後, 有機層を無水硫酸ナトリ ゥムで乾燥し, その後溶媒を減圧留去して減らし, n —へキサン 3 0 0 mlへ注いだ。 沈殿物を濾別, 乾燥して, y—ヒ ドロキシ^ルボン酸構造 を 8個有する化合物 (ΊΠ) 5. O g (分子量 2113) を得た。
Figure imgf000026_0001
この化合物 (m) を loo重量部, 酸発生剤としてジメチルフエニルス ルホニゥムトリフレート 14重量部, 2一ベンジルピリジン 0. 05重量部 を 1ーメ トキシー 2—プロパノール 100重量部に溶解した。 これを孔径 0. 20 μ πι のテフロンフィルターを用いて濾過し, レジス ト溶液とした。 へキサメチルジシラザンで処理したシリコン基板上に, 上記のレジスト 溶液を回転塗布し, 塗布後 1 0 0 °Cで 9 0秒間加熱処理して, 膜厚 0. 25 μ ηιのレジスト膜を形成した。
上記のレジスト組成は、酸発生剤の含量が 14重量部と多く、シクロデ キストリン構造 1分子に対して、 ほぼ酸発生剤 1分子を含有している量 に相当する。 ここで酸発生剤がシクロデキス トリン構造の環状部に包接 されることから、 層分離は見られず、 均一な塗膜が形成できた。 これに より、 平均してシクロデキストリン構造に 1個に対して、 酸発生剤 1個 を含ませることが可能であった。 したがって、 従来レジストにおいては 困難であった、 酸発生剤をレジスト膜中に均一に分散させつつその濃度 を増大させるのが難しいという課題を解決できた。
電子線投影露光装置を用いて, このレジス ト膜の露光を行った。 露光 後 1 2 0 °Cで 9 0秒間露光後ベータを行った。 2 3 °Cのテトラメチルァ ンモニゥムヒ ドロキシド水溶液 (0. 048 重量。/。) で, 現像を 1 0秒間行 い, 続いて 1 5秒間純水でリンスした。 その結果, 露光量 lO CZcm2 の高感度で、 ネガ型の 50nmラインアンドスペースパターンが得られた。 エッジラフネスを測定したところ、 2nm以下と極めて小さかった。
また,このレジスト膜を A r Fエキシマレーザステッパー(N A = 0 . 7 5 ) を用いて, レベンソン型の位相シフ トマスクを介して露光をおこ なった。 その後, 上記のプロセス条件の露光後ベータ, 及び現像を行つ た。 その結果, 露光量 1 5 mjZcm2で, エッジラフネスの極めて小さい ネガ型の 8 0皿ラインアンドスペースパターンが得られた。
N a C 1板上に塗布した上記レジストに, A r Fエキシマ光を 1 5 mj / cm2照射し, 露光前おょぴ露光後べーク後の赤外吸収スぺク トルをパ 一キンエルマ一社製 F T— 1 7 2 0 Xにより測定した。 その結果, 露光 後べーク後にカルボン酸及ぴ水酸基に起因する 3 3 0 0 cm一1のピーク が消失していることがわかった。 またカルボン酸の 1 7 0 5 cm—1のピー クが消失して, ラタ トンに起因する 1 7 7 O cm一1のピークが出現してい ることがわかった。 これらの吸収ピークの変化から, 露光前にあった 1 分子当たり 8個の γ—ヒドロキシカルボン酸は, 露光後にラクトン化し ていることがわかった。 なおここでは, シクロデキストリンと反応させるラタ トン化合物とし て, 2—ブロモー γ—プチロラク トンを用いたが, それ以外に 2—プ.口 モー 3—ジメチノレ一 γ 一プチロラタ トン, 2—プロモー δ—バレロラク トンなどを用いることができる。 実施例 2 :
本発明の別の一実施例として、 1 —ェトキシェチル化カリックス [4] レゾルシンアレン(IV)を合成した例について述べる。
レゾルシノール 10. O g、 ァセトアルデヒ ド 4. O g、 蒸留水 60 g .を攪拌 し、 そこへ濃硫酸 10mlをゆつく り滴下した。 滴下後、 70°Cで 4時間還 流させて、 反応終了後、 1 1の水を加えて、 約 1 0時間攪拌した。 その 後、 固形分を濾別、エタノールで再結晶することにより、 力リ ックス [4] レゾルシンアレン 11. 5 gを得た。
次に力リックス [4]レゾノレシンアレン 10. 9 g (0. 020mol) をテトラヒ ドロフラン 100ml に溶解し、 そこへェチルビニルエーテル 13. 8 g (0. 192mol)、 ピリジニゥム一 p— トルエンスルホネート 0. 90 gを入れ 密栓をして、 10時間反応させた。 反応後、 酢酸ェチル 200mlを加えて、 150ml水で水洗を 3回行った。 その後、 硫酸ナトリゥムで乾燥し、 硫酸 ナトリウムを濾別、 ろ液を濃縮後、 n—へキサンで再沈殿し、 次いで乾 燥を行って、 1ーェトキシェチル化カリ ックス [4]レゾルシンアレン (IV) 7. 2 g (分子量 1121) を得た。
Figure imgf000029_0001
得られた 1 ーェ トキシェチル化カ リ ックス [4] レゾルシンアレン (IV) 100重量部、 酸発生剤トリフエ-ルスルホニゥムノナフレート 5重 量部, 2—べンジルピリジン 0..01重量部をシク口へキサノン 300重量部. に溶解し、 孔径 0. 20 111のテフロンフィルターを用いて, 濾過しレジス ト溶液とした。
へキサメチルジシラザンで処理したシリコン基板上に、 上記のレジス ト溶液を回転塗布し、 塗布後 100°Cで 2分間加熱処理して、 膜厚 150皿 のレジスト膜を形成した。このレジス ト膜に加速電圧 50kVの電子線描画 装置を用いて、ラインアンドスペースパターンの露光を行った。その後、 120°C2分間の露光後べ一クを行い、 現像は、 23°Cのテトラメチルアンモ 二ゥムヒ ドロキシド水溶液 (0. 48重量。/。) で 60秒間行い、 続いて 30秒 間純水でリンスした。 露光量 25 / CZcm2で、 ポジ型の 50皿ラインアン ドスペースパターンが得られた。
得られたパターンのラインエッジラフネスを走查電子顕微鏡で測定した ところ、 約 3ηιηと小さいことがわかった。
上記化合物 (IV) 1分子当たりの保護基の数は 8個、 その分子量は、 1120である。 溶解するのに必要な 1分子当たり脱保護反応数は、 現像液 濃度が薄くなるほど増大する。 ここでは、 ほぼ 6個脱保護したときに溶 解が生じるような濃度に現像液濃度を設定した。 さらに上記のレジスト膜について、 CHF3ガスを用いて平行平板型の反 応性イオンエッチング装置により, エッチングを行った。 条件は, CHF3 流量 35sccm, ガス圧 lOmTorr, R Fバイアスパワー 150Wを用いた。 そ の結果、 このレジス トのエッチレートは, 市,阪のポリ ヒ ドロキシスチレ ンを 1. 0とした場合に, 1. 25であり, ドライエッチング耐性が高いこと がわかった。
次に N a C 1板上に上記レジストを塗布した。 これに 250皿の干渉フ ィルターを通して、 キセノン水銀ランプの光を照射した。 この際、 前述 の加速電圧 50kV の電子線描画でパターン形成に使用した露光量である 25 μ 0 ™2に感度特性曲線上で相当する K r Fエキシマレーザ光を照 射した。 露光前および露光後べーク後の赤外吸収スぺク トルにより測定 した。 その結果、 露光後ベータ後に 8個のうち約 7個のエトキシェチル 基がはずれていることがわかった。
次に得られた 1 ーェトキシェチル化力リックス [4]レゾルシンアレン (IV) 100 重量部、 酸発生剤ジメチルフエニルスルホニゥムトリフレート 25重量部, 2—ベンジルピリジン 0. 05重量部をシクロへキサノン 500重 量部に溶解し、 孔径 0. 20 i mのテフロンフィルターを用いて, 濾過しレ ジスト溶液とした。
上記のレジスト組成は、酸発生剤の含量が 25重量部と多く、 1一エト キシェチル化力リックス [4]レゾルシンアレン 0V) 1分子に対して、酸発 生剤 1分子を含有している量に相当する。 ここで、 図 1 0に模式的に示 すように、酸発生剤は力リックス [4]レゾルシンアレン構造の環状部に包 接されることから、 層分離は見られず、 均一な塗膜が形成できた。 これ により、 平均してシクロデキストリン構造に 1'個に対して、 ほぼ酸発生 剤 1個を含ませることが可能であった。 したがって、 従来レジス トにお いては困難であった、 酸発生剤をレジスト膜中に均一に分散させつつそ 02 007760
29 の濃度を増大させるのが難しいという課題を解決できた。
へキサメチルジシラザンで処理したシリコン基板上に、 上記のレジス ト溶液を回転塗布し、 塗布後 100°Cで 2分間加熱処理して、 膜厚 120nm のレジスト膜を形成した。このレジスト膜に加速電圧 70kVの電子線描画 装置を用いて、ラインアンドスペースパターンの露光を行った。その後、 120°C2分間の露光後べ一クを行い、 現像は、 23°Cのテトラメチルアンモ ゥムヒ ドロキシド水溶液 (0. 48重量%) で 60秒間行い、 続いて 30秒 間純水でリンスした。 露光量 C/cm2の高感度で、 ポジ型の 50nmライ ンアンドスペースパターンが得られた。 得られたパターンのラインエツ ジラフネスを走査電子顕微鏡で測定したところ、 2nm 以下と小さいこと カ わかった。
上記実施例では、 酸発生剤としてジメチルフヱ-ルスルホニゥムノナ フレートを用いたが、力リックス [4]レゾルシンアレン構^の環状部に包 接可能な酸発生剤は、これに限らず様々なものを利用することができる。 次に、本発明の別の一実施例として、母核として力リックス [4]レゾル シンアレンを用いたネガ型レジストを合成した例について述べる。
窒素気流下、 水素化ナトリウム 3. 84 g (0. 160mol) をテトラヒ ドロフ ラン 40ml に分散し、力リ ックス [4]レゾルシンアレン 10. 8 g (0. 020mol) のテトラフラン溶液 80mlを滴下した。.滴下後、 約 30分室温で攪拌した 後、 2—プロモー γ—プチロラク トン 29. 7 g (0. 160mol)、 テトラ n— プチルアンモニゥムプロマイド 2. 4 g (7. 6mmol) を一度に加え、 3時間 還流を行った。 次に得られた反応溶液を水 400mlに注いで、 酢酸ェチル で抽出を行い、 有機層を 3回水洗した。 有機層を硫酸ナトリウムで乾燥 した後、硫酸ナトリゥムを濾別し、ろ液から溶媒を減圧留去、濃縮して、 η —へキサンで再沈殿し、 次いで乾燥することにより、 γ—ブチロラタ トン化カリックス [4Ίレゾルシンアレン(V ) 14. 6 gを得た(分子量 1217)。
Figure imgf000032_0001
上記のように合成した化合物 (V ) 7. 3 g (6. Ommol) をテトラヒ ドロ フラン 400ml に溶解し, 0. 13Nテトラメチルアンモニゥム水溶液 370ml を加え, 6時間撹拌した。 それに塩酸水溶液を徐々に加えて弱酸性にし た。 この溶液に酢酸ェチル約 200mlを加えて抽出を 2回行い, 得られた 有機層を 200mlの水で 2回洗浄した。 洗浄後, 有機層を無水硫鳞ナトリ ゥムで乾燥し, その後溶媒を減圧留去して減らし, n —へキサン 3 0 0 mlへ注いだ。 沈殿物を濾別, 乾燥して, ヒ ドロキシカルボン酸構造 を 8個有する化合物 (VI) 5. 3 g (分子量 1361) を得た。
(VI)
Figure imgf000032_0002
の化合物 (VI) を 100重量部, 酸発生剤としてジメチルフヱニルス ルホニゥム トリフレート 21重量部, 2—べンジルピリジン 0. 05重量部 を 1ーメ トキシー 2—プロパノール 300重量部に溶解した。 これを孔径 0. 20 /i m のテフロンフィルターを用いて濾過し, レジスト溶液とした。 へキサメチルジシラザンで処理したシリコン基板上に, 上記のレジスト 溶液を回転塗布し, 塗布後 1 0 0 °Cで 9 0秒間加熱処理して, 膜厚 0. 20 mのレジスト膜を形成した。
上記の ジスト組成は、酸発生剤の含量が 21重量部と多く、カリック ス [4]レゾルシンアレン構造 1分子に対して、酸発生剤 1分子を含有して いる量に相当する。ここで酸発生剤が力リックス [4]レゾルシンアレン構 造の環状部に包接されることから、 層分離は見られず、 均一な塗膜が形 成できた。 これにより、 平均してシクロデキストリン構造に 1個に対し て、 酸発生剤 1個を含ませることが可能であった。 したがって、 従来レ ジストにおいては困難であった、 酸発生剤をレジスト膜中に均一に分散 させつつその濃度を増大させるのが難しいという課題を解決できた。 電子線投影露光装置を用いて, このレジス ト膜の露光を行った。 露光 後 1 2 0 °Cで 9 0秒間露光後べークを行った。 2 3 °Cのテトラメチルァ ンモニゥムヒ ドロキシド水溶液 (0. 048 重量0 /0) で, 現像を 1 0秒間行 レ、, 続いて 1 5秒間純水でリンスした。 その結果, 露光量 7 /i CZcm2の 高感度で、ネガ型の 50nmラインアンドスペースパターンが得られた。ェ ッジラフネスを測定したところ、 2腿と極めて小さかった。
また,このレジスト膜を A r Fエキシマレーザステッパー(N A = 0 . 7 5 ) を用いて, レベンソン型の位相シフ トマスクを介して露光をおこ なった。 その後, 上記のプロセス条件の露光後べーク, 及ぴ現像を行つ た。 その結果, 露光量 1 3 mjZcm2で, エッジラフネスの極めて小さい ネガ型の 8 O nmラインアンドスペースパターンが得られた。 実施例 3 :
本発明の別の一実施例として、 酢酸 一プチル化フラーレンを合成し た例について述べる。
窒素気流下、 水素化ナトリウム 0. 17 g (7. Ommol) をテトラヒ ドロフ ラン 10mlに分散し、そこへ市販の水溶性フラ一レン C 60 (0H) n (n=22- 26) 1. 13 g ( 1. Ommol)をテトラヒ ドロフラン溶液 10mlに分散させて加えた。 約 1時間室温で攪拌した後、 プロモ酢酸 t -プチル 0. 14 g (7. 0醒 ol) 、 テトラ n—プチルアンモニゥムプロマイ ド 0. 011 g (0. 035mmol) を一度 に加え、 60°Cで 1時間加熱した。 次に得られた反応溶液を水 400mlに注 いで、 析出物を濾別、 水洗をして、 酢酸 t一プチル化フラーレン 1. 05 g を得た (分子量 1933)。
得られた酢酸 t一プチル化フラーレン 100重量部、 酸発生剤トリフエ ニルスルホニゥムノナフレート 5重量部,フエニルピリジン 0. 01重量部 をプロピレングリコールモノメチルエーテル 300重量部に溶解し、 孔径 0. 20 μ πιのテフロンフィルターを用いて, 濾過しレジスト溶液とした。 へキサメチルジシラザンで処理したシリコン基板上に、 上記のレジス ト溶液を回転塗布し、 塗布後 100°Cで 2分間加熱処理して、 膜厚 lOOnm のレジスト膜を形成した。このレジスト膜に加速電圧 50kVの電子線描画 装置を用いて、ラインアンドスペースパターンの露光を行った。その後、 120。C2分間の露光後べ一クを行い、 現像は、 23°Cのテトラメチルアンモ 二ゥムヒ ドロキシド水溶液 (0. 048重量0 /0) で 30秒間行い、 続いて 10 秒間純水でリンスした。 露光量 22. 5 CZ cm2で、 ポジ型の 50nmライン アンドスペースパターンが得られた。 得られたパターンのラインエッジ ラフネスを走査電子顕微鏡で測定したところ、 2. 5nm と小さいことがわ かった。 実施例 4 :
本発明の別の一実施例として、 1 ーェトキシェチル化ォクタキス (4 一ヒ ドロキシフエ二ノレ) ェチノレジメチノレシ口キシ) シノレセスキォキサン ( ) を合成した例について述べる。
4ーヒ ドロキシスチレン 9. 4 g、 ォクタキス (ジメチノレシリ 口キシ) シルセスキォキサン 10. O gをィソプロパノール 100mlに溶解し、そこに 塩化白金酸水和物 0. 050 gのィソプロパノール溶液 5mlを加え、.室温で 6 時間反応させた。 反応後、 反応液を水 200mlに入れ、 酢酸ェチル 200ml を加えて、 生成したォクタキス (4—ヒ ドロキシフエ二 <レ) ェチルジメ チルシ口キシ) シルセスキォキサンの抽出を行った。 抽出後、 水洗を 2 回行い、 有機層を硫酸ナトリ ウムで乾燥した後、 溶媒を減圧留去、 濃縮 して、 n—へキサンで再沈殿、 次いで乾燥することにより、 ォクタキス ( 4 ーヒ ドロキシフエ二ノレ) ェチルジメチ /レシ口キシ) シルセスキォキ サン 16. 2 g (分子量 1978) を得た。
ォクタキス (4—ヒ ドロキシフエ-ノレ) ェチノレジメチノレシ口キシ) シ ルセスキォキサン 15. 8 g (8. 0匪 ol) をテトラヒ ドロフランに溶解し、 そこへェチルビニルエーテル 4. 6 g (0. 064mol)、 ピリジニゥムー p—ト ルエンスルホネート 0. 30 gを入れ密栓をして、 10時間反応させた。反応 後、酢酸ェチル 200mlを加えて、 150ml水で水洗を 3回行った。 その後、 硫酸ナトリ ウムで乾燥し、 硫酸ナトリ ウムを濾別し、 ろ液を濃縮後、 n 一へキサンで再沈殿、 次いで乾燥を行って、 1一エトキシェチル化ォク タキス ( 4ーヒ ドロキシフエ二ノレ) ェチルジメチノレシ口キシ) シルセス キォキサン CYH) 16. 8 (分子量 2411) を得た。
Figure imgf000036_0001
得られた 1 ーェトキシェチル化ォクタキス(4ーヒ ドロキシフエニル) ェチルジメチルシロキシ) シルセスキォキサン(,)100 重量部、 酸発生 剤トリフエニルスルホニゥムノナフレート 5重量部, 2—ベンジルピリジ ン 0. 01 重量部をシクロへキサノン 300重量部に溶解し、 孔径 0.
のテフロンフィルターを用いて, 濾過し第 1のレジス卜溶液とした。 次に、 上記レジストをイメージング層としていわゆる 2層レジス ト法 に適用した例について述べる。 へキサメチルジシラザンで処理したシリ コン基板上に、 通常のノポラック樹脂を主成分とする第 2のレジスト溶 液を回転塗布し、 塗布後 200°Cで 15分間加熱処理して、 膜厚 500nmのハ ードベータ有機膜を形成した。 しかる後に、 上記第 1のレジスト溶液を 回転塗布し、 塗布後 100°Cで 2分間加熱処理して、 膜厚 lOOnmのレジス ト膜を形成した。このレジス ト膜に加速電圧 50kVの電子線描画装置を用 いて、 ラインアンドスペースパターンの露光を行った。 その後、 120°C2 分間の露光後べークを行い、 現像は、 23。Cのテトラメチルアンモニゥム ヒ ドロキシド水溶液 (2. 38重量%) で 60秒間行い、 続いて 30秒間純水 でリンスした。 露光量 10 Cノ cm2で、 ポジ型の 50nmラインアンドスぺ ースパターンが得られた。 得られたパターンのラインエッジラフネスを 走査電子顕微鏡で測定したところ、 2皿と小さいことがわかった。
上記化合物 1分子当たりの保護基の数は 8個、 その分子量は、 約 2400である。溶解するのに必要な 1分子当たり脱保護反応数は、現像 液濃度が薄くなるほど増大する。 ここでは、 6個脱保護したときに溶解 が生じるような濃度に現像液濃度を設定した。
次に N a C 1板上に上記レジストを塗布した。 これに 250皿の干渉フ ィルターを通して、 キセノン水銀ランプの光を照射した。 この際、 前述 の加速電圧 50 k Vの電子線描画でパターン形成に使用した露光量であ る 10 / CZcm2に感度特性曲線上で相当する K r Fエキシマレーザ光を 照射した。 露光前および露光後べーク後の赤外吸収スぺク トルにより 測定した。 その結果、 露光後べーク後,に 8個のうち 6個のエトキシェチ ル基がはずれていることがわかった。
さらに上記の第 1のレジスト膜に形成したパターンをマスクとして、 02 - RIE エッチング装置により、 上記ハードベータ有機膜のエッチング を行った。 上記レジス トは、 18重量%のシリコンを含有している。 この レジストのエッチレートは、 ハードベータしたノポラック樹脂を 1とし た場合に 1/22であり、高い選択比でノポラック樹脂にパターンを転写す ることができた。 即ち、 本実施例の材料により、 高精度の 2層レジス ト プロセスが可能であった。
また、 本実施例による上記第 1のレジストは、 F2エキシマレーザの露 光波長である 157塵での吸収が比較的小さく、 膜厚 1 mでの吸光度が 1.9 であった。 そこで本レジス トを上記と同様のプロセス条件で、 膜厚 lOOnmで F。エキシマレーザステッパー (NA0. 80) を用いて評価し たところ、 露光量 17mj/cni2で, エッジラフネスの極めて小さいポジ型 の 6 Onmラインアンドスペースパターンが得られた。
また、 上記実施例では、 ポジ型レジス トについて述べたが、 実施例 2 同様に、 才クタキス (ジメチルシリ口キシ) シルセスキォキサンを母核 分子として、 その周囲に酸触媒反応により極性から非極性に転換する反 応基を導入してネガ型レジストを構成することもできる。 かかる反応基 としては、 たとえば γ—ヒ ドロキシカルボン酸構造を用いることができ る。 ,
以上、 本実施例によれば、 エッジラフネスの極めて小さな高精度の 2 層レジス トプロセスが可能である。
実施例 5 :
本発明の別の一実施例として、 母核.として多核フエノールを用いたポ ジ型レジストを合成した例について述べる。
T P P A- 1 00 0 Ρ (本州化学工業㈱) 5 gを酢酸ェチル 1 00ml に溶解し、 3, 4—ジヒドロ _ 2 H—ピラン 5. O g、 塩酸 0. 02 g を加え、 室温で 20時間攪拌した。 溶液に、 2 %水酸化カリウム水溶液 1 0 Omlを添加分液し、有機層を 1 00mlの水で 3回洗浄し、溶媒を減 圧乾燥し、 THPによりフ ノール性水酸基を保護した TP P A- 1 0 O O P (白色固体) 5. 5 gを得た。 このときの保護化率は, 80. 0 % であった。
上記 THPによりフエノール性水酸基を保護した T P P A- 1 000 P : 100重量部、 酸発生剤としてトリフエニルスルホニゥムパーフル ォロブタンスルホネート 5重量部を 2—ヘプタノンに溶解させ、これを、 孔経 0. 2 πι のテフロンメンブレムフィルタで濾過し本発明によるポ ジ型感光性樹脂組成物の溶液を調合した。
比較のため、 ベース樹脂としてノポラック樹脂: 100重量部, 溶解 阻害剤として合成例で示した THPによりフエノール性水酸基を保護し た TP PA- 1 000 P : 20重量部, 酸発生剤としてトリフエニルス /レホニゥムパーフルォロプタンスルホネート 5重量部を 2—へプタノン に溶解させ、 これを、 孔径 0. 2 rn のテフロンメンブレムフィルタで 濾過し比較用のポジ型感光性樹脂組成物の溶液を調合した。 この様に、 THPによりフエノール性水酸基を保護した T P P A- 1 000 Pを、 化学増幅系レジストに溶解阻害剤として混合された例はすでに知られて いる。
上記 2種類の感光性樹脂組成物溶液の各々に対して、 これをシリコン ウェハ上に滴下、回転塗布後 1 20°C、 2分間熱処理して、各々厚さ 0. 4 zmの塗膜を得た。電子線描画装置(電子線の加速電圧は 5 OkV) で、 テス トパターンを描画後、 100°C、 .2分間熱処理し、 その後水酸化テ トラメチルアンモニゥム水溶液を現像液に用いて 60秒間現像したとこ ろ、 適当な電子線照射量 (約 1 0 μθ cm2) で 0. Ι μηιの良好なポジ 型パターンを得た。 パターンのラインエッジラフネスについて測定した ところ、 比較用のレジストでは 8nraであったのに対し、 本発明によるレ ジストの場合約 3皿に低減された。 比較例では、 レジストを構成するマ トリタスの主成分は従来ポリマーなのでエッジラフネスは従来型のレジ ストと同様であるのに対して、 本実施例では、 ラフネスが減少した。 上記 THPによりフエノール性水酸基を保護した T P P A- 10 00 P 1分子当たりの保護基の数は、 6個、 その分子量は、 約 1500である。 溶解するのに必要な 1分子当たり脱保護反応数は、 現像液濃度が薄くな るほど増大する。 ここでは、 4個脱保護したときに溶解が生じるような 濃度に現像液濃度を設定した。 実施例 6 : +
本発明の別の一実施例として、 母核として多核フエノールを用いたネ ガ型レジストを合成した例について述べる。
多核フエノール化合物として TP PA— 1000 P (本州化学工業製) 1 0. 0 g (9. 42mmol) と, 2—ブロモ _ γ—プチ口ラタトン 9. 3 3 g (56. 5mmol) をテトラヒ ドロフラン 5 00 mlに溶解し, そこ に, 水素化ナトリウム 2. 71 g (1 1 3 mmol) を徐々に加えた。 室温 で 1 2時間撹拌後, 沈殿している臭化ナトリウムを濾別した。 濾液に酢 酸ェチノレ 50 Omlを加え, 希塩酸 500 ml,続いて水 50 Omlで洗浄し た。 洗浄後, 有機層を無水硫酸ナトリウムで乾燥し, その後溶媒を減圧 留去して減らし, n—へキサン/テトラヒ ドロフラン混合溶液 30 Oml へ注いだ。 沈殿物を濾別して, 化合物 ( ) を得た。
(皿)
Figure imgf000040_0001
上記のように合成した化合物 (YI) 5. 0 g (3. 1 9mmol) をテト ラヒ ドロフラン 3 3 Omlに溶解し, 0. 1 テトラメチルアンモニゥ ム水溶液 221mlを加え, 6時間撹拌した。 それに塩酸水溶液を徐々に 加えて弱酸性にした。 この溶液に酢酸ェチル約 33 Omlを加えて抽出を 2回行い, 得られた有機層を 20 Omlの水で 2回洗浄した。 洗浄後, 有 機層を無水硫酸ナトリゥムで乾燥し,その後溶媒を減圧留去して減らし, n—へキサン 30 Omlへ注いだ。 沈殿物を濾別, 乾燥して, γ—ヒ ドロ キシカルボン酸構造を 6個有する化合物 (DO を得た。
Figure imgf000041_0001
この化合物 (Κ) 1 00重量部, 酸発生剤としてトリフエニルスルホ 二ゥムノナフレート 1 0重量部, 2—べンジルピリジン 0. 05重量部 を 1—メ トキシー 2—プロパノール 1000重量部に溶解した。 これを 孔径 0. 20 m のテフロンフィルターを用いて濾過し, レジスト溶液 とした。 へキサメチルジシラザンで処理したシリ コン基板上に, 上記の レジスト溶液を回転塗布し, 塗布後 100°Cで 90秒間加熱処理して, 膜厚 0. 35 mのレジスト膜を形成した。
電子線投影露光装置を用いて, このレジスト膜の露光を行った。 露光後 1 2 0でで 9 0秒間露光後ベータを行つた。 2 3 °Cのテトラメチルァン モ-ゥムヒ ドロキシド水溶液 (2 . 3 8重量%) で, 現像を 1 0秒間行 レ、, 続いて 1 5秒間純水でリンスした。 その結果, エッジラフネスの極 めて小さいネガ型のレジス トパターンを形成した。
また, このレジスト膜を A r Fエキシマレーザステッパー (N A二 0 . 6 0 ) を用いて, レベンソン型の位相シフ トマスクを介して露光をおこ なった。 その後, 上記のプロセス条件の露光後べーク, 及ぴ現像を行つ た。 その結果, 露光量 1 5 mJ/cm2で, エッジラフネスの極めて小さい ネガ型の 0 . 1 0 μ πιラインアンド'スペースパターンが得られた。
N a C 1板上に塗布した上記レジス トに, A r Fエキシマ光を 1 5 mJZ cm2照射し, 露光前おょぴ露光後ベータ後の赤外吸収スぺク トルをパー キンエルマ一社製 F T— 1 7 2 0 Xにより測定した。 その.結果, 露光後 ベーク後にカルボン酸及ぴ水酸基に起因する 3 3 0 O cm— 1のピークが 完全に消失していることがわかった。 またカルボン酸の 1 7 0 5 cm—1の ピークが消失して, ラク トンに起因する 1 7 7 0 一1のピークが出現し ていることがわかった。 これらの吸収ピークの変化から, 露光前にあつ た 1分子当たり 6個の γ—ヒ ドロキシカルボン酸が, 露光後に全てラク トン化していることがわかった。
なおここで , 多核フエノールと反応.させるラク トン化合物として, 2 一プロモー γ—プチロラク トンを用いたが, それ以外に 2—ブロモ一 3 ージメチルー γ—プチ口ラタトン, 2 _プロモー δ—パレロラク トンな どを用いることができる。
上記化合物 (X) 1分子当たりの反応基の数は 6、 その分子量は、 1600 である。 溶解するのに必要な 1分子当たりラタ トン化反応数は、 現像液 濃度が濃くなるほど増大する。 本実施例では、 5個の反応基がラク トン 化したときに不溶化が生じるような濃度に現像液濃度を設定した。 · 実施例 7 :
本発明の別の一実施例として、 母核にステロイド骨格を用いたネガ型 レジストを合成した例について述べる。
クェン酸 5 0. 0 g (260 mmol) , 1 , 3—ジョードプロパン 3 8. 5 g (1 3 0 mmol) をテトラヒ ドロフラン 50 0 ml に溶解し, そこに, 水素化ナトリウム 9. 4 g (3 90賺01) を徐々に加えた。 室温で 1 2 時間撹拌後, 沈殿しているヨウ化ナトリウムを濾別した。 濾液に酢酸ェ チル 5 0 Omlを加え, 希塩酸 5 0 0 mlで洗浄した。 洗浄後, 有機層を無 水硫酸ナトリウムで乾燥し, その後溶媒を減圧留去して減らし, η_へ キサン/テトラヒドロフラン^合溶液 3 0 0mlへ注いだ。沈殿物を瀘別 して, 化合物 (X) を得た。
Figure imgf000043_0001
得られた上記の化合物 (X) 1 0. 0 g (2 3. 6 mmol) と塩化チォ ニル 84. 1 g (70 7讓 ol) および.ジメチルホルムアミ ド 1滴を混合 し, 撹拌下, ガスの発生が停止するまで 4時間還流した。 還流後、 未反 応の塩化チォニルを減圧留去し, 酸塩化物 (X I) を得た。 は
Figure imgf000043_0002
次に, t r a n s—アンドロステロン 10. 0 g (34. 4腿 ol) と ピリジン 4. 0 9 g (5 1. 6 mmol) をテトラヒ ドロフラン 200 mlに 溶解し, そこに酸塩化物 (X I) 3. 0 7 g (5. 74 mmol) をテトラ ヒドロフラン 3 Omlに溶解した溶液を 0 °Cで滴下した。 滴下後, 室温で 2時間攪拌し, 更に 6時間加熱還流した。 還流後, 沈殿しているピリジ ンの塩酸塩を濾別した。 濾液に酢酸ェチル 30 0 mlを加え, 水 20 0 ml で 3回水洗した。 .水洗後, 有機層を無水硫酸ナトリウムで乾燥し, その 後溶媒を減圧留去して減らし, へキサンノテトラヒ ドロフラン混合溶液 5 0 0mlへ注いだ。 沈殿物を濾別して, 化合物 (ΧΠ) を得た。
Figure imgf000044_0001
得られた化合物 (ΧΠ) 5. 0 g (2. 4 3 mmol) を酢酸 1 5 Omlに 溶解し,過酸化水素水 50ml と p—トルエンスルホン酸 0. 5 gをカロえ, 5 0°Cで 1 2時間撹拌した。 反応後, 溶媒'を減圧留去して減らし, 1 1 の水の中に注いだ。 沈殿物を濾別, 乾燥して, δ—ラク トン構造を有す る化合物 (xm) を得た。
Figure imgf000045_0001
上記のように合成した化合物 (ΧΙΠ) 3. 0 g (1. 3 9mmol) をテ トラヒ ドロ'フラン 1 0 0mlに溶解し, 0. 1 テトラメチルアンモニ ゥム水溶液 6 5mlを加え, 6時間撹拌した。 それに塩酸水溶液を徐々に 加えて弱酸性にした。 この溶液に酢酸ェチル約 200 mlを加えて抽出を 2回行い, 得られた有機層を 200mlの水で 2回洗浄した。 洗浄後, 有 機層を無水硫酸ナトリゥムで乾燥し,その後溶媒を減圧留去して減らし, II キサン 3 00ml 注いだ。 沈殿物を濾別, 乾燥して, δ—ヒ ドロ キシカルボン酸構造を 6個有する化合物 (XIV) を得た。
Figure imgf000045_0002
この化合物 (XIV) 100重量部, 酸発生剤としてトリフエニルスル ホ-ゥムノナフレート 10重量部, 2—べンジルピリジン 0. 05重量 部を 1ーメ トキシー 2—プロパノール 1 000重量部に溶解した。 これ を孔径 0. 20 m のテフロンフィルターを用いて濾過し, レジス ト溶 液とした。 へキサメチルジシラザンで処理したシリコン基板上に, 上記 のレジスト溶液を回転塗布し,塗布後 100°Cで 90秒間加熱処理して, 膜厚 0. 35 / mのレジスト膜を形成した。
電子線投影露光装置を用いて, このレジスト膜の露光をおこなった。 露光後 1 20°Cで 90秒間露光後べーク'を行った。 23°Cのテトラメチ ルァンモニゥムヒ ドロキシド水溶液 ( 2. 3 8重量0/。) で, 現像を 1 0 秒間行い, 続いて 1 5秒間純水でリンスした。 その結果, エッジラフネ スの極めて小さいレジストパターンを形成した。
また, このレジスト膜を A r Fエキシマレーザステッパー (NA=.0. 60) を用いて, レベンソン型の位相シフトマスクを介して露光をおこ なった。 その後, 上記のプロセス条件の露光後べーク, 及び現像を行つ た。 その結果, 露光量 1 OmJZcm2で, エッジラフネスの極めて小さい ネガ型の 0. Ι Ο μπιラインアンドスペースパターンが得られた。
N a C 1板上に塗布した上記レジストに, A r Fエキシマ光を 10 nj/ cm2照射し, 露光前および露光後べーク後の赤外吸収スぺク トルを測定 した。 その結果, 露光後べーク後にカルボン酸及ぴ水酸基に起因する 3 30 0cm一1のピークが完全に消失していることがわかった。またカルボ ン酸の 1 700 cm—1のピークが消失して, ラク トンに起因する 1 740 c m一1のビ.ークが出現していることがわかった。 これらの吸収ピークの 変化から, 露光前にあった 1分子当たり 6個の δ—ヒドロキシカルボン 酸が, 露光後に全てラタトン化していることがわかった。
なお,ステロイ ド骨格を用いたネガ型レジストとしては既に例があるが, レジストを構成するマトリタスの主成分は従来型ポリマーなので, エツ ジラフネスは従来型のレジストと同様である。 これに対して、 本実施例 では、 ラフネスが減少した。
上記化合物(XIV) 1分子当たりの反応基の数は 6、その分子量は、 2275 である。 溶解するのに必要な 1分子当たりラク トン化反応数は、 現像液 濃度が濃くなるほど増大する。 本実施例では、 6個の反応基がラク トン 化したときに不要化が生じるような濃度に現像液濃度を設定した。
実施例 8 :
本発明の別の一実施例として、 母核にステロイ ド骨格を用いたポジ型 レジストを合成した例について述べる。
コーノレ酸 t e r t—プチノレエステノレ 1 0. 0 g (2 1. 5 mmol) とピ リジン 2. 5 5 g (3 2. 3 mmol) をテトラヒ ドロフラン 20 0 ml に溶 解し, そこに酸塩化物 (X I ) 1. 9 2 g (3. 5 9 mmol) をテトラヒ ドロフラン 3 Omlに溶解した溶液を 0°Cで滴下した。 滴下後, 室温で 2 時間攪拌し, 更に 6時間加熱還流した。 還流後, 沈殿しているピリジン の塩酸塩を濾別した。 濾液に酢酸ェチル 3 0 Oml を加え, 水 20 Oral で 3回水洗した。 水洗後, 有機層を無水硫酸ナトリウムで乾燥し, その 後溶媒を減圧留去して減らし, へキサン テトラヒドロフラン混合溶液 5 00mlへ注いだ。 沈殿物を濾別して, 化合物 (XV) を得た。
Figure imgf000048_0001
この化合物 (XV) 100重量部, 酸発生剤として ト リ フエニルスル ホニゥムノナフレート 10重量部, 2—べンジルピリジン〇. 05重量 部をプロピレンダリコールメチルァセテート 1000重量部に溶解した c これを孔径 0. 20 μπι のテフロンフィルターを用いて濾過し, レジス ト溶液とした。 へキサメチルジシラザンで処理したシリコン基板上に, 上記のレジス ト溶液を回転塗布し, 塗布後 1 00 で 90秒間加熱処理 して, 膜厚 0. 35 /zmのレジス ト膜を形成した。
電子線投影露光装置を用いて, この.レジス ト膜の露光をおこなった。 露光後 1 20°Cで 90秒間露光後べークを行った。 23°Cのテトラメチ ルアンモニゥムヒ ドロキシド水溶液 (0. 05重量%) で, 現像を 10 秒間行い, 続いて 15秒間純水でリンスした。 その結果, エッジラフネ スの極めて小さいレジス トパターンを形成した。
また,このレジスト膜を A r Fエキシマレーザステッパー(NA 0. 60) を用いて, レベンソン型の位相シフトマスクを介して露光をおこ なった。 その後, 上記のプロセス条件の露光後ベータ, 及び現像を行つ た。 その結果, 露光量 2 O mjZcm2で, エッジラフネスの極めて小さい ネガ型の 0 . 1 0 mラインアンドスペースパターンが得られた。
上記化合物 (X V ) 1分子当たりの保護基の数は 6個、 その;^子量は、 3100である。 溶解するのに必要な 1分子当たり脱保護反応数は、 現像液 濃度が薄くなるほど増大する。 ここでは、 6個脱保護したときに溶解が 生じるような濃度に現像液濃度を設定した。
N a C 1板上に塗布した上記レジストに, A r Fエキシマ光を 2 0 mj ノ cm2照射し, 露光前おょぴ露光後べーク後の赤外吸収スぺク トルを測 定した。 その結果, 露光後べーク後にカルボン酸に起因する 3 3 0 0 cm一1のピークが出現していることがわかった。 またカルボン酸の 1 7 0 0 cm一1のピークが出現して, t e r t —プチルエステル基に起因する 1 7 2 0 CHT 1のピークが完全に消失していることがわかった。 これらの吸 収ピークの変化から, 露光前にあった 1分子当たり 6個の t e r tープ チルエステル基が, 露光後に全て脱保護していることがわかった。
なおここでは, t e r t一ブチルエステルを有するステロイ ド化合物 として, コール酸 t e r t—プチルエステルを用いたが, それ以外にデ ォキシコール酸 t e r t一プチノレエステノレ, リ トコ一ノレ酸 t e r tープ チノレエステノレ, ウルソデォキシコ一ノレ酸 t e r t一プチノレエステノレなど を用いることができる。 .
また,ステロイ ド骨格を用いたポジ型レジス トとしては既に例がある力 レジストを構成するマトリタスの主成分は従来型ポリマーなので, エツ ジラフネスは従来型のレジストと同様である。 これに対して、 本実施例 では、 ラフネスが減少した。 実施例 9 :
へキサメチルジシラザンで処理したシリコン基板上に、 実施例 1記載 のポジ型レジスト溶液を回転塗布し、 塗布後 100°Cで 2分間加熱処理し て、 膜厚 150皿 のレジスト膜を形成した。 このレジス ト膜に加速電圧 100kVの電子線投影露光装置を用いて、 様々なパターンを投影露光し、 さらに 120°C、 3分間の露光後べ一クを行った。 しかる後に、上記ウェハ 一を下記のごとく超臨界現像装置を用いて現像してネガ型パターンを得 た。
まず、 上記ウェハーを超臨界現像用高圧チ ンバーに設置した後、 液 化 2酸化炭素 (C02) を導入する。 チェンバーを液化 2酸化炭素で満たし た後、 圧力を臨界圧 (7. 38MPa) 以上に上昇し、 次に温度を臨界温度 (31. 1°C) 以上に上昇させてチェンパー内を 2酸化炭素の超臨界状態と する。 この状態を一定期間保持した後、 圧力を下げてチュンバー内を気 相とする。 しかる後に、 ウェハーをチェンパーから外部へ取り出した。 上記 2酸化炭素の超臨界状態において、 上記レジストの未露光部は非極 性のため超臨界 2酸化炭素中に溶出する一方、 露光による脱保護化によ り極性化した部分は溶解せずに残存し、 パターンが形成された。 超臨界 状態における表面張力はほぼ 0であるため、超臨界から気相への転換(ゥ ェハーの乾燥) 過程においてレジストパターンに働く表面張力は非常に 小さく、 従ってレジストパターンの変形や倒れ等が抑制された。
本実施例により、 40 胆 のラインァ.ンドスペースパターンをレジスト パターン倒れを生じることなく形成することができた。 得られたパター ンのラインェッジラフネスが、 ポリマーをベースとする従来型のレジス トと比較して小さいことは他実施例と同様である。
本実施例の超臨界現像は、 本発明の他の実施例に示した他のレジスト 材料にも適用可能である。 例えば実施例 1に示したネガ型レジストに対 してこれを行うことにより、 ラインエッジラフネスの小さなポジ型パタ ーンをレジストパターン倒れを生じることなく形成することができる。 実施例 1 0 :
へキサメチルジシラザンで処理したシリコン基板上に、 実施例 2記載 のネガ型レジスト溶液を回転塗布し、 塗布後 100°Cで 2分間加熱処理し て、 膜厚 150nmのレジス ト膜を形成した。 このレジスト膜に E U V縮小 投影露光装置を用いて様々なパターンを投影露光し、 所定の熱処理、 現 像を行ない、 エッジラフネスの極めて小さいレジストパターンを形成し た。 その後、 上記レジス トパターンに対し DUVキュアリングを行った。 上記レジストパターンをマスクとしたドライエッチングにより上記下地 膜を加工し、 レジストパターンを下地膜に転写した。 ドライエッチング 時の基板温度上昇に関わらず、 エッチング中もレジストパターンは比較 的良好な (角張った) 形状を保ち、 エッジラフネスの極めて小さくかつ 良好な形状の下地パターンが得られた。 ここでは、 KrF露光対応レジス ト用 DUVキュアリング装置を利用したが、 これに限らない。 又、 EBキュ ァリングを行って同様の効果を得た。 ArFレジスト用 EBキュアリング装 置を利用したが、 これに限らない。 一方、 上記キュアリングを行なわな いでドライエッチングした場合には、 ドライエッチング中の基板温度上 昇によりレジストが熱変形するため、 下地へのパターン転写における寸 法シフトが増大するという問題があつ.た。
本実施例のキュアリング処理は、 本発明に示した他のレジスト材料に も適用可能である。 実施例 1 1 :
本実施例では、 実施例 1の材料を用いた半導体装置の作製方法につい て図 1から図 4を用いて説明する。 図 1は、 典型的な論理 L S I (半導体集積回路装置) における論理ゲートの一部 (2入力 NA N Dゲ ート回路) を示す平面図である。 2個の n型 M O S トランジスタ素子 n M O S 1は、 半導体基板に形成された p型ゥエル領域 P Wの表面の ri型 半導体領域 n 1上に、 2個の!)型 M O S トランジスタ素子 p MO S 1は、 n型ゥエル領域 NWの表面の p型半導体領域 p 1上に、 それぞれ形成さ れている。 ゲート電極 2 A及ぴ 2 Bは、 nMOSlおよび pMOSlに共有とな つている。 ゲート電極 2 Aは、 例えば低抵抗多結晶シリコンの単体膜、 低抵抗多結晶シリコン膜の上部にシリサイ ド層を設けたポリサイ ド構造、 低抵抗多結晶シリコン膜上に窒化タンダステン等のようなバリア膜を介 してタングステン等のような金属膜を堆積してな.るポリメタル構造、 あ るいは絶縁膜に掘られた溝内に窒化チタン等のようなパリア膜を堆積し さらにその上に銅等のような^属膜を埋め込むことで形成されたダマシ ンゲート電極構造で構成されている。 ゲート電極 2 Aの下方のゲート絶 縁膜下部の半導体基板部分はチャネル領域となる。
配線 3 Aは、 例えば高電位(例えば 0 . 5 から 1 . 5 V程度)側の電 源配線であり、 コンタク トホール C N T 1を通じて図 1に示した様に! 型半導体領域 p 1と電気的に接続されている。 また、 配線 3 Bは、 例え ば低電位(例えば 0 V程度)側の電源配線であり、 コンタクトホール C N T 2を通じて図 1に示した様に n型半導体領域 n 1と電気的に接続され ている。 配線 3 Cは、 上記回路の入力配線であり、 コンタク トホール C N T 3を通じてゲート電極 2 Aの幅広部分で接触し電気的に接続されて いる。 配線 3 Dは、 コンタク トホール C N T 4及ぴ 5を通じて n型半導 体領域 n 1および p型半導体領域 p 1の両方に電気的に接続されている。 配線 4 Aは、 スルーホール T H 1を通じて配線 3 Dと電気的に接続され ている。 配線 3 Aから 3 Dはいわゆるセル内配線であり、 全て第 1配線 層に形成されている。 実際の集積回路は、 上記と同様の要素を含む様々 な種類の多数の論理ゲートを含み、 これらの論理ゲートが第 1層から第 5層配線層の配線によって互いに接続されている。
図 2は、 上記半導体装置の製造プロセスを、 デバイスの典型的な部分 の断面図を用いて示した模式図である。 まず、 Si基板 2 0に素子分離領 域 2 1を形成した後 (図 2第 1段)、 M0S トランジスタ 2 2を形成し (図 2第 2段)、 さらにコンタク トホール 2 3、第 1層配線 2 4と配線間絶縁 膜 2 5を形成し (図 2第 3段)、その上に層間絶縁膜 2 6と接続孔 2 7を 形成し、 さら.に第 2層配線 2 8及び配線間絶縁膜 2 9を形成する (図 2 第 4段)。第 3層以上の配線(図示せず)についても同様にして形成する。 本実施例では、 上記素子分離領域 2 1、 M0S トランジスタのゲート、 コ ンタクトホール 2 3、 第 1層配線 2 4、 接続孔 2 7、 第 2層配線 2 8の 各パターンを形成する際に、 各パターン形成に使用した露光方法に応じ て本発明による感放射線材料を利用した。 以下、 このうちのいくつかの 工程についてさらに詳しく説明する。 , まず、 特に高い精度の要求されるゲートパターン形成についてさらに 説明する。なお、全チップに占めるゲートパターンの面積比率は小さい。 素子分離領域 2 1を形成したデバイスの主面上に、 ゲート絶縁膜 3 0、 ゲート積層膜 3 1 (ハードマスク膜を含む) を積層形成した後、 実施例 1記載のネガ型レジスト材料を塗布後、 所定の熱処理工程を行い、 レジ ス ト膜 3 2を形成した (図 3 ( a ) )。 続いて、 極紫外 (EUV) 投影露光装 置及び所望のゲート及ぴグート間を接続するための同層配線パターンを 形成した極紫外 (EUV) 投影露光用反射型マスクを用いて、 上記マスク上 のパターンを上記レジスト膜 3 2に投影露光した。 その後所定の熱処理 工程を行ない、 さらにアルカリ現像液を用いた現像処理により、 極紫外 (EUV)露光部以外の領域を除去し、レ ストパターン 3 3を形成した(図 3 ( b ) )。 レジス トパターン 3 3は、 上記所望のパターンが覆われるよ うに平面的に形成された。 その後、 そのレジストパターン 3 3をエッチ ングマスクとして、そこから露出するゲート堆積膜 3 1をエッチングし、 ゲートパターン 3 4を形成した後、 レジストパターン 3 3を除去し、 所 望のゲート及ぴゲート間を接続するための同層配線パターンを形成した (図 3 ( c ) )。
本実施例では、 実施例記載の材料を利用することにより、 ラフネスの 小さなゲートパターンを高精度で形成可能のため、 ラフネスに起因する 局所的な短チャンネル効果による素子性能劣化等のない、 高性能の半導 体装置を製造することができた。 また、 本実施例ではネガ型レジストを 用いるため、 ゲートパターン部を反射面とする反射型マスクとなるが、 全チップに占めるゲートパターンの面積比率は小さいので、 マスクに占 める反射面の割合が小さくなるため(いわゆるダークフィールド型)、反 射面の位相欠陥が出現する確率が小さくなり、 マスク製造歩留り及ぴチ ップ製造歩留りが向上した。
次に、 製造工程の後半部分に適用した一例として、 本発明によるデュ アルダマシン法による銅配線の形成方法を説明する。 まず図 4 ( a ) に 示すように表面の平滑化された配線基板 4 0上に、低誘電率層間膜 4 1、 キャップ膜 4 2を堆積し、実施例 2記載のポジ型レジスト材料を塗布後、 所定の熱処理工程を行い、 レジス ト膜 4 3を形成した (図 4 ( a ) )。 続 いて、所望の接続孔パターンを反射パターンと して持つ極紫外 (EUV) 投 影露光用反射型マスクを極紫外 (EUV) 投影露光装置を用いて、上記レジ スト膜 4 3に投影露光した。 その後所定の熱処理工程を行ない、 さらに アルカリ現像液を用いた現像処理により、 極紫外(EUV) 露光領域を除去 し、 所望の接続孔位置上に開口を有するレジストパターン 4 4を形成し た (図 4 ( b );)。 その後、 上記レジス トパターン 4 4をエッチングマス クとして、 そこから露出するキャップ膜及ぴ低誘電率層間膜をエツチン グした後、 レジストパターン 4 4を除去し (図 4 ( c ) )、 接続孔 4 5を 形成した。 次に、 実施例 1記載のネガ型レジス ト材料を塗布後、 所定の 熱処理工程を行い、 レジス ト膜 4 6を形成した (図 4 ( d ) )。 続いて、 所望の配線溝パターン以外の領域を反射パターンと して持つ極紫外 (EUV)投影露光用反射型マスクを極紫外(EUV)投影露光装置を用いて、 上記レジスト膜 4 6に投影露光した。その後所定の熱処理工程を行ない、 さらにアルカリ現像液を用いた現像処理により、極紫外 (EUV) 光露光部 以外の領域を除去し、 所望の配線溝部に開口を有するレジストパターン 4 7を形成した (図 4 ( e ) )。 その後、 そのレジストパターン 4 7をェ ツチングマスクとして、 そこから露出するキャップ膜及ぴ低誘電率層間 膜をエッチングした後、 レジストパターン 4 ·7を除去し (図 4 ( f ) )、 所望の配線溝 4 8を形成した。 その後, 配線孔ゃ溝に銅を埋め込み CMP を行って図 4 ( g )に示すように銅配線 4 9を形成した。 この方法によつ て電気抵抗のパラツキや断線といった問題のない電気的信頼性の高い配 線を寸法精度高く形成することができた。 特に、 配線孔形成後、 低誘電 率層間膜上溝パターン加工用レジス トパターン形成において、 従来ポジ 型レジストで顕著であったいわゆるポィゾユングによるレジスト解像不 良を抑制することができた。 これにより、 従来光露光法では困難だった 微細ピッチ配線を有する半導体集 ¾回路を、 高精度、 高歩留り、 高スル ープットで作製することが可能であつ.た。
本実施例では主たる露光方法として極紫外(EUV)投影露光法を用いた 力 これに限らず様々な方法を用いることができる。 例えば、 電子線投 影露光方法や、 ArFエキシマレーザ露光方法等を用いてもよい。 その場 合、 感放射線材料としては、 本発明の主旨を逸脱しない範囲內で適宜変 更することが好ましい。. また、 上記は半導体装置の製造方法に関するも ' のであつたが、 特にそれに制限されることはない。 例えば液晶ディスプ レイ、 磁気ヘッド、 光ディスク原版、 磁気ディスク原版 (パターンドメ ディア)、 光集積回路、 各種 M E M S (マイクロエレクトロメカ二カルシ ステム) 等、 エネルギー線を照射することによって感応基板上にパター ン転写を行うものであれば同様な手法を用いることによって応用可能で ある。 実施例 1 2 :
本実施例では、 実施例 2の材料を用いた半導体メモリ素子の作製方法 について図 5及ぴ図 6を用いて説明する。 図 5は素子の製造の主な工程 を示す断面図である。 図 5 (a)に示すように, P型の Si半導体 5 0を基 板に用い, その表面に公知の素子分離技術を用い素子分離領域 5 1を形 成する。 次に, 例えば厚さ 1 5 O nmの多結晶 Si と厚さ 2 0 O nmの SiO 2を積層した構造のワード線 5 2を形成し, さらに化学気相成長法を用 いて例えば 1 5 O nm の Si02を被着し, 異方的に加工してワード線の側 壁に Si02のサイ ドスぺーサ 5 3を形成する。次に, 通常の方法で n拡散 層 5 4を形成する。次に図 5 (b)に示すように, 通常の工程を経て多結晶 Siまたは高融点金属金属シリサイ ド, あるいはこれらの積層膜からなる データ線 5 5を形成する。次に図 5 (c)に示すように, 通常の工程を経て 多結晶 Siからなる蓄積電極 5 6を形成する。 その後, Ta 205、 Si 3 N4 Si02、 BST、 PZT、 強誘電体、 あるいはこれらの複合膜などを被着し、 キャパシタ用絶縁膜 5 7を形成する。 引き続き多結晶 Si , 高融点金属, 高融点金属シリサイド、あるいは Al、 Cu等の低抵抗な導体を被着しプレ 一ト電極 5 8を形成する。次に図 5 (d)に示すように、通常の工程を経て 配線 5 9を形成する。 次に通常の配線形成工程やパッシベーシヨン工程 を経てメモリ素子を作製した。 なお、 ここでは, 代表的な製造工程のみ を説明したが、 これ以外は通常の製造工程を用いた。
本実施例では、 上記様々な素子構造のパターン形成を、 本発明による レジス トと A r Fエキシマレーザ露光を用いて行った。 特に、 素子分離 領域、 ワード線、 データ線の各パターンの形成には周期型位相シフ トマ スクとネガ型レジストを組み合わせて、 又、 蓄積電極パターンの形成に は周期型位相シフトマスクとポジ型レジス トを組み合わせて用いた。 なお、 例えばパッシベーシヨン工程での導通孔形成工程や、 イオン打ち 込みマスク形成用工程のパターン形成等、 パターンの寸法の比較的大き い工程には本発明によるレジスト材料は適用しなかった。
次に, リソグラフィで形成したパターンについて説明する。 図 6は製 造したメモリ素子を構成する代表的なパターンのメモリ部のパターン配 置を示す。 6 0がワード線, 6 1がデータ線, 6 2がアクティブ領域, 6 3が蓄積電極, 6 4が電極取り出し孔のパターンである。 この例にお いても, ここに示した 6 4の電極取り出し孔形成以外のすべてに本発明 の実施例のパターン形成を用いた。 ここに示したパターン形成以外でも 最小設計ルールを用いている工程では本発明を用いた。
本発明を用いて作製した素子は, 従来法を用いて作製した素子と比較 するとパターン間の寸法を小さくできた。 そのため同じ構造の素子が小 さくでき, 半導体素子を製造する際に 1枚のウェハから製造できる個数 が増えて, 歩留まりが向上した。 産業上の利用可能性
以上、 本発明によれば、 パターン化した放射線をレジス ト膜に照射し てパターンを形成することにより半導体装置を製造する際、 上記レジス トを、 放射線照射により酸触媒を生じる酸'発生基と、 上記酸触媒により 極性変換反応を生じる 4以上の確定した数の反応基を含む 5 0 0 0以下 の確定した分子量を有するシクロデキス トリ ン、 力レックスアレン、 多 核フエノール、 デンドリマー、 フラーレン、 クラウンエーテル、 アンド ロステロン誘導体、 シリ コン (Si) 系モノマー . オリ ゴマー等の誘導体 分子 (平均的直径が 2皿以下であることが望ましい) を主成分として構 成することにより、 上記 1個の分子が溶解性を獲得するのに要する酸触 媒反応数を 4以上とするか、 又は、 上記反応基間の平均距離 (反応基濃 度の 3乗根の逆数) を上記パターンの最小寸法の 1 %以下とする。 又、 必要に応じて、 上記酸発生基を含む酸発生剤分子を上記分子に包接する 、 '又は、 上記酸発生基を上記分子に結合することにより、 上記露光部 に発生した酸触媒分子間の平均距離 (酸濃度の 3乗根の逆数) を転写す る最小パターン寸法の 5 %以下、 発生した酸の拡散長を転写する最小パ ターン寸法の 1 5 %以下とする。 これにより、 高解像度かつ高感度で、 しかもエッジラフネスの小さ パタ一ン形成方法を用いて、 高性能かつ 高集積の半導体装置もしくは半導体集積回路を、 低コストで製造するこ とができる。
又、 保護基で保護された水酸基の脱保護反応を用いたポジ化反応、 又 は、 ラタトン化反応、 ピナコール転移等のカルビノール分子内脱水反応 等を用いたネガ化反応を使い分けることにより、 電子線投影露光法や極 紫外 (E U V) 投影露光法を用いて半導体集積回路装置の様々な層に対 して常にダークフィールドマスクとすることが可能となり、マスク欠陥、 フレア光の増大、 解像度の劣化等の影響を抑えつつ、 半導体集積回路の ゲート又は配線又はホールを高精度かつ加工することができる。

Claims

請 求 の 範 囲 1 . 基体を準備する工程と、
現像液に対する溶解性を制御するための極性変化反応基を周囲に複数有 するポリマーでない分子を主成分とする感光性有機膜を前記基体上に形 成する工程と、
前記感光性有機膜にホールパターン或いはゲートパターンを転写するェ 程とを有することを特徴とする電子装置の製造方法。
2 . 前記感光性有機膜は、 露光により酸を発生する酸発生基を含むこと を特徴とする請求項 1記載の電子装置の製造方法。
3 .前記感光性有機膜は熱架橋剤を含み、前記パターンが転写された後、 熱処理されることを特徴とす.る請求項 1記載の電子装置の製造方法。
4 . 基体を準備する工程と、
現像液に対する溶解性を制御するための極性変化反応基である反応サイ トを 4個以上含み、 酸^生基を含む酸発生分子の少なく とも一部を包接 或いは結合するポリマーでない分子を主成分とする感光性有機膜を前記 '基体上に形成する工程と、
前記感光性有機膜にホールパターン或いはゲートパターンを転写するェ 程とを有することを特徴とする電子装置の製造方法。
5 . 前記酸発生基はフエ二ル基を含むことを特徴とする請求項 4記載の 電子装置の製造方法。
6 . 前記転写は 1 9 3 n m'以下の波長を有するエネルギー線を用いて行 なわれることを特徴とする請求項 4記載の電子装置の製造方法。
7 .前記感光性有機膜は、前記パターンが転写された後、遠紫外光或いは 電子線を照射しながら熱処理されることを特徴とする請求項 4記載の電 子装置の製造方法。
8 . 前記感光性有機膜は熱架橋剤を含み、前記パターンが転写された後、 熱処理されることを特徴とする請求項 4記载の電子装置の製造方法。
9 .前記感光性有機膜は、前記パターンが転写された後、超臨界流体を用 いて現像されることを特徴とする請求項 4記載の電子装置の製造方法。
1 0 . 前記極性変化反応基は、 前記ポリマーでない分子の周囲に複数設 けられていることを特徴とする請求項 4記載の電子装置の製造方法。
1 1 .前記前記ポリマーでない分子は少なく とも、シクロデキストリン、 力レックスアレン、 多核フエノーノレ、 デンドリマ一、 フラーレン、 クラ ゥンエーテノレ、 アンドロステロン、 シリ コン系モノマー 'オリゴマーま たはこれらの誘導体の何れか一つを主要構成要素として含むことを特徴 とする請求項 4記載の電子装置の製造方法。
1 2 . 基体を準備する工程と、 '
露光により酸を発生する酸発生基を含み、 分子量が 5 0 0 0以下の前記 ポリマーでない分子を主成分とし、前記分子の周囲に複数設けられ、保護 基で保護された水酸基の脱保護反応により現像液に対する溶解性の制御 を行なう感光性有機膜を前記基体上に形成する工程と、 1 9 3 n m以下 の波長を有するエネルギー線を用いて所定のパターンを前記感光性有機 膜に転写する工程とを有することを特徴とする電子装置の製造方法。
1 3 . 前記所定のパターンは、 ホールパターン或いはダマシン配線パタ ーンであることを特徴とする請求項 1 2記載の電子装置の製造方法。
1 4 . 前記酸発生基を含む酸発生分子の少なくとも一部は、 前記ポリマ —でない分子に包接され或いは結合していることを特徴とする請求項 1 2記載の電子装置の製造方法。
1 5 . 前記酸発生基はフエ'二ル基を含むことを特徴とする請求項 1 2記 載の電子装置の製造方法。
1 6 . 前記ポリマーでない分子は少なくとも、 シクロデキストリン、 力 レックスアレン、 多核フエノール、 デンドリマー、 フラーレン、 クラウ ンエーテル、 アンドロステロン、 シリ コン系モノマー · オリ ゴマーまた はこれらの誘導体の何れか一つを主要構成要素として含むことを特徴と する請求項 1 2記載の電子装置の製造方法。
1 7 . 基体を準備する工程と、
露光により酸を発生する酸発生基を含み、 分子量が 5 0 0 0以下のポリ マーでない分子を主成分とし、 現像液に対する溶解性の制御を前記母核 分子の周囲に複数設けられた反応サイ トでのラクトン化反応或いはピコ. ナール転移反応で行なう感光性有機膜を前記基体上に形成する工程と、 1 9 3 n m以下の波長を有するエネルギー線を用いて所定のパターンを 前記感光性有機膜に転写する工程とを有することを特徴とする電子装置 の製造方法。
1 8 . 前記所定のパターンは、 ゲートパターン或いは配線パターンであ ることを特徴とする請求項 1 7記載の電子装置の製造方法。
1 9 . 前記酸発生基を含む酸発生分子の少なく とも一部は、 前記ポリマ 一でない分子に包接され或いは結合していることを特徴とする請求項 1 7記載の電子装置の製造方法。
2 0 . 前記酸発生基はフエ二ル基を含むことを特徵とする請求項 1 7記 載の電子装置の製造方法。
2 1 . 前記ポリマーでない分子は少なくとも、 シクロデキストリン、 力 レックスアレン、 多核フエノーノレ、 デンドリマー、 フラーレン、 クラウ ンエーテノレ、 アンドロステロン、 シリ コン系モノマー ' オリ ゴマーまた はこれらの誘導体の何れか一つを主要構成要素として含むことを特徴と する請求項 1 7記載の電子装置の製造方法。
2 2 . 絶縁膜が形成された基体を準備する工程と、 酸発生基を含む酸発生分子の少なく とも一部を包接或いは結合し、 現像 液に対する溶解性を制御するための極性変化反応基を有し、 分子量が 5 0 0 0以下の確定した分子量を有する分子を主成分とする感光性有機膜 を前記絶縁膜が形成された基体上に形成する工程と、
前記感光性有機膜に所定のパターンを転写する工程と、
前記感光性有機膜をマスクにして前記絶縁膜をエッチングし、 開口パタ 一ンを形成する工程とを有することを特徴とする電子装置の製造方法。
2 3 . 金属膜又は半導体膜が形成された基体を準備する工程と、 酸発生基を含む酸発生分子の少なく とも一部を包接或いは結合し、 現像 液に対する溶解性を制御するための極性変化反応基を有し、 分子量が 5 0 0 0以下の確定した分子量を有する分子を主成分とする感光性有機膜 を前記絶縁膜が形成された基体上に形成する工程と、
前記感光性有機膜に所定のパターンを転写する工程と、
前記感光性有機膜をマスクにして前記金属膜又は半導体膜をエッチング し、 島状に残す工程とを有することを特徴とする電子装置の製造方法。
2 4 . 基体を準備する工程と、
酸発生基を含む酸発生分子の少なく とも一部を包接或いは結合し、 溶解 性を獲得する確率が 0 . 1から 0 . 9へ変化する遷移領域の幅よりも寸 法が小さく、 現像液に対する溶解性を制御するための極性変化反応基で ある反応サイ トを含む確定した分子量を有する分子を主成分とする感光 性有機膜を前記基体上に形成する工程と、
前記感光性有機膜にホールパターン或いはゲートパターンを転写する 工程とを有することを特徴とする電子装置の製造方法。
2 5 . 基体を準備する工程と、
酸発生基を含む酸発生分子の少なく とも一部を包接或いは結合され、 分 子量が 5 0 0 0以下であり、 現像液に対する溶解性を制御するための極 性変化反応基である反応サイ トを含む確定した分子量を有する分子を主 成分とする感光性有機膜を前記基体上に形成する工程と、
前記感光性有機膜にホールパターン或いはゲートパターンを転写するェ 程とを有することを特徴とする電子装置の製造方法。
2 6 .放射線照射により酸触媒を生じる酸発生基を含み、前記酸触媒によ り極性変換反応を生じる 4以上の確定した数の反応基を含む 5 0 0 0以 下の確定した分子量を有する分子を主成分とし、 前記反応基間の平均距 離 (反応基濃度の 3乗根の逆数) が前記基板上に形成するパターンの最 小寸法の 1 %以下であり、放射線照射により前記酸触媒を生じる酸発生 基間の平均距離 (酸発生基濃度の 3乗根の逆数) が前記最小寸法の 3 % 以下である感放射線組成物を用いてパターン形成を行なうことを特徴と する電子装置の製造方法。
2 7 . 酸触媒により極性変換反応を生じる 4以上の確定した数の反応基 と、 5 0 0 0以下の確定した分子量を有する分子を主成分とし、 かつ重 量比 1 0 %以上の酸発生剤を含む感放射線組成物を用いてパターン形成 を行なうことを特徴とする電子装置の製造方法。
2 8 .放射線照射により酸触媒を生じる酸発生基を含み、前記酸触媒によ り極性変換反応を生じる 4以上の確定した数の反応基を含む 5 0 0 0以 下の確定した分子量を有する分子を主成分とし、 前記酸発生基を含む酸 発生剤分子が前記分子に包接される力 \又は、前記酸発生基は前記分子に 結合される感放射線組成物を用いてパターン形成を行なうことを特徴と する電子装置の製造方法。
2 9 . 前記分子は、 シクロデキストリン、 力レックスアレン、 多核フエ ノ一ル、 デンドリマー、 フラ一レン、 クラウンエーテノレ、 アンドロステ ロン、 シリコン系モノマー ·オリゴマーの誘導体であることを特徴とす る請求項 2 6乃至 2 8の何れか一に記載の電子装置の製造方法。
3 0 . 前記極性変換反応は、 酸触媒反応によるラタ トン化反応、 ピコナ ール転移等のカルビノール分子内脱水反応により、 親水性から疎水性に 極性変化する反応であることを特徴とする請求項 2 6乃至 2 8の何れか 一に記載の電子装置の製造方法。
3 1 . 前記極性変換反応は、 酸触媒反応により、 非極性保護基で保護置 換された水酸基の脱保護反応により、 疎水性から親水性に極性変化する 反応であることを特徴とする請求項 2 6乃至 2 8の何れか一に記載の電 子装置の製造方法。
3 2 . 基板上に形成した感放射線組成物薄膜にパターン化した放射線を 照射した後に現像することにより前記基板上にパターンを形成する電子 装置の製造方法において、
前記感放射線組成物は、 酸触媒により極性変換反応を生じる反応基を含 む 5 0 0 0以下の確定した分子量を有する分子を主成分とする感放射線 糸且成物であって、
放射線照射により生じた酸触媒間の平均距離 (酸濃度の 3乗根の逆数) が前記最小寸法の 5。/。以下で、かつ前記酸触媒の照射から現像まで p間 の前記感放射線組成物薄膜内部における拡散長が前記最小寸法の 1 %以 下であるか、 又は、 前記分子 1個の溶解性を変化させるのに必要な反応 数が 4以上であることを特徴とする電チ装置の製造方法。
3 3 . 前記放射線照射は、 波長 5〜1 5 n mの極紫外 (E U V) 光、 電 子線、 F 2レーザ光、 又は A r Fエキシマレーザ光であることを特徴と する請求項 3 2記載の電子装置の製造方法。
3 4 .前記感放射線組成物は、照射部の溶解性が低下するネガ型であり、 前記放射線透過部の面積率が非透過部より小さなダークフィールドマス クを介して電子線縮小投影露光する力、又は、前記放射線反射部の面積率 ' が非反射部より小さなダークフィールドマスクを介して極紫外 ( E U V ) 光縮小投影露光することにより、 前記基板上にトランジスタのグートパ ターンを形成することを特徴とする請求項 3 2記載の電子装置の製造方 法。
3 5 .前記感放射線組成物は、照射部の溶解性が増大するポジ型であり、 前記放射線透過部の面積率が非透過部より小さなダークフィールドマス クを介して電子線縮小投影露光するか、又は、前記放射線反射部の面積率 が非反射部より小さなダークフィールドマスクを介して極紫外(E U V ) 光縮小投影露光することにより、 前記基板上に配線用の溝パターンを形 成することを特徴とする請求項 3 2記載の電子装置の製造方法。
3 6 . 前記放射線照射の後、 D U V、 E Bキュアリングを行なう工程を含 むことを特徴とする請求項 3 2記載の電子装置の製造方法。
3 7 .前記放射線照射の後、超臨界現象を行なう工程を含むことを特徴と する請求項 3 2記載の電子装置の製造方法。
PCT/JP2002/007760 2002-07-30 2002-07-30 電子装置の製造方法 WO2004012012A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/523,247 US7642145B2 (en) 2002-07-30 2002-07-30 Method for producing electronic device
JP2004524096A JP3927575B2 (ja) 2002-07-30 2002-07-30 電子装置の製造方法
PCT/JP2002/007760 WO2004012012A1 (ja) 2002-07-30 2002-07-30 電子装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2002/007760 WO2004012012A1 (ja) 2002-07-30 2002-07-30 電子装置の製造方法

Publications (1)

Publication Number Publication Date
WO2004012012A1 true WO2004012012A1 (ja) 2004-02-05

Family

ID=30795884

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/007760 WO2004012012A1 (ja) 2002-07-30 2002-07-30 電子装置の製造方法

Country Status (3)

Country Link
US (1) US7642145B2 (ja)
JP (1) JP3927575B2 (ja)
WO (1) WO2004012012A1 (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005075767A (ja) * 2003-08-29 2005-03-24 Idemitsu Kosan Co Ltd フォトレジスト基材及びその精製方法、並びにフォトレジスト組成物
JP2006243727A (ja) * 2005-02-28 2006-09-14 Internatl Business Mach Corp <Ibm> 分子レジスト
JP2007101738A (ja) * 2005-09-30 2007-04-19 Dainippon Printing Co Ltd レジスト基板、レジストパターン形成方法及びレジスト基板の保存方法
JP2008241993A (ja) * 2007-03-27 2008-10-09 Hitachi Ltd 化合物、ネガ型レジスト組成物およびパターン形成方法
WO2009087846A1 (ja) * 2008-01-09 2009-07-16 Renesas Technology Corp. 半導体装置の製造方法
US7659047B2 (en) 2005-12-02 2010-02-09 Hitachi, Ltd. Materials for photoresist, negative-tone photoresist composition, method of forming resist pattern, and semiconductor device
WO2010070785A1 (ja) * 2008-12-16 2010-06-24 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
JP2010209259A (ja) * 2009-03-11 2010-09-24 Sumitomo Chemical Co Ltd 光活性化合物及び化学増幅型フォトレジスト組成物
JP2010217022A (ja) * 2009-03-17 2010-09-30 Shinshu Univ カリックスレゾルシンアレン系複合材料およびそれを用いたセンサー素子、センサー
US7901868B2 (en) 2005-02-24 2011-03-08 International Business Machines Corporation Photoresist topcoat for a photolithographic process
US7910284B2 (en) 2006-09-29 2011-03-22 Hitachi, Ltd. Materials for photoresist, photoresist composition and method of forming resist pattern
WO2012036090A1 (ja) * 2010-09-16 2012-03-22 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
JP2012177830A (ja) * 2011-02-28 2012-09-13 Mitsubishi Chemicals Corp 光酸発生剤が連結したフラーレン誘導体を含有するレジスト組成物並びにこれを用いたレジストパターン形成方法
JP2013028743A (ja) * 2011-07-29 2013-02-07 Jsr Corp シルセスキオキサン化合物およびその製造方法並びにレジスト材料
JP2013028744A (ja) * 2011-07-29 2013-02-07 Jsr Corp シクロデキストリン誘導体およびその製造方法並びにレジスト材料
JP2014510954A (ja) * 2011-03-31 2014-05-01 東京エレクトロン株式会社 リソグラフィ適用において感放射線材料のラインを幅狭化する方法
WO2014208104A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
WO2014208103A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
WO2016159187A1 (ja) * 2015-04-01 2016-10-06 Jsr株式会社 感放射線性組成物及びパターン形成方法
WO2017056746A1 (ja) * 2015-09-30 2017-04-06 Jsr株式会社 半導体基板洗浄用膜形成組成物及び半導体基板の洗浄方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006128544A (ja) * 2004-11-01 2006-05-18 Disco Abrasive Syst Ltd ウェーハの加工方法
US8575021B2 (en) * 2004-11-22 2013-11-05 Intermolecular, Inc. Substrate processing including a masking layer
US7575992B2 (en) * 2005-09-14 2009-08-18 Hynix Semiconductor Inc. Method of forming micro patterns in semiconductor devices
JP5267126B2 (ja) * 2006-09-08 2013-08-21 Jsr株式会社 感放射線性組成物及びそれに用いられる低分子量化合物の製造方法
JP4686617B2 (ja) * 2009-02-26 2011-05-25 株式会社東芝 スタンパ作製用マスター原盤およびその製造方法並びにNiスタンパの製造方法
US8703402B2 (en) 2009-05-21 2014-04-22 Tokuyama Corporation Resist pattern forming method and developer
JP2012155179A (ja) * 2011-01-27 2012-08-16 Toshiba Corp 欠陥検査支援装置、欠陥検査支援方法
JP2013067612A (ja) 2011-09-23 2013-04-18 Rohm & Haas Electronic Materials Llc カリックスアレーン化合物およびこれを含むフォトレジスト組成物
JP2013079230A (ja) 2011-09-23 2013-05-02 Rohm & Haas Electronic Materials Llc カリックスアレーンおよびこれを含むフォトレジスト組成物
US20170077050A1 (en) * 2014-06-25 2017-03-16 Intel Corporation Techniques for forming integrated passive devices

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0452648A (ja) * 1990-06-20 1992-02-20 Fujitsu Ltd レジストパターン形成方法
JPH08222508A (ja) * 1995-02-15 1996-08-30 Fuji Photo Film Co Ltd 感光性組成物のパターン形成方法
JPH10310545A (ja) * 1997-05-09 1998-11-24 Jsr Corp フェノール系デンドリマー化合物およびそれを含む感放射線性組成物
JPH11109613A (ja) * 1997-09-30 1999-04-23 Jsr Corp 感放射線性樹脂組成物
JPH11322656A (ja) * 1998-05-11 1999-11-24 Jsr Corp 新規なカリックスアレーン誘導体およびカリックスレゾルシナレーン誘導体、ならびに感光性組成物
JP2000089464A (ja) * 1998-09-08 2000-03-31 Matsushita Electric Ind Co Ltd パターン形成方法
JP2000352821A (ja) * 1999-06-11 2000-12-19 Hitachi Ltd パタン形成方法および半導体装置の製造方法および感放射線組成物
US6197473B1 (en) * 1997-09-18 2001-03-06 Kabushiki Kaisha Toshiba Photosensitive composition and a pattern forming process using the same
JP2001235861A (ja) * 2000-02-21 2001-08-31 Hitachi Ltd パターン形成方法及びそれを用いた半導体装置の製造方法
JP2001255655A (ja) * 2000-03-08 2001-09-21 Hitachi Ltd パタン形成方法および半導体装置の製造方法および感光性組成物
US20020081501A1 (en) * 2000-12-25 2002-06-27 Hitachi, Ltd. Device manufacturing method, photomask used for the method, and photomask manufacturing method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0452648A (ja) * 1990-06-20 1992-02-20 Fujitsu Ltd レジストパターン形成方法
JPH08222508A (ja) * 1995-02-15 1996-08-30 Fuji Photo Film Co Ltd 感光性組成物のパターン形成方法
JPH10310545A (ja) * 1997-05-09 1998-11-24 Jsr Corp フェノール系デンドリマー化合物およびそれを含む感放射線性組成物
US6197473B1 (en) * 1997-09-18 2001-03-06 Kabushiki Kaisha Toshiba Photosensitive composition and a pattern forming process using the same
JPH11109613A (ja) * 1997-09-30 1999-04-23 Jsr Corp 感放射線性樹脂組成物
JPH11322656A (ja) * 1998-05-11 1999-11-24 Jsr Corp 新規なカリックスアレーン誘導体およびカリックスレゾルシナレーン誘導体、ならびに感光性組成物
JP2000089464A (ja) * 1998-09-08 2000-03-31 Matsushita Electric Ind Co Ltd パターン形成方法
JP2000352821A (ja) * 1999-06-11 2000-12-19 Hitachi Ltd パタン形成方法および半導体装置の製造方法および感放射線組成物
JP2001235861A (ja) * 2000-02-21 2001-08-31 Hitachi Ltd パターン形成方法及びそれを用いた半導体装置の製造方法
JP2001255655A (ja) * 2000-03-08 2001-09-21 Hitachi Ltd パタン形成方法および半導体装置の製造方法および感光性組成物
US20020081501A1 (en) * 2000-12-25 2002-06-27 Hitachi, Ltd. Device manufacturing method, photomask used for the method, and photomask manufacturing method

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005075767A (ja) * 2003-08-29 2005-03-24 Idemitsu Kosan Co Ltd フォトレジスト基材及びその精製方法、並びにフォトレジスト組成物
US7910290B2 (en) * 2005-02-24 2011-03-22 International Business Machines Corporation Photoresist topcoat for a photolithographic process
US7901868B2 (en) 2005-02-24 2011-03-08 International Business Machines Corporation Photoresist topcoat for a photolithographic process
JP2006243727A (ja) * 2005-02-28 2006-09-14 Internatl Business Mach Corp <Ibm> 分子レジスト
JP2012042976A (ja) * 2005-02-28 2012-03-01 Internatl Business Mach Corp <Ibm> 分子レジスト
JP2007101738A (ja) * 2005-09-30 2007-04-19 Dainippon Printing Co Ltd レジスト基板、レジストパターン形成方法及びレジスト基板の保存方法
US7659047B2 (en) 2005-12-02 2010-02-09 Hitachi, Ltd. Materials for photoresist, negative-tone photoresist composition, method of forming resist pattern, and semiconductor device
US7910284B2 (en) 2006-09-29 2011-03-22 Hitachi, Ltd. Materials for photoresist, photoresist composition and method of forming resist pattern
JP4627536B2 (ja) * 2007-03-27 2011-02-09 株式会社日立製作所 化合物、ネガ型レジスト組成物およびパターン形成方法
JP2008241993A (ja) * 2007-03-27 2008-10-09 Hitachi Ltd 化合物、ネガ型レジスト組成物およびパターン形成方法
WO2009087846A1 (ja) * 2008-01-09 2009-07-16 Renesas Technology Corp. 半導体装置の製造方法
JP5373635B2 (ja) * 2008-01-09 2013-12-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8673543B2 (en) 2008-01-09 2014-03-18 Renesas Electronics Corporation Method for manufacturing semiconductor device
JP2010145480A (ja) * 2008-12-16 2010-07-01 Panasonic Corp レジスト材料及びそれを用いたパターン形成方法
JP4679633B2 (ja) * 2008-12-16 2011-04-27 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
WO2010070785A1 (ja) * 2008-12-16 2010-06-24 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
JP2010209259A (ja) * 2009-03-11 2010-09-24 Sumitomo Chemical Co Ltd 光活性化合物及び化学増幅型フォトレジスト組成物
JP2010217022A (ja) * 2009-03-17 2010-09-30 Shinshu Univ カリックスレゾルシンアレン系複合材料およびそれを用いたセンサー素子、センサー
WO2012036090A1 (ja) * 2010-09-16 2012-03-22 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
JP2012083730A (ja) * 2010-09-16 2012-04-26 Fujifilm Corp パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
US8956802B2 (en) 2010-09-16 2015-02-17 Fujifilm Corporation Pattern forming method, chemical amplification resist composition and resist film
JP2012177830A (ja) * 2011-02-28 2012-09-13 Mitsubishi Chemicals Corp 光酸発生剤が連結したフラーレン誘導体を含有するレジスト組成物並びにこれを用いたレジストパターン形成方法
JP2014510954A (ja) * 2011-03-31 2014-05-01 東京エレクトロン株式会社 リソグラフィ適用において感放射線材料のラインを幅狭化する方法
JP2013028743A (ja) * 2011-07-29 2013-02-07 Jsr Corp シルセスキオキサン化合物およびその製造方法並びにレジスト材料
JP2013028744A (ja) * 2011-07-29 2013-02-07 Jsr Corp シクロデキストリン誘導体およびその製造方法並びにレジスト材料
WO2014208103A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
WO2014208104A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
JP2016529330A (ja) * 2013-06-27 2016-09-23 東洋合成工業株式会社 化学種の発生を向上させるための試剤
US9790241B2 (en) 2013-06-27 2017-10-17 Toyo Goesi Co., Ltd. Reagent for enhancing generation of chemical species
WO2016159187A1 (ja) * 2015-04-01 2016-10-06 Jsr株式会社 感放射線性組成物及びパターン形成方法
WO2017056746A1 (ja) * 2015-09-30 2017-04-06 Jsr株式会社 半導体基板洗浄用膜形成組成物及び半導体基板の洗浄方法
JPWO2017056746A1 (ja) * 2015-09-30 2018-07-19 Jsr株式会社 半導体基板洗浄用膜形成組成物及び半導体基板の洗浄方法

Also Published As

Publication number Publication date
US20060105273A1 (en) 2006-05-18
US7642145B2 (en) 2010-01-05
JPWO2004012012A1 (ja) 2005-11-24
JP3927575B2 (ja) 2007-06-13

Similar Documents

Publication Publication Date Title
WO2004012012A1 (ja) 電子装置の製造方法
JP3822101B2 (ja) 感放射線組成物及びパタン形成方法及び半導体装置の製造方法
JP4270708B2 (ja) ケイ素含有ポリマ、その製造方法、それを用いたレジスト組成物、パターン形成方法および電子デバイスの製造方法
KR100658476B1 (ko) 네가티브형 레지스트 조성물 및 레지스트 패턴의 형성방법
JP5568015B2 (ja) フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法
EP1315044B1 (en) Resist composition and method for manufacturing a semiconductor device using the resist composition
JPH1184663A (ja) 感光性組成物、およびこれを用いたパターン形成方法ならびに電子部品の製造方法
KR20110095167A (ko) 전자선용 또는 euv용 화학 증폭 포지티브형 레지스트 조성물 및 패턴 형성 방법
CN112368645A (zh) 用于euv光刻的粘附层
TW201142511A (en) Chemically amplified positive resist composition and pattern forming process
CN110416068A (zh) 半导体装置的形成方法
JP3587739B2 (ja) フォトレジスト単量体、フォトレジスト共重合体、フォトレジスト共重合体の製造方法、フォトレジスト組成物、フォトレジストパターン形成方法、及び半導体素子
TW500979B (en) Photoresist compositions with cyclic olefin polymers and additives, patterned photoresist structure, and the method of forming the same
JP2001154357A (ja) ネガ型レジスト組成物、レジストパターンの形成方法及び電子デバイスの製造方法
JP3766235B2 (ja) パタン形成方法および半導体装置の製造方法
JP3766245B2 (ja) パタン形成方法および半導体装置の製造方法
JP2001235861A (ja) パターン形成方法及びそれを用いた半導体装置の製造方法
JP4689082B2 (ja) ネガ型レジスト組成物
JP2001066778A (ja) レジスト組成物及びレジストパターンの形成方法
JPH09134015A (ja) パタン形成材料,パタン形成方法および半導体素子製造方法
JP2001255655A (ja) パタン形成方法および半導体装置の製造方法および感光性組成物
JP2003005370A (ja) パタン形成方法及び半導体装置の製造方法
JP2023537322A (ja) パターニング材料およびパターン化膜
KR100737553B1 (ko) 전자계 리소그래피용 고감도 레지스트 조성물
JP4677423B2 (ja) 電子ベース・リソグラフィのための高感度レジスト組成物

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004524096

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 2006105273

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 10523247

Country of ref document: US

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10523247

Country of ref document: US