JP2011504606A - フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法 - Google Patents

フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法 Download PDF

Info

Publication number
JP2011504606A
JP2011504606A JP2010534122A JP2010534122A JP2011504606A JP 2011504606 A JP2011504606 A JP 2011504606A JP 2010534122 A JP2010534122 A JP 2010534122A JP 2010534122 A JP2010534122 A JP 2010534122A JP 2011504606 A JP2011504606 A JP 2011504606A
Authority
JP
Japan
Prior art keywords
photoresist layer
polymer
layer
photoresist
solvent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010534122A
Other languages
English (en)
Other versions
JP5568015B2 (ja
Inventor
ファン、ウーソン
チェン、クァンジャン
ヴァラナシ、プシュカラ、ラオ
リ、ワイキン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2011504606A publication Critical patent/JP2011504606A/ja
Application granted granted Critical
Publication of JP5568015B2 publication Critical patent/JP5568015B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

【課題】フォトレジスト組成物、および多重露光/多層プロセスにおいて該フォトレジスト組成物を使用する方法を提供すること。
【解決手段】このフォトレジスト組成物は、ヒドロキシル部分を有する繰返し単位を含む重合体と、光酸発生剤と、溶媒とを含む。基板上に形成されたとき、前記重合体は、約150℃以上の温度に加熱した後、前記溶媒に実質的に不溶である。一方法は、基板(110)上に第1のフォトレジスト層(120)を形成し、第1のフォトレジスト層をパターンどおりに露光し、基板上および第1のパターン形成フォトレジスト層(120A)上に、第2の非フォトレジスト層を形成することを含む。別法は、基板(110)上に第1のフォトレジスト層(120)を形成し、第1のフォトレジスト層をパターンどおりに露光し、基板上および第1のパターン形成フォトレジスト層(120A)上に、第2のフォトレジスト層(130)を形成し、第2のフォトレジスト層をパターンどおりに露光することを含む。
【選択図】図1

Description

本発明は、半導体フォトリソグラフィ製造プロセスの分野に関し、より具体的には、フォトレジスト組成物、ならびに該フォトレジスト組成物を多重露光/多層フォトレジスト・プロセスで使用する方法に関する。
半導体産業において、光学的フォトリソグラフィは主要技法であり、集積回路チップあたりのトランジスタ数は概ね2年ごとに2倍になるとするムーアの法則の実現を押し進める原動力であり続けている。最近、超開口数(NA)液浸193nmリソグラフィが、32nmグラウンド・ルール技術向けとして157nmリソグラフィに取って代わり、極端紫外線(EUV)フォトリソグラフィを、22nmグラウンド・ルール技術向けへとさらに押しやった。多くの解像度向上技術(resolusion enhancement technology:RET)の方法も、光学的フォトリソグラフィを、超低k1像の印刷に拡張するのに貢献した。k1値は、光学的投影リソグラフィ解像度式W=k1・λ/NAを使用して求めることができる。この式で、Wは、印刷可能な最小フィーチャ・サイズ、λは、露光波長(例えば193nm、157nm)、NAは、リソグラフィ系の開口数、k1は、リソグラフィ系のリソグラフィ定数である。
現在では、集積回路チップ製造においてklを小さくする方法として、二重露光(doubleexposure:DE)が浮上している。いくつかの二重露光方式が開発された。第1のDE方式は、二重双極子リソグラフィ(double dipolelithography:DDL)と呼ばれている。DDLでは、第1のマスク上にX軸クリティカル・イメージ(critical image)を置き、第2のフォトマスク上にY軸クリティカル・イメージを置く。X双極子を有する第1のマスクを通してフォトレジスト層を露光し、次いで、このフォトレジスト層を、Y双極子を使用する第2のマスクを通して露光する。第2のDE方式は、二重露光二重エッチング(doubleexposure double etch:DE)である。DEでは、第1のマスクを通して第1のフォトレジスト層を露光し、基板(または基層)に像をエッチングする。次いで、第1のフォトレジスト層を除去する。第2のマスクを通して第2のフォトレジスト層を露光し、前記基板(または基層)に像をエッチングする。次いで、第2のフォトレジスト層を除去する。
しかしながら、これらの両技法には、フォトレジスト・パターンに歪みが生じるという欠点がある。したがって、当技術分野では、上記の欠陥および限界を克服することが求められている。
本発明の第1の態様は、ヒドロキシル部分を有する繰返し単位を含む重合体と、光酸発生剤と、溶媒とを含み、重合体が、溶媒に実質的に可溶であり、重合体を約150℃以上の温度に加熱した後に、溶媒に実質的に不溶となる特性を有するポジ型フォトレジスト組成物である。
本発明の第2の態様は、パターン形成された構造を形成する方法であって、(a)基板または基板上に形成された製造層(fabrication layer)の上に、溶媒と、重合体と、光酸発生剤とを含むフォトレジスト製剤を分与し、溶媒を除去して、フォトレジスト層を形成するステップであり、重合体が、溶媒に実質的に可溶であり、重合体を約150℃以上の温度に加熱した後に、溶媒に実質的に不溶となる特性を有するステップと、(b)このフォトレジスト層を化学線放射でパターンどおりに露光して、露光フォトレジスト層を形成するステップと、(c)露光フォトレジスト層を水性塩基性溶液に浸して、フォトレジスト層の化学線放射で露光した領域を選択的に除去して、パターン形成フォトレジスト層を形成するステップと、(d)パターン形成フォトレジスト層を、約150℃以上の温度に加熱するステップと、(e)パターン形成フォトレジスト層の上、およびパターン形成フォトレジスト層によって覆われていない基板または製造層の領域の上に、第2の重合体を含む非フォトレジスト層を形成するステップとを含む方法である。
本発明の第3の態様は、パターン形成された構造を形成する方法であって、(a)基板または基板上に形成された製造層の上に、溶媒と、重合体と、光酸発生剤とを含む第1のフォトレジスト製剤を分与し、溶媒を除去して、フォトレジスト層を形成するステップであり、重合体が、溶媒に実質的に可溶であり、重合体を約150℃以上の温度に加熱した後に、溶媒に実質的に不溶となる特性を有するステップと、(b)この第1のフォトレジスト層を第1の化学線放射でパターンどおりに露光して、第1の露光フォトレジスト層を形成するステップと、(c)第1の露光フォトレジスト層を第1の水性塩基性溶液に浸して、第1のフォトレジスト層の第1の化学線放射で露光した領域を選択的に除去して、第1のパターン形成フォトレジスト層を形成するステップと、(d)このフォトレジスト層を約150℃以上の温度に加熱するステップと、(e)第1のパターン形成フォトレジスト層の上に、溶媒と、第2の重合体と、第2の光酸発生剤とを含む第2のフォトレジスト製剤を分与し、溶媒を除去して、第2の重合体と第2の光酸発生剤とを含む第2のフォトレジスト層を形成するステップと、(f)第2のフォトレジスト層を第2の化学線放射でパターンどおりに露光して、第2の露光フォトレジスト層を形成するステップと、(g)第2の露光フォトレジスト層を第2の水性塩基性溶液に浸して、第2の露光フォトレジスト層の第2の化学線放射で露光した領域を選択的に除去して、第2のパターン形成フォトレジスト層を形成するステップとを含む方法である。
本発明の特徴は、添付の特許請求の範囲に記載されている。しかし、本発明は、例示的な一実施形態の以下の詳細な説明を、添付図面を参照して読むことによって、最もよく理解される。
高密度コンタクト開口および孤立コンタクト開口を印刷するための露光寛容度と焦点深度(すなわちプロセス窓)のグラフである。 本発明の一実施形態に基づく第1のフォトリソグラフィ法を示す上面図である。 図2の上面図に対応する断面図である。 本発明の一実施形態に基づく第1のフォトリソグラフィ法を示す上面図である。 図4の上面図に対応する断面図である。 本発明の一実施形態に基づく第1のフォトリソグラフィ法を示す上面図である。 図6の上面図に対応する断面図である。 本発明の一実施形態に基づく第1のフォトリソグラフィ法を示す上面図である。 図8の上面図に対応する断面図である。 図8および9から続く第1の製造プロセスの断面図である。 図8および9から続く第1の製造プロセスの断面図である。 図8および9から続く第1の製造プロセスの断面図である。 図8および9から続く第2の製造プロセスの断面図である。 図8および9から続く第2の製造プロセスの断面図である。 本発明の一実施形態に基づく第2のフォトリソグラフィ方法を示す上面図である。 図15の上面図に対応する断面図である。 本発明の一実施形態に基づく第2のフォトリソグラフィ方法を示す上面図である。 図17の上面図に対応する断面図である。 本発明の一実施形態に基づく第2のフォトリソグラフィ方法を示す上面図である。 図19の上面図に対応する断面図である。 本発明の一実施形態に基づく第2のフォトリソグラフィ方法を示す上面図である。 図21の上面図に対応する断面図である。
化学増幅フォトレジスト組成物は、重合体、溶媒および光酸発生剤を含む。この重合体は、この溶媒に実質的に可溶、水性塩基性溶液に実質的に不溶である。この溶媒を揮発させることによってフォトレジスト層を形成する。このフォトレジスト層中の光酸発生剤に化学線放射を当てると、酸が放出され、または、光酸発生剤の化学構造が、熱に当たると酸を放出するように変化する。この酸が放出されたフォトレジスト層の領域では、重合体が、水性塩基性溶液(すなわちpHが約7よりも大きい水溶液)に実質的に可溶になるが、この酸が存在しないフォトレジスト層の領域では、水性塩基性現像液に実質的に不溶のままである。
ほとんどすべての物質はある程度可溶であり、特定の溶媒または溶液に100%不溶である物質は非常に少ない。現像重合体の文脈において、用語「実質的に不溶」は、光酸発生剤由来の酸を含まないフォトレジスト層の領域から水性塩基性溶液中への溶解によって生じた重合体の損失が、この重合体から形成される像の品質に影響を及ぼさないような小さな溶解度を、水性塩基性溶液に対して有する重合体を含むことが意図とされている。現像の文脈において、用語「実質的に可溶」は、光酸発生剤由来の酸を含む領域の全てまたはほとんど全ての重合体が水性塩基性溶液に溶解しうる(すなわち、残留重合体は、後続のプロセス・ステップを妨害しないような少量しか存在しない)十分に高い溶解度を、水性塩基性溶液に対して有する重合体を含むことが意図されている。フォトレジスト製剤および半導体プロセスの文脈において、用語「実質的に可溶」は、フォトレジスト溶媒に完全にまたはほぼ完全に可溶な重合体を含むことが意図されている。(溶媒の例は後記。)「実質的に可溶」についてさらに言うと、溶解は、合理的な時間で起こらなければならず、遅くとも数秒または数分のうちに達成されなければならない。フォトレジスト製剤および半導体プロセスの文脈において、用語「実質的に不溶」は、フォトレジスト溶媒に完全にまたはほぼ完全に不溶な重合体を含むことが意図されている。実質的に不溶な重合体は、溶媒または水性塩基性溶液中で約0.2nm/秒未満の溶解速度を有し、実質的に可溶な重合体は、溶媒または水性塩基性溶液中で約5nm/秒超の溶解速度を有する。一般に、重合体の溶解速度は、フォトレジスト層の溶解速度に最も強く影響する。光酸発生剤、失活剤および他の添加剤も、最終フォトレジスト層の溶解速度を変化させる。
本発明の実施形態は、比較的に大きなサイズの像を有するブロック・マスクを通した露光によって、第1のフォトレジスト層に第1のパターンを形成するシステムを利用する。次いで、第1のフォトレジスト層の上に第2のフォトレジスト層を塗布し、高密度像パターンを有する第2のマスクを通した露光によって、第2のフォトレジスト層に第2のパターンを形成する。次いで、この組合せパターンを基板(または基層)に転写し、両方のフォトレジスト層を除去する。
この2フォトレジスト方式の要件には以下のようなものがある:(1)2つのフォトレジスト層が混ざり合わないこと、(2)像を独立に印刷できること、(3)ベーク・プロセスおよび現像プロセスにおいて、それぞれのレジスト層のレジスト像に実質的に歪みが生じないこと、(4)それぞれのレジスト層が、その特定の製造レベルの解像度仕様を満たす必要があること。
図1は、コンタクト開口の高密度アレイおよび低密度アレイを印刷するための露光寛容度と焦点深度(すなわちプロセス窓)のグラフである。図1で、曲線100は、ポジ型フォトレジスト層に印刷した、X方向とY方向の両方に中心に関して2N nm間隔で配置された公称直径Nnmの円形像の幅を測定することによって得られた測定データを表している。曲線105は、ポジ型フォトレジスト層に印刷した、X方向とY方向の両方に中心に関して6N nm間隔で配置された公称直径Nnmの円形像の幅を測定することによって得られた測定データを表している。Nは、ゼロよりも大きな正数である。したがって、曲線100の像密度は曲線105のそれの4倍である。曲線100および105は、孤立像パターンを印刷するよりも、高密度像アレイを印刷した方が、プロセス寛容度が高いことを示している。プロセス寛容度は、印刷像の寸法を仕様限界内に収めるために、あるプロセスが、設定公称値(例えば露光時間および強度、現像時間および温度)から外れることができる限界を記述したものである。
図2、4、6および8は、本発明の一実施形態に基づく第1のフォトリソグラフィ法を示す上面図、図3、5、7および9は、対応する断面図である。図2および3では、基板110上に、任意選択の例示的な誘電体層115を形成する。誘電層115の上面に、第1のフォトレジスト層120を形成する。一例では、第1のフォトレジスト層120を、重合体、光酸発生剤および溶媒を含む第1のポジ型化学増幅フォトレジスト組成物を回転塗布し、続いて、(露光前ベークとして)溶媒を揮発させるが、他の重合体または光酸発生剤には影響を及ぼさない室温よりも高いある温度(例えば約80°から約150℃)に加熱することによって形成する。一例では、第1のフォトレジスト層120の厚さが約30nmから約500nmである。あるいは、第1のフォトレジスト層120を、誘電体層115の上面に形成された下面反射防止コーティング(bottom antireflective coating:BARC)の上面に形成し、もしくは、第1のフォトレジスト層120の上面に、上面反射防止コーティング(top antireflective coating:TARC)を形成し、またはこの両方を実施することもできる。TARCは、水性塩基性溶液に実質的に可溶であると有利である。
図4および5では、第1のフォトレジスト層120(図3参照)に開口125を形成する第1のフォトリソグラフィ・プロセスを実行して、パターン形成された第1のフォトレジスト層(第1のパターン形成フォトレジスト層)120Aを形成する。一般的なフォトリソグラフィ・プロセスは、(1)パターン形成されたフォトマスクを通して、化学線放射によってフォトレジスト層を露光し、(2)任意選択で、この露光した層(露光層)を、(現像前ベークとして)光酸発生剤による酸の発生を促進するが、重合体の架橋を引き起こさない室温よりも高いある温度(例えば約30℃から約150℃)に加熱し、(3)この露光層を、化学線放射で露光したフォトレジスト層の領域を除去する水性塩基性現像液(例えばテトラメチルアンモニウムヒドロキシド(TMAH)水溶液)中で現像することを含む。
次に、現像後ベークを実行する。この現像後ベークでは、第1のパターン形成フォトレジスト層120Aが、独自のキャスティング溶媒に可溶な状態から、実質的に不溶な状態に変化する室温よりも高いある温度(例えば約150℃から約230℃)に、第1のパターン形成フォトレジスト層120Aを加熱する。この第1のフォトレジスト組成物の重合体は、ヒドロキシル基を有する繰返し単位を含む。この現像後ベークの高温で、この第1の重合体中のヒドロキシル部分は、独自のキャスティング溶媒に対する第1のレジストの溶解速度の低下を促進する。約200℃以上の高温で第1のフォトレジスト層をベークしたときに、フーリエ変換赤外分光(Fourier transform infrared spectroscopy:FTIR)試験およびゲル浸透クロマトグラフィ(gelpermeation chromatography:GPC)試験において、重合体鎖間の検出可能な架橋が観察されている。第1のフォトレジスト組成物の化学組成については後により詳細に説明する。この現像後ベークにより、第1のパターン形成フォトレジスト層120Aは、水性塩基現像液、ならびに図6および7の第2のフォトレジスト層130を形成するのに使用する第2のポジ型フォトレジスト組成物に実質的に不溶となる。
図6および7では、パターン形成フォトレジスト層120A上および誘電体層115の露出領域上に、第2のフォトレジスト層130を形成する。一例では、重合体、光酸発生剤および溶媒を含む第2のポジ型化学増幅フォトレジスト組成物を回転塗布し、続いて、(露光前ベークとして)溶媒を揮発させる室温よりも高いある温度(例えば約80℃から約150℃)に加熱することによって、第2のフォトレジスト層130を形成する。一例では、第2のフォトレジスト層130の厚さが約30nmから約500nmである。あるいは、最初にBARCを形成し、このBARC上に第2のフォトレジスト層130を形成すること、もしくは、第2のフォトレジスト層130の上面にTARCを形成すること、またはこの両方を実施することもできる。第2の露光によって、第1のパターン形成フォトレジスト層が、水性塩基性現像液に実質的に可溶となることを防ぐため、第2のフォトレジスト層130の化学線放射に対する感度は、第1のフォトレジスト層120(図2および3参照)の同じ化学線放射に対する感度よりも高いことが好ましい。第2のフォトレジスト層中のドーズ量を低く設計することにより、第2の露光が、第2の露光後ベーク中の第1の重合体の大幅に脱保護を防ぐことができる。第1の重合体と第2の重合体は同じでも、または異なっていてもよい。このドーズ量の差は、異なる量の光酸発生剤(PAG)または異なる失活剤負荷、あるいはその両方によって、容易に達成することができる。
図8および9では、第2のフォトレジスト層130(図7参照)に開口135を形成する第2のフォトリソグラフィ・プロセスを実行して、パターン形成された第2のフォトレジスト層(第2のパターン形成フォトレジスト層)130Aを形成する。任意選択で、酸発生部分を活性化させるが、第1のパターン形成フォトレジスト層120A中の像の歪みは促進しない現像前ベーク(例えば約30℃から約150℃)を実行することができる。図8および9では、第1のパターン形成フォトレジスト層120Aの上に、開口135の第1のセットを形成し、第1のパターン形成フォトレジスト層120Aの開口125の中に、開口135の第2のセットを形成して、第2のセットの開口135の底の誘電体層115の領域を露出させることがわかる。図1の曲線100は、第2のフォトリソグラフィ・プロセスのプロセス窓を表す。
図6および7の第2のフォトレジスト層130を形成する代わりに、図4および5の実質的に不溶のフォトレジスト層120Aならびに開口125を、第2の自己集合層のテンプレートとして使用して、エッチング選択性を向上させ、反射率を変化させ、化学特性を変化させることができる。この第2のコーティング層は非フォトレジスト層とすることができる。メタクリル酸メチルとスチレンのジブロック共重合体などの自己集合重合体の一般的な例を、図5に示す形成されたパターン形成構造の上に回転塗布することができる。次いで、このジブロック共重合体を、熱アニールまたは溶媒アニールにかけて、20nmのラインおよびスペース、20nmのコンタクト・ホールなどの自己集合構造を形成することができる。この形成されたパターン形成レジスト構造を、シルセスキオキサン重合体などの高シリコン含量重合体でコーティングして、多層構造中にエッチング選択性の差を提供することができる。この形成されたパターン形成レジスト構造を、反射防止コーティング材料でコーティングして、多層構造の反射率を低減させることもできる。
図4および5、ならびに図8および9において、形成されたパターン形成レジスト層120Aおよび130Aを、異なる化学特性を有する異なる重合体でコーティングして、疎水性または親水性表面を変化させる、酸性度または苛性度を変化させる、ある種の化学薬品に対する抵抗性を変化させるなど、異なる化学特性を提供することもできる。
図10、11および12は、図8および9から続く第1の製造プロセスの断面図である。図10では、第1および第2のパターン形成フォトレジスト層120Aおよび130Aをエッチング・マスクとして使用して、誘電体層115(図9参照)を(例えば反応性イオン・エッチング(RIE)によって)エッチングして、誘電体層115Aに開口140を形成する。
図11では、第1および第2のパターン形成フォトレジスト層120Aおよび130A(図10参照)を除去する。この時点で、任意の数の製造プロセスを実行することができる。一例では、開口140に電気導体を充填して、電導性バイアまたはコンタクトを形成するダマシン・プロセスを実行する。ダマシン・プロセスは、誘電体層にトレンチまたは開口を形成し、誘導体層の上面に、トレンチまたは開口を埋める十分な厚さの電気導体を付着させ、次いで、過剰の導体を除去し、導体の表面を誘電体層の表面と共面にする化学機械研摩(CMP)プロセスを実行して、ダマシン・ワイヤ(あるいはダマシン・バイアまたはコンタクト)を形成するプロセスである。
図12では、バイア部分150と一体のワイヤ部分155とを有するワイヤ145を形成するデュアル・ダマシン・プロセスを実行する。デュアル・ダマシン・プロセスは、誘電体層の全厚を貫くバイア開口を形成し、次いで、所与の断面において誘電体層の途中まで延びるトレンチを形成するプロセスである。誘導体層の上面に、トレンチおよびバイア開口を埋める十分な厚さの電気導体を付着させ、次いで、トレンチ内の導体の表面を誘電体層の表面と共面とするCMPプロセスを実行して、デュアル・ダマシン・ワイヤおよび一体のデュアル・ダマシン・バイアを有するデュアル・ダマシン・ワイヤを形成する。
図13および14は、図8および9から続く第2の製造プロセスの断面図である。図13では、ドーパント種Xをイオン注入して、第1および第2のパターン形成フォトレジスト層120Aおよび130Aによって基板が保護されていない場所の基板110中に、ドープされた領域160を形成する。図14では、第1および第2のパターン形成フォトレジスト層120Aおよび130Aを除去する。続いて、誘電体層115を除去し、別の層に置き換えることができる。
図15、17、19および21は、本発明の実施形態に基づく第2のフォトリソグラフィ法を示す上面図、図16、18、20および22は、対応する断面図である。図15および16は図2および3と同一である。図17および18は、開口を形成する代わりに、第1のフォトレジスト層120(図16参照)から第1のフォトレジスト・バー165を形成する点を除き、図4および5と同様である。第1のフォトレジスト・バー165を、露光後ベークし、または熱硬化させて(例えば約150℃から約230℃に加熱して)、パターン形成フォトレジスト層120Bを、独自のキャスティング溶媒に可溶な状態から実質的に不溶な状態に変化させる。図19および20は、図6および7と同様であり、第1のフォトレジスト・バー165の上および誘電体層115の第1のフォトレジスト・バー165によって覆われていない領域の上に、第2のフォトレジスト層130を形成する。
図21および22では、図8および9を参照して以前に説明したものと同様の第2のフォトリソグラフィ・プロセスを実行して、第2のフォトレジスト層130(図20参照)から第2のフォトレジスト・バー170を形成する。図21および22では、第1および第2のフォトレジスト・バー165および170が、第1のバーと第2のバーの間に露出した誘電体層115の領域と交互に並んでいることが分かる。以前に説明したものなどの追加のプロセス・ステップを実行することができる。いくつかの例を挙げると、この第2の製造プロセスを有利に使用して、図12のデュアル・ダマシン・ワイヤ145のワイヤ部分155を形成し、または単一のダマシン・ワイヤを形成し、あるいは電界効果トランジスタのゲートを形成することができる。
図2、3、15および16の層120を形成するのに使用するフォトレジスト組成物は、(1)共重合体、三元重合体または四元重合体、(2)光酸発生剤、および(3)溶媒を含む。このフォトレジスト組成物はさらに、任意選択で、(4)失活剤と(5)界面活性剤のうちの1以上を含むことができる。光酸発生剤がヨードニウム塩であると有利である。本発明の共重合体は、ヒドロキシル部分を含む繰返し単位と、第三級エステル部分を含む繰返しユニットとを含む。本発明の三元重合体は、ヒドロキシル部分を含む繰返し単位と、第三級エステル部分を含む繰返し単位と、ラクトン部分を含む繰返し単位とを含む。本発明の四元重合体は、ヒドロキシル部分を含む繰返し単位と、三級エステル部分を含む繰返し単位と、ラクトン部分を含む繰返し単位と、フッ素原子を含有する繰返し単位を含む、追加の溶解性促進部分を含む繰返し単位とを含む。
ヒドロキシル部分の例には、第一級、第二級および第三級アルコール、ならびに多環構造に結合したヒドロキシル部分などがある。
第三級エステル部分の例には、光酸発生剤によって発生した酸によって脱保護することができる第三級エステル部分が含まれる。第三級エステル部分の例は、メチルアダマンタン、エチルアダマンタン、メチルシクロペンタン、エチルシクロペンタン、メチルシクロヘキサン、エチルシクロヘキサン、メチルシクロヘプタン、エチルシクロヘプタン、メチルシクロオクタン、エチルシクロオクタンおよびt−ブチル基を含む第三級エステル部分である。
ラクトン部分は、水性現像液中での溶解を改善する。ラクトン部分の例には、5−メタクリロイルオキシ−2,6−ノルボルナンカルボ−γ−ラクトン、3−メタクリロイルオキシメチル−2,6−ノルボルナンカルボラクトン、3−アクリロイルオキシメチル−2,6−ノルボルナンカルボラクトン、α−アクリロイルオキシ−γ−ブチロラクトン、α−メタクリロイルオキシ−γ−ブチロラクトン、β−アクリロイルオキシ−γ−ブチロラクトン、β−メタクリロイルオキシ−γ−ブチロラクトンなどがある。
溶解性促進部分の例には、スルホンアミド、フッ化スルホンアミド、フルオロアルコール(例えば−OH基と−CF基の両方を有する部分)、ジカルボキシイミド、N−ヒドロキシジカルボキシイミド、フェノール、アミノおよびイミノ基が含まれる。
一例では、本発明の共重合体、三元重合体および四元重合体が、エチレン主鎖を有することが好ましい。一例では、本発明の共重合体、三元重合体および四元重合体が、ビニル、アクリル酸またはメタクリル酸単量体単位、あるいはこれらの任意の組合せから形成されることが好ましい。一例では、本発明の共重合体、三元重合体および四元重合体の主鎖が、不飽和炭素−炭素結合を含まない。
フォトレジストが流動すると像が歪むと考えられるため、本発明の実施形態のフォトレジスト組成物に使用されるフォトレジスト重合体は、現像後ベーク条件下で流動しない。有利には、本発明の実施形態の共重合体、三元重合体および四元重合体が、約160℃を超えるTgを有する。低Tg重合体は通常、現像後ベーク中に脱保護を生起させ、これによって、重合体は、水性塩基性現像液に実質的に可溶になる。一部のアクリル酸重合体は、メタクリル酸重合体よりも低いTgを有するため、メタクリル酸重合体の方が好ましい。アクリル酸重合体を使用することもできるが、アクリル酸重合体の低いTgを補償するために、現像後ベークの温度を低くしなければならないか、または高Tgアクリル酸重合体を使用する必要がある。
本発明の実施形態に基づくフォトレジスト組成物中の重合体に関しては、共重合体よりも、三元および四元重合体の方が好ましい。三元および四元重合体を用いて製剤されたフォトレジストは通常、より高い解像度、より大きなプロセス窓、より安定した(崩壊する可能性がより低い)像など、共重合体を用いたフォトレジストよりも良好なリソグラフィ性能を与える。しかしながら、異なる共重合体を混合することによって、三元重合体中の繰返し単位と同じ量の繰返し単位を含み、三元重合体および四元重合体のリソグラフィ性能に匹敵するリソグラフィ性能を与えると考えられる組成物を作り出すことができる。同様に、異なる三元重合体を混合することによって、四元重合体と同様の組成物を作り出すことができ、したがって、このように製剤されたフォトレジストは、四元重合体を用いて製剤されたフォトレジストに匹敵するリソグラフィ性能を示す。
ヒドロキシル部分を含む繰返し単位の具体的な例には、以下のものが含まれる。
Figure 2011504606
第三級エステル部分を含む繰返し単位の具体的な例には、以下のものが含まれる。
Figure 2011504606
ラクトン部分を含む繰返し単位の具体的な例には、以下のものが含まれる。
Figure 2011504606
溶解性促進部分を含む繰返し単位の具体的な例には、以下のものが含まれる。
Figure 2011504606
一例では、本発明に基づく三元重合体が以下の繰返し単位を含む。
Figure 2011504606
光酸発生剤の例には、限定はされないが、オニウム塩、ヨードニウム塩、スルホニウム塩、スクシンイミド誘導体、4−(1−ブトキシナフチル)テトラヒドロチオフェニウムペルフルオロブタンスルホナート、トリフェニルスルホニウムペルフルオロブタンスルホナート、t−ブチルフェニルジフェニルスルホニウムペルフルオロブタンスルホナート、4−(1−ブトキシナフチル)テトラヒドロチオフェニウムペルフルオロオクタンスルホナート、トリフェニルスルホニウムペルフルオロオクタンスルホナート、t−ブチルフェニルジフェニルスルホニウムペルフルオロオクタンスルホナート、ジ(t−ブチルフェニル)ヨードニウムペルフルオロブタンスルホナート、ジ(t−ブチルフェニル)ヨードニウムペルフルオロヘキサンスルホナート、ジ(t−ブチルフェニル)ヨードニウムペルフルオロエチルシクロヘキサンスルホナート、ジ(t−ブチルフェニル)ヨードニウムカンホルスルホナート、およびペルフルオロブチルスルホニルオキシビシクロ[2.2.1]−ヘプト−5−エン−2,3−ジカルボキシイミドなどがある。これらの光酸発生剤はいずれも、単独で、または2種以上の混合物として使用することができる。酸の拡散は像サイズの制御に悪影響を与えることがあるため、酸の拡散を最小限に抑えるために、光酸発生剤が、少なくとも4つの炭素原子を有する酸を放出すると有利である。
選択する具体的な光酸発生剤は、フォトレジストのパターン形成に使用する照射波長に依存する。光酸発生剤は現在、可視領域からX線領域まで、異なるさまざまな光波長に対して使用可能であり、したがって、フォトレジストの作像は、深UV、極端UV、eビーム、レーザ、または有用と考えられる他の選択された照射源を使用して実行することができる。
溶媒の例には、限定はされないが、エーテル、グリコールエーテル、芳香族炭化水素、ケトン、エステル、プロピレングリコールモノメチルエーテルアセタート、乳酸エチル、γ−ブチロラクトンおよびシクロヘキサノンなどがある。これらの溶媒はいずれも、単独で、または2種以上の混合物として使用することができる。
失活剤は一般に、弱くイオン化した塩基である(すなわちpK<13)。失活剤の例には、限定はされないが、芳香族アミン、脂肪族アミン、2−フェニルベンゾイミダール、t−アルキルアンモニウムヒドロキシド、t−ブチルアンモニウムヒドロキシド(TBAH)などがある。
界面活性剤の例には、限定はされないが、3M社のFC−4430TMなどのフッ素含有界面活性剤、およびユニオンカーバイド(Union Carbide)社のSILWETTMシリーズなどのシロキサン含有界面活性剤が含まれる。
本発明のフォトレジスト組成物は、(1)組成物の全重量の約1重量%から約30重量%、好ましく約2重量%から約15重量%の共重合体、三元重合体または四元重合体と、(2)組成物中の重合体の重量の約0.5重量%から約20重量%、好ましく約0.5重量%から約10重量%の光酸発生剤と、(3)組成物の全重量の約70重量%から約99重量%、好ましく約85重量%から約98重量%の溶媒とを含む。本発明のフォトレジスト組成物はさらに、重合体の全重量の約0.1重量%から約1.0重量%の失活剤を含むことができる。本発明のフォトレジスト組成物はさらに、組成物中の重合体の全重量の約0.001重量%から約0.1重量%の界面活性剤を含むことができる。
独自のキャスティング溶媒を用いた、さまざまな温度での塗布後ベーク後のフォトレジスト製剤Aの層損失試験
2−メチル−2−アダマンチルメタクリラート(MadMA) 44モル%、3−ヒドロキシ−1−アダマンチルメタクリラート(HAdMA) 31モル%、および5−メタクリロイルオキシ−2,6−ノルボルナンカルボラクトン(NLM) 25モル%からなる三元重合体を、γ−ブチロラクトン(GBL)30重量%、ジ(t−ブチルフェニル)ヨードニウムペルフルオロブタンスルホナート(BPI−N)7重量%およびt−ブチル2−フェニル−1,3−ベンゾジアゾール−1−カルボキシラート0.74重量%(全て重合体に対する重量%)を含むプロピレングリコールモノメチルエーテルアセタート(PGMEA)に溶解して、不揮発分6.6重量%のフォトレジスト製剤Aを調製した。このフォトレジスト製剤を0.2μmのフィルタで濾過した。フォトレジスト製剤を、5インチ・シリコン・ウェーハ上に回転塗布した。得られたフォトレジスト層を、140℃で60秒、塗布後ベークした。次いで、フォトレジスト層の厚さを、ナノメトリックス社(Nanometrics Incorporated.)のNanoSpec 8000 Layer Thickness Analyzerで測定した。前述のウェーハ上に上記キャスティング溶媒を分与し、1500rpmで60秒回転させ、次いで、130℃で60秒ベークした。次いで、フォトレジスト層の厚さを、NanoSpec8000 Layer Thickness Analyzerで再び測定した。溶媒ストリッピング試験の前後のフォトレジスト層の厚さの変化は90Åであった。同様に、それぞれ150℃、160℃および170℃で塗布後ベークした別の3枚のレジスト・ウェーハを、溶媒ストリッピング試験にかけた。それによって生じた厚さの変化はそれぞれ、86Å、66Åおよび50Åであった。
独自のキャスティング溶媒を用いた、さまざまな温度での塗布後ベーク後のフォトレジスト製剤Bの層損失試験
MadMA 37モル%、HAdMA 17モル%およびNLM 43モル%からなる三元重合体を、GBL 30重量%、BPI−N 7重量%およびt−ブチル2−フェニル−1,3−ベンゾジアゾール−1−カルボキシラート0.59重量%(全て重合体に対する重量%)を含むPGMEAに溶解して、不揮発分6.6重量%のフォトレジスト製剤Bを調製した。このフォトレジスト製剤を0.2μmのフィルタで濾過した。フォトレジスト製剤を、5インチ・シリコン・ウェーハ上に回転塗布した。得られたフォトレジスト層を、140℃で60秒、塗布後ベークした。次いで、フォトレジスト層の厚さを、ナノメトリックス社のNanoSpec 8000 Layer Thickness Analyzerで測定した。前述のウェーハ上に上記キャスティング溶媒を分与し、1500rpmで60秒回転させ、次いで、130℃で60秒ベークした。次いで、フォトレジスト層の厚さを、NanoSpec8000 Layer Thickness Analyzerで再び測定した。溶媒ストリッピング試験の前後のフォトレジスト層の厚さの変化は111Åであった。同様に、それぞれ150℃、160℃および170℃で塗布後ベークした別の3枚のレジスト・ウェーハを、溶媒ストリッピング試験にかけた。それによって生じた厚さの変化はそれぞれ、105Å、75Åおよび45Åであった。
独自のキャスティング溶媒を用いた、さまざまな温度での塗布後ベーク後のフォトレジスト製剤Cの層損失試験
MAdMA 37モル%、HAdMA 6モル%およびNLM 57モル%からなる三元重合体を、BPI−N 7重量%およびt−ブチル2−フェニル−1,3−ベンゾジアゾール−1−カルボキシラート0.59重量%(全て重合体に対する重量%)を含む70/30重量%PGMEA/シクロヘキサノン共溶媒に溶解して、不揮発分6.6重量%のフォトレジスト製剤Cを調製した。このフォトレジスト製剤を0.2μmのフィルタで濾過した。このレジストを、5インチ・シリコン・ウェーハ上に回転塗布した。このレジストを、140℃で60秒、塗布後ベークした。次いで、重合体層の厚さを、ナノメトリックス社のNanoSpec 8000 Layer Thickness Analyzerで測定した。前述のウェーハ上に上記キャスティング溶媒を分与し、1500rpmで60秒回転させ、次いで、130℃で60秒ベークした。次いで、フォトレジスト層の厚さを、NanoSpec8000 Layer Thickness Analyzerで再び測定した。溶媒ストリッピング試験の前後のフォトレジスト層の厚さの変化は117Åであった。同様に、それぞれ150℃、160℃および170℃で塗布後ベークした別の3枚のレジスト・ウェーハを、溶媒ストリッピング試験にかけた。それによって生じた厚さの変化はそれぞれ、73Å、70Åおよび34Åであった。
現像後ベークおよび二重露光ステップによるフォトレジスト製剤Dのリソグラフィ評価
MAdMA 37モル%、HAdMA 17モル%およびNLM 43モル%からなる三元重合体を、GBL 30重量%、BPI−N 7重量%およびt−ブチル2−フェニル−1,3−ベンゾジアゾール−1−カルボキシラート0.53重量%(全て重合体に対する重量%)を含むPGMEAに溶解して、不揮発分6.6重量%のフォトレジスト製剤Dを調製した。このフォトレジスト製剤を0.2μmのフィルタで濾過した。厚さ42nmのRohm Hass AR40Aコーティングを有する12インチ・シリコン・ウェーハ上に、フォトレジスト層を回転塗布した。このフォトレジスト層を130℃で60秒、塗布後ベークし、ASMLステッパ(NA0.7、アウタσ0.82、インナσ0.32の環状照明)上で、波長193nmで露光した。次いで、このウェーハを、125℃で60秒、露光後ベークした。このウェーハを、単一パドル(puddle)現像法を使用し、0.263N TMAH現像液(モーゼス・レーク(Moses Lake)社のAD−10)を用いて30秒間、現像した。このウェーハを200℃で90秒、現像後ベークした。
上記のウェーハに、JSR社のフォトレジストAM2073を回転塗布した。このフォトレジストを110℃で60秒、塗布後ベークし、ASMLステッパ(NA0.7、アウタσ0.82、インナσ0.32の環状証明)上で、波長193nmで露光した。次いで、このウェーハを、120℃で60秒、露光後ベークした。このウェーハを、単一パドル現像法を使用し、0.263N TMAH現像液(モーゼス・レーク社のAD−10)を用いて30秒間、現像した。これらのプロセス条件下で、フォトレジスト層の混ざり合いは実質的に観察されなかった。上および下フォトレジスト層中に、視覚的に鮮明な像が観察された。
上記の例示的なレジスト製剤で使用した繰返し単位は以下のとおりである。
Figure 2011504606
したがって、本発明の実施形態は、フォトレジスト組成物、ならびに該フォトレジスト組成物を多重露光/多層フォトレジスト・プロセスで使用する方法を提供し、それらの組成物ならびに方法は、第1のフォトレジスト組成物の重合体が、熱により、独自のキャスティング溶媒に可溶な状態から、実質的に不溶な状態に変化することができることによって、従来技術の限界を克服する。
本発明の理解を提供するため、以上に、本発明の実施形態を説明した。本発明は、本明細書に記載された特定の実施形態に限定されず、本発明の範囲から逸脱することなく、当業者に明らかになるさまざまな変更、再構成および置換えを本発明に加えることが可能であることを理解されたい。したがって、以下の実施例は、本発明をさらに説明するために提供される。本発明は、それらの実施例の詳細に限定されない。当業者に知られた修正および変更は全て、本発明の趣旨および範囲に含まれる。

Claims (25)

  1. ヒドロキシル部分を有する繰返し単位を含む重合体と、
    光酸発生剤と、
    溶媒と
    を含み、前記重合体が、前記溶媒に実質的に可溶であり、前記重合体を約150℃以上の温度に加熱した後に、前記溶媒に実質的に不溶となる特性を有する
    ポジ型フォトレジスト組成物。
  2. 前記ヒドロキシル部分が、第一級アルコール基、第二級アルコール基および第三級アルコール基からなるグループから選択された、請求項1に記載のフォトレジスト組成物。
  3. 前記重合体の主鎖が不飽和炭素−炭素結合を含まない、請求項1に記載のフォトレジスト組成物。
  4. 前記重合体がさらに、第三級エステル部分を有する繰返し単位を含む、請求項1に記載のフォトレジスト組成物。
  5. 前記第三級エステル部分が、メチルアダマンタン、エチルアダマンタン、メチルシクロペンタン、エチルシクロペンタン、メチルシクロヘキサン、エチルシクロヘキサン、メチルシクロヘプタン、エチルシクロヘプタン、メチルシクロオクタン、エチルシクロオクタンおよびt−ブチル基のエステルからなるグループから選択された、請求項4に記載のフォトレジスト組成物。
  6. 前記重合体がさらに、ラクトン部分を有する繰返し単位を含む、請求項4に記載のフォトレジスト組成物。
  7. 前記重合体がさらに、スルホンアミド、フッ化スルホンアミド、フルオロアルコール、ジカルボキシイミド、N−ヒドロキシジカルボキシイミド、フェノール、アミノおよびイミノ基からなるグループから選択された部分を有する繰返し単位を含む、請求項6に記載のフォトレジスト組成物。
  8. 前記重合体が、
    Figure 2011504606
    からなるグループから選択された繰返し単位を含む、請求項1に記載のフォトレジスト組成物。
  9. 前記重合体がさらに、
    Figure 2011504606
    からなるグループから選択された繰返し単位を含む、請求項8に記載のフォトレジスト組成物。
  10. 前記重合体がさらに、
    Figure 2011504606
    からなるグループから選択された繰返し単位を含む、請求項9に記載のフォトレジスト組成物。
  11. 前記重合体がさらに、
    Figure 2011504606
    からなるグループから選択された繰返し単位を含む、請求項10に記載のフォトレジスト組成物。
  12. 前記重合体が、繰返し単位
    Figure 2011504606
    を含む、請求項1に記載のフォトレジスト組成物。
  13. パターン形成された構造を形成する方法であって、
    (a)基板(110)または前記基板上に形成された製造層(115)の上に、溶媒と、重合体と、光酸発生剤とを含むフォトレジスト製剤を分与し、前記溶媒を除去して、フォトレジスト層(120)を形成するステップであり、前記重合体が、前記溶媒に実質的に可溶であり、前記重合体を約150℃以上の温度に加熱した後に、前記溶媒に実質的に不溶となる特性を有するステップと、
    (b)前記フォトレジスト層を化学線放射でパターンどおりに露光して、露光フォトレジスト層を形成するステップと、
    (c)前記露光フォトレジスト層を水性塩基性溶液に浸して、前記フォトレジスト層の前記化学線放射で露光した領域を選択的に除去して、パターン形成フォトレジスト層(120A)を形成するステップと、
    (d)前記パターン形成フォトレジスト層を、約150℃以上の温度に加熱するステップと、
    (e)前記パターン形成フォトレジスト層(120A)の上、および前記パターン形成フォトレジスト層によって覆われていない前記基板または前記製造層の領域(125)の上に、第2の重合体を含む非フォトレジスト層を形成するステップと
    を含む方法。
  14. パターン形成された構造を形成する方法であって、
    (a)基板(110)または前記基板上に形成された製造層(115)の上に、溶媒と、第1の重合体と、光酸発生剤とを含む第1のフォトレジスト製剤を分与し、前記溶媒を除去して、第1のフォトレジスト層(120)を形成するステップであり、前記第1の重合体が、前記溶媒に実質的に可溶であり、前記第1の重合体を約150℃以上の温度に加熱した後に、前記溶媒に実質的に不溶となる特性を有するステップと、
    (b)前記第1のフォトレジスト層を第1の化学線放射でパターンどおりに露光して、第1の露光フォトレジスト層を形成するステップと、
    (c)前記第1の露光フォトレジスト層を第1の水性塩基性溶液に浸して、前記第1のフォトレジスト層の前記第1の化学線放射で露光した領域を選択的に除去して、第1のパターン形成フォトレジスト層(120A)を形成するステップと、
    (d)前記フォトレジスト層を約150℃以上の温度に加熱するステップと、
    (e)前記第1のパターン形成フォトレジスト層の上に、前記溶媒と、第2の重合体と、第2の光酸発生剤とを含む第2のフォトレジスト製剤を分与し、前記溶媒を除去して、前記第2の重合体と前記第2の光酸発生剤とを含む第2のフォトレジスト層(130)を形成するステップと、
    (f)前記第2のフォトレジスト層を第2の化学線放射でパターンどおりに露光して、第2の露光フォトレジスト層を形成するステップと、
    (g)前記第2の露光フォトレジスト層を第2の水性塩基性溶液に浸して、前記第2の露光フォトレジスト層の前記第2の化学線放射で露光した領域を選択的に除去して、第2のパターン形成フォトレジスト層(130A)を形成するステップと
    を含む方法。
  15. 前記第1のパターン形成フォトレジスト層または前記第2のパターン形成フォトレジスト層によって前記製造層が覆われていない場所(135)において、前記製造層(115)を通して前記基板(110)中にイオンを注入するステップをさらに含む、請求項14に記載の方法。
  16. (i)前記第1のパターン形成フォトレジスト層または前記第2のパターン形成フォトレジスト層によって前記基板または前記製造層が覆われていない場所(135)において、前記基板中にパターンをエッチングするステップ、(ii)前記第1のパターン形成フォトレジスト層または前記第2のパターン形成フォトレジスト層によって前記基板または前記製造層が覆われていない場所において、前記製造層中にパターンをエッチングするステップ、あるいは(iii)前記第1のパターン形成フォトレジスト層または前記第2のパターン形成フォトレジスト層によって前記基板または前記製造層が覆われてない場所において、前記製造層を貫通エッチングし、前記基板中にパターンをエッチングするステップをさらに含む、請求項14に記載の方法。
  17. 前記第1のパターン形成フォトレジスト層(120A)が、前記第1のフォトレジスト層中に第1の開口(125)を含み、
    前記第2のパターン形成フォトレジスト層(130A)が、前記第2のフォトレジスト層中に第2の開口(135)を含み、
    前記第1の開口のうちの選択された第1の開口と前記第2の開口のうちの少なくとも1つの第1の開口との共通の底において、前記基板または前記製造層を露出させるために、前記第2の開口のうちの前記少なくとも1つの第1の開口が、前記選択された第1の開口と整列し、
    前記第2のフォトレジスト層の前記第2の開口のうちの少なくとも1つの第2の開口の底において、前記第1のフォトレジスト層を露出させるために、前記第2の開口のうちの少なくとも1つの第2の開口が、前記第1の開口のうちのどの第1の開口とも整列しない、
    請求項14に記載の方法。
  18. ステップ(d)の後、前記第1のパターン形成フォトレジスト層(120A)が、ステップ(e)で前記第2のフォトレジスト層を形成するのに使用するキャスティング溶媒に実質的に不溶である、請求項14に記載の方法。
  19. 前記第1のフォトレジスト製剤が、
    ヒドロキシル部分を有する繰返し単位を含む第1の重合体と、
    光酸発生剤と、
    溶媒と
    を含み、前記第1の重合体が、前記溶媒に実質的に可溶であり、前記重合体を約150℃以上の温度に加熱した後に、前記溶媒に実質的に不溶となる特性を有する、
    請求項14に記載の方法。
  20. 前記ヒドロキシル部分が、第一級アルコール基、第二級アルコール基および第三級アルコール基からなるグループから選択された、請求項19に記載の方法。
  21. 前記第1の重合体の主鎖が不飽和炭素−炭素結合を含まない、請求項19に記載の方法。
  22. 前記第1の重合体が第三級エステル部分を含み、前記第三級エステル部分が、メチルアダマンタン、エチルアダマンタン、メチルシクロペンタン、エチルシクロペンタン、メチルシクロヘキサン、エチルシクロヘキサン、メチルシクロヘプタン、エチルシクロヘプタン、メチルシクロオクタン、エチルシクロオクタンおよびt−ブチル基のエステルからなるグループから選択される、請求項19に記載の方法。
  23. 前記第1の重合体がさらに、ラクトン部分を有する繰返し単位を含む、請求項19に記載の方法。
  24. 前記第1の重合体がさらに、スルホンアミド、フッ化スルホンアミド、フルオロアルコール、ジカルボキシイミド、N−ヒドロキシジカルボキシイミド、フェノール、アミノおよびイミノ基からなるグループから選択された部分を有する繰返し単位を含む、請求項23に記載の方法。
  25. 前記第1の重合体が、繰返し単位
    Figure 2011504606
    を含む、請求項14に記載の方法。
JP2010534122A 2007-11-19 2008-11-11 フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法 Expired - Fee Related JP5568015B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/942,062 2007-11-19
US11/942,062 US7803521B2 (en) 2007-11-19 2007-11-19 Photoresist compositions and process for multiple exposures with multiple layer photoresist systems
PCT/US2008/083092 WO2009067354A1 (en) 2007-11-19 2008-11-11 Photoresist compositions and process for multiple exposures with multiple layer photoresist systems

Publications (2)

Publication Number Publication Date
JP2011504606A true JP2011504606A (ja) 2011-02-10
JP5568015B2 JP5568015B2 (ja) 2014-08-06

Family

ID=40642341

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010534122A Expired - Fee Related JP5568015B2 (ja) 2007-11-19 2008-11-11 フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法

Country Status (7)

Country Link
US (2) US7803521B2 (ja)
EP (1) EP2212905A4 (ja)
JP (1) JP5568015B2 (ja)
KR (1) KR20100087320A (ja)
CN (1) CN101861638B (ja)
TW (1) TW200935176A (ja)
WO (1) WO2009067354A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010096992A (ja) * 2008-10-16 2010-04-30 Jsr Corp レジストパターン形成方法及びそれに用いるポジ型感放射線性樹脂組成物
JP2011508246A (ja) * 2007-12-13 2011-03-10 インターナショナル・ビジネス・マシーンズ・コーポレーション フォトレジスト組成物及び多層レジスト系を用いた多重露光方法
JP5445454B2 (ja) * 2008-07-15 2014-03-19 Jsr株式会社 ポジ型感放射線性組成物及びレジストパターン形成方法
JP2017500754A (ja) * 2013-10-20 2017-01-05 東京エレクトロン株式会社 グラホエピタキシャル用途におけるブロックコポリマーの組織化を誘導するトポグラフィの使用

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767570B2 (en) * 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
JP2008102429A (ja) * 2006-10-20 2008-05-01 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法およびネガ型レジスト組成物
JP5264393B2 (ja) * 2008-10-01 2013-08-14 東京応化工業株式会社 レジストパターン形成方法
TW201030464A (en) * 2008-11-26 2010-08-16 Jsr Corp Radiation-sensitive resin composition
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP5515459B2 (ja) * 2009-07-06 2014-06-11 ソニー株式会社 半導体デバイスの製造方法
JP2011053643A (ja) * 2009-08-03 2011-03-17 Jsr Corp レジストパターン形成方法及び感放射線性樹脂組成物
JP5514687B2 (ja) * 2010-09-29 2014-06-04 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、感活性光線性または感放射線性膜およびパターン形成方法
EP2472327A1 (en) 2010-12-30 2012-07-04 Rohm and Haas Electronic Materials LLC Photoresists and methods for use thereof
US8932796B2 (en) * 2011-11-10 2015-01-13 International Business Machines Corporation Hybrid photoresist composition and pattern forming method using thereof
JP5682542B2 (ja) * 2011-11-17 2015-03-11 信越化学工業株式会社 ネガ型パターン形成方法
US9102121B2 (en) * 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
KR101609631B1 (ko) * 2012-12-24 2016-04-06 제일모직 주식회사 포지티브형 감광성 수지 조성물, 및 이를 이용한 표시 소자용 유기 절연막 및 표시 소자
CN103235490A (zh) * 2013-01-30 2013-08-07 常州同泰光电有限公司 一种改善光阻图案的方法
JP6596263B2 (ja) * 2014-08-25 2019-10-23 住友化学株式会社 化合物、樹脂、レジスト組成物及びレジストパターンの製造方法
US20200105522A1 (en) * 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
CN110265480A (zh) * 2019-05-28 2019-09-20 芯盟科技有限公司 半导体结构及其形成方法
CN111635341A (zh) * 2020-06-16 2020-09-08 徐州博康信息化学品有限公司 一种三氟磺酰胺八氢-并环戊二烯类光刻胶树脂单体及其制备方法
CN111777532A (zh) * 2020-06-16 2020-10-16 徐州博康信息化学品有限公司 一种三氟磺酰胺环庚烷类光刻胶树脂单体及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006171118A (ja) * 2004-12-13 2006-06-29 Tokyo Ohka Kogyo Co Ltd レジストパターンの形成方法
JP2006276444A (ja) * 2005-03-29 2006-10-12 Fuji Photo Film Co Ltd 感光性組成物及びそれを用いたパターン形成方法
JP2008170952A (ja) * 2007-01-05 2008-07-24 Hynix Semiconductor Inc 感光剤組成物及びこれを利用したパターン形成方法
JP2009139926A (ja) * 2007-08-22 2009-06-25 Shin Etsu Chem Co Ltd パターン形成方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
EP0568476B1 (en) * 1992-04-30 1995-10-11 International Business Machines Corporation Silicon-containing positive resist and method of using the same in thin film packaging technology
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
EP1143299B1 (en) * 2000-04-04 2003-07-16 Sumitomo Chemical Company, Limited Chemically amplified positive resist composition
US6610456B2 (en) * 2001-02-26 2003-08-26 International Business Machines Corporation Fluorine-containing styrene acrylate copolymers and use thereof in lithographic photoresist compositions
US6656667B2 (en) * 2001-03-14 2003-12-02 United Microelectronics Corp. Multiple resist layer photolithographic process
JP4255100B2 (ja) 2001-04-06 2009-04-15 富士フイルム株式会社 ArFエキシマレ−ザ−露光用ポジ型フォトレジスト組成物及びそれを用いたパタ−ン形成方法
US20020187434A1 (en) * 2001-05-25 2002-12-12 Blatchford James W. Process for device fabrication in which the size of lithographically produced features is subsequently reduced
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
JP4083399B2 (ja) * 2001-07-24 2008-04-30 セントラル硝子株式会社 含フッ素重合性単量体およびそれを用いた高分子化合物
KR20030035823A (ko) * 2001-08-02 2003-05-09 스미또모 가가꾸 고교 가부시끼가이샤 화학 증폭형 포지티브형 레지스트 조성물
JP3803286B2 (ja) * 2001-12-03 2006-08-02 東京応化工業株式会社 ポジ型レジスト組成物及びレジストパターンの形成方法
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
JP3890989B2 (ja) * 2002-01-25 2007-03-07 住友化学株式会社 レジスト組成物
US6806026B2 (en) * 2002-05-31 2004-10-19 International Business Machines Corporation Photoresist composition
US7078148B2 (en) * 2002-06-03 2006-07-18 Jsr Corporation Radiation sensitive resin composition
US6905621B2 (en) * 2002-10-10 2005-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing the etch transfer of sidelobes in contact hole patterns
US9348226B2 (en) * 2002-12-28 2016-05-24 Jsr Corporation Radiation-sensitive resin composition
US7279265B2 (en) * 2003-03-27 2007-10-09 Fujifilm Corporation Positive resist composition and pattern formation method using the same
US7071515B2 (en) 2003-07-14 2006-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Narrow width effect improvement with photoresist plug process and STI corner ion implantation
TWI366067B (en) * 2003-09-10 2012-06-11 Fujifilm Corp Photosensitive composition and pattern forming method using the same
US6949325B2 (en) * 2003-09-16 2005-09-27 International Business Machines Corporation Negative resist composition with fluorosulfonamide-containing polymer
US7193023B2 (en) * 2003-12-04 2007-03-20 International Business Machines Corporation Low activation energy photoresists
TW200535566A (en) * 2004-01-15 2005-11-01 Jsr Corp Upper layer film forming composition for liquid immersion and method of forming photoresist pattern
US7132218B2 (en) * 2004-03-23 2006-11-07 Sumitomo Chemical Company, Limited Chemically amplified positive resist composition
JP2005316259A (ja) * 2004-04-30 2005-11-10 Fuji Photo Film Co Ltd 液浸露光用ポジ型レジスト組成物及びそれを用いたパターン形成方法
US7122291B2 (en) * 2004-08-02 2006-10-17 Az Electronic Materials Usa Corp. Photoresist compositions
US20060160247A1 (en) 2005-01-17 2006-07-20 Hiroshi Koyama Unsaturated carboxylic acid hemicacetal ester, polymeric compound and photoresist resin composition
US7947421B2 (en) * 2005-01-24 2011-05-24 Fujifilm Corporation Positive resist composition for immersion exposure and pattern-forming method using the same
JP4691442B2 (ja) * 2005-12-09 2011-06-01 富士フイルム株式会社 ポジ型レジスト組成物およびそれを用いたパターン形成方法
TWI479266B (zh) * 2005-12-27 2015-04-01 Fujifilm Corp 正型光阻組成物及使用它之圖案形成方法
US7517635B2 (en) * 2006-08-25 2009-04-14 Central Glass Company, Limited Polyester compound and resist material using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006171118A (ja) * 2004-12-13 2006-06-29 Tokyo Ohka Kogyo Co Ltd レジストパターンの形成方法
JP2006276444A (ja) * 2005-03-29 2006-10-12 Fuji Photo Film Co Ltd 感光性組成物及びそれを用いたパターン形成方法
JP2008170952A (ja) * 2007-01-05 2008-07-24 Hynix Semiconductor Inc 感光剤組成物及びこれを利用したパターン形成方法
JP2009139926A (ja) * 2007-08-22 2009-06-25 Shin Etsu Chem Co Ltd パターン形成方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011508246A (ja) * 2007-12-13 2011-03-10 インターナショナル・ビジネス・マシーンズ・コーポレーション フォトレジスト組成物及び多層レジスト系を用いた多重露光方法
JP5445454B2 (ja) * 2008-07-15 2014-03-19 Jsr株式会社 ポジ型感放射線性組成物及びレジストパターン形成方法
JP2010096992A (ja) * 2008-10-16 2010-04-30 Jsr Corp レジストパターン形成方法及びそれに用いるポジ型感放射線性樹脂組成物
JP2017500754A (ja) * 2013-10-20 2017-01-05 東京エレクトロン株式会社 グラホエピタキシャル用途におけるブロックコポリマーの組織化を誘導するトポグラフィの使用

Also Published As

Publication number Publication date
KR20100087320A (ko) 2010-08-04
US20090130590A1 (en) 2009-05-21
EP2212905A1 (en) 2010-08-04
CN101861638B (zh) 2013-09-18
EP2212905A4 (en) 2012-03-07
US7803521B2 (en) 2010-09-28
US20100248147A1 (en) 2010-09-30
CN101861638A (zh) 2010-10-13
TW200935176A (en) 2009-08-16
JP5568015B2 (ja) 2014-08-06
WO2009067354A1 (en) 2009-05-28

Similar Documents

Publication Publication Date Title
JP5568015B2 (ja) フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法
KR101671289B1 (ko) 전자 장비 형성 방법
KR101989707B1 (ko) 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
KR101746017B1 (ko) 전자 장치의 형성 방법
KR101680721B1 (ko) 포토리소그래픽 패턴 형성 방법
KR101790056B1 (ko) 포토레지스트 패턴 트리밍 조성물 및 방법
TWI533089B (zh) 用於負定像之光阻劑成分及使用此光阻劑成分之圖案形成方法
TWI485535B (zh) 形成光微影圖案之顯像劑組成物及方法
CN104335079B (zh) 可显影底部抗反射涂层组合物以及使用其的图案形成方法
US20140186773A1 (en) Coating material and method for photolithography
JP4530368B2 (ja) 活性化エネルギーの低いケイ素含有レジスト・システム
KR101910832B1 (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
KR20120098540A (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
JP2004086203A (ja) 微細パターン形成材料および電子デバイスの製造方法
KR102050748B1 (ko) 열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법
KR101698661B1 (ko) 감광성 재료의 제조 및 이용 방법
KR20110109561A (ko) 반도체 소자의 제조 방법
US11682559B2 (en) Method to form narrow slot contacts
JPH11242336A (ja) フォトレジストパターンの形成方法
JP2647065B2 (ja) パターン形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110908

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130924

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140116

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140212

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140603

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140620

R150 Certificate of patent or registration of utility model

Ref document number: 5568015

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees