KR101671289B1 - 전자 장비 형성 방법 - Google Patents

전자 장비 형성 방법 Download PDF

Info

Publication number
KR101671289B1
KR101671289B1 KR1020100061495A KR20100061495A KR101671289B1 KR 101671289 B1 KR101671289 B1 KR 101671289B1 KR 1020100061495 A KR1020100061495 A KR 1020100061495A KR 20100061495 A KR20100061495 A KR 20100061495A KR 101671289 B1 KR101671289 B1 KR 101671289B1
Authority
KR
South Korea
Prior art keywords
layer
resist pattern
positive
composition
openings
Prior art date
Application number
KR1020100061495A
Other languages
English (en)
Other versions
KR20110002798A (ko
Inventor
배영철
토마스 카르도랙시아
이 류
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20110002798A publication Critical patent/KR20110002798A/ko
Application granted granted Critical
Publication of KR101671289B1 publication Critical patent/KR101671289B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

전자 장비 형성 방법이 제공된다. 이 방법은 포토레지스트 패턴을 알칼리 처리하는 것을 포함하고, 고밀도 레지스트 패턴을 형성하도록 한다. 이 방법은 반도체 장비 제조에 특히 유용하다.

Description

전자 장비 형성 방법{METHODS OF FORMING ELECTRONIC DEVICES}
본 출원은 2009년 6월 26일 출원된 미국 가출원 제61/269,600호, 2009년 11월 19일 출원된 미국 가출원 제61/281,681호에 대하여 35 U.S.C. §119(e)하의 우선권의 이익을 주장하며, 이들 출원의 전체 내용은 본 명세서에 참조로서 삽입된다.
본 발명은 개괄적으로 전자 장비의 제조에 관한 것이다. 보다 구체적으로, 본 발명은 포토리소그라피 패턴의 형성방법에 관한 것으로, 상기 방법에서 레지스트패턴은 레지스트 패턴의 표면을 알칼리성으로 만들 수 있는 물질로 처리된다. 본 발명은 고밀도 리소그라피 패턴 및 피처(feature)를 형성하기 위한 반도체 장비의 제조에 특히 유용하다.
반도체 제조 산업에 있어서, 포토레지스트 물질은 이미지를 기판 자체뿐만 아니라, 하나 이상의 하부에 위치하는(underlying) 층, 예컨대 반도체 기판 위에 배치된 금속, 반도체 또는 유전체 층에 전달하는데 사용된다. 반도체 장비의 집적 밀도를 증가시키고 나노미터 범위의 크기를 갖는 구조물의 형성을 가능케 하기 위하여, 고해상능을 가진 포토레지스트 및 포토리소그라피 공정 툴(tools)이 개발되었고 계속 개발중이다.
반도체 장비에서 나노미터(nm)-스케일 피처 크기를 달성하기 위한 한 방법은 화학적으로 증폭된 포토레지스트의 노광 동안 빛의 단파장, 예를 들어 193nm 이하의 단파장을 사용하는 것이다. 침지(immersion) 리소그라피는, 예를 들어 KrF 또는 ArF 광원을 갖는 스캐너와 같은 이미징 장비의 렌즈의 개구수(numerical aperture)를 효과적으로 증가시킨다. 이는 이미징 장비의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고굴절 인덱스 유체(예를 들어, 침지 유체)를 사용함에 의해 달성된다. 침지 유체는 공기 또는 비활성 가스 매체를 사용한 경우보다 훨씬 많은 양의 빛이 레지스트 층에 집중되게 할 수 있다.
레일리 방정식(Rayleigh equation)에 의해 정의되는 이론적 분해 한계(resolution limit)를 아래에 나타내었다:
Figure 112010041676919-pat00001
상기 식에서, k 1은 공정 인자(process factor)이고, λ는 이미징 툴의 파장이며, NA는 이미징 렌즈의 개구수이다. 침지 유체로 물을 사용할 경우, 최대 개구수는 예를 들어, 1.2에서 1.35로 증가할 수 있다. 라인 및 스페이스 패턴을 프린팅하는 경우 k 1이 0.25일 때, 193nm 침지 스캐너가 유일하게 36nm 절반(half)-피치 라인 및 스페이스 패턴을 분해할 수 있다. 접촉홀 또는 임의의 2D 패턴을 프린팅하기 위한 해상도는, k 1에 대한 이론적 한계가 0.35인 다크 필드 마스크(dark field mask)를 갖는 낮은 공간 이미지 콘트라스트(contrast)로 인해 보다 제한적이다. 따라서 접촉홀의 가장 작은 절반-피치는 약 50nm로 제한된다. 표준 침지 리소그라피 공정은 보다 높은 해상도를 요구하는 장비의 제조에는 일반적으로 적합하지 않다.
보다 높은 해상도를 달성하고 기존의 제조 툴의 능력을 이론적인 분해 한계 이상으로 확대하기 위한 노력으로, 다양한 더블 패터닝 기술이 제안되어 왔으며, 예컨대, 자기-정렬 이중 패터닝 (SADP), 리토-에칭-리토-에칭(litho-etch-litho-etch; LELE) 및 리토-리토-에칭(litho-litho-etch; LLE) 기술을 들 수 있다. 그러나 이러한 기술은 시행시 통상 하나 이상의 문제점을 나타낸다. SADP 방법은 일반적으로 비교적 다수의 공정단계가 필요하기 때문에 작업량에 불리하게 영향을 미친다. LELE 기술은 웨이퍼가 포토리소그라피와 에칭 공정 모듈간에 앞뒤로 이송되어야 하고, 에칭 및 레지스트 이동 과정 그 자체로부터 제품 오염 및 결함이 발생될 수 있다. LLE 과정은 제1 리소그라피(L1) 레지스트 패턴의 형성 및 안정화, 뒤이어 제2 리소그라피(L2) 패턴의 형성이 후속된다. 이온 임플란테이션, UV 경화, 열 고화, 열 경화 및 화학적 경화와 같은 다양한 레지스트 안정화 기술이 제안되었다. Brzozowy et al의 미국 특허출원 공개 US 2008/0199814 A1는 오버코트 화학적 경화 기술을 개시하였으며, 여기에서 레지스트 패턴은 용매, 레지스트 폴리머의 앵커기와 반응성인 두개 이상의 기능기를 함유하는 고정화 화합물, 및 촉매, 계면활성제 및 폴리머와 같은 임의의 첨가제를 포함하는 고정제 용액으로 코팅된다. LLE 방법은 SADP 및 LELE 방법에 비해 공정단계는 적지만, 레지스트 안정화 동안의 패턴 변형; L2 레지스트 코팅/소프트 베이킹 공정 동안 L1 및 L2 레지스트층 간의 상호 혼합; 및 L2 노광/현상 공정 동안의 L1 패턴의 현상:을 피하기 어렵다.
당업계의 현 기술 수준과 관련된 하나 이상의 상술한 문제점들을 해결하는 리소그라피 방법에 대한 필요성이 당업계에 지속적으로 있어 왔다.
본 발명의 제1 측면에 따라, 전자 장비 형성방법이 제공된다. 상기 방법은 (a) 패턴화 될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계; (b) 복수개의 제1 개구부를 포함하는 레지스트 패턴을 상기 하나 이상의 층 상에 형성하는 단계; (c) 레지스트 패턴을 레지스트 패턴의 표면을 알칼리성으로 만드는데 효과적인 물질로 처리하는 단계; (d) 하드베이킹 공정에서 레지스트 패턴을 열처리하는 단계; (e) 레진성분 및 산 발생제를 포함하는 조성물 층을, 레지스트 패턴의 복수개의 제1 개구부 내에 적용하는 단계; (f) 산 발생제가 산을 생성하도록 유발하는 조건에, 상기 층을 노출시키는 단계; 및 (g) 레지스트 패턴과 층을 현상제 용액과 접촉시키는 단계;를 포함한다.
본 발명의 추가적인 측면에 따라 코팅된 기판이 제공된다. 코팅된 기판은 (a) 패턴화 될 하나 이상의 층을 포함하는 반도체 기판; (b) 패턴화 될 하나 이상의 층 상의, 복수개의 제1 개구부를 가지며 알칼리성 표면을 갖는 레지스트 패턴; 및 (c) 복수개의 제1 레지스트 패턴의 개구부 내에, 레진 성분 및 산 발생제 성분을 포함하는 조성물층을 포함한다.
본 발명을 하기 도면을 참조하여 설명한다. 도면상에서 동일한 참조 숫자는 동일한 피처를 나타낸다.
도 1A-H는 본 발명에 따른 접촉홀 수축 공정에 대한 공정 흐름도이고;
도 2A-E는 본 발명에 따른 접촉홀 형성 공정에 대한 공정 흐름도이고;
도 3A-E는 본 발명에 따른 추가적인 접촉홀 형성 공정에 대한 공정 흐름도이고;
도 4A-E는 본 발명에 따른 도넛-형상 패턴의 형성에 대한 공정 흐름도이고; 및
도 5A-E는 본 발명에 따른 기둥-형상 패턴의 형성에 대한 공정 흐름도이다.
본 발명은 접촉홀 및 트렌치 형성(trench formation)에 유용한 수축공정과 같은 개선된 포토리소그라피 패턴 기술과, 도넛- 또는 기둥-기하와 같은 다양한 형상을 갖는 레지스트 패턴의 패터닝을 허용한다.
본 발명의 예시적 측면을 도 1A-H를 참조하여 기술하며, 이 도면은 본 발명에 따른 포토리소그라피 수축 공정(shrink process)에 대한 예시적 공정 흐름도이다. 예시된 공정은 접촉홀 수축 공정에 대한 것이지만, 전자 장비 가공에서의 다른 수축 응용에도 적용될 수 있음은 명확하다. 본 발명에서, "접촉홀(contact hole)"이라는 용어는 홀을 경유한 것을 포함한다. 통상 접촉홀은 하나 이상의 옥사이드 층, 예컨대 도핑되거나 도핑되지 않은 실리콘 옥사이드층과 같은 하나 이상의 유전물질 층에 형성되며, 하부 피처는 예컨대 금속 또는 반도체층 또는 영역과 같은 전도성이거나 반도성의 접촉홀 하부를 형성한다. 접촉홀은, 예를 들면, 두개의 금속층을 함께 또는 하나의 금속층과 반도체 기판의 활성 영역을 결합할 수 있다.
도 1A는 기판(100)을 도시한 것으로, 그 표면상에 형성된 다양한 층 및 피처를 포함할 수 있다. 기판은 예컨대 실리콘 또는 화합물 반도체(예를 들어, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 단결정 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이며, 그 표면상에 형성된 하나 이상의 층 및 패턴화된 피처를 가진다. 패턴화될 하나 이상의 층(102)은 기판(100) 위에 제공될 수 있다. 임의로, 하부 베이스 기판 물질 자체도 패턴화될 수 있는데, 예를 들어 기판 물질 내에 트렌치(trenches)를 형성하고자 할 때이다. 베이스 기판 물질 자체를 패터닝할 경우, 패턴은 기판의 층 내에 형성된다고 인정된다.
상기 층은, 하나 이상의 전도층 예컨대 알루미늄층, 구리층, 몰리브덴층, 탄탈륨층, 티타늄층, 텅스텐층, 이들 금속의 합금층, 니트라이드층 또는 실리사이드층(silicides), 도핑된 무정형 실리콘층 또는 도핑된 폴리실리콘층, 하나 이상의 유전체층, 예컨대 실리콘 옥사이드층, 실리콘 니트라이드층, 실리콘 옥시니트라이드층, 또는 금속 옥사이드층, 단-결정 실리콘과 같은 반도체층 및 이들의 조합을 포함할 수 있다. 에칭될 층은 플라즈마-증강 CVD, 저압력 CVD 또는 에피탁시 성장과 같은 화학적 증기 증착법(CVD); 스퍼터링 또는 증발과 같은 물리적 증기 증착법(PVD); 또는 일렉트로플레이팅법 등과 같은 다양한 기술로 형성될 수 있다. 에칭될 하나 이상의 층(102)의 두께는 형성될 물질 및 장비에 의존하여 변경된다.
에칭될 특정 층, 필름 두께 및 사용될 광리소그라피 물질 및 방법에 따라, 층(102) 위에 하드 마스크층(103) 및/또는 하부 반사방지 코팅(BARC; 104)을 배치하고 그 위에 포토레지스트층이 코팅되는 것이 요망될 수 있다. 하드마스크층은, 예를 들면, 매우 얇은 레지스트층과 함께 에칭될 층이 상당한 에칭 깊이를 필요로하고/거나 특정 부식제가 레지스트 선택성이 낮은 경우, 그 사용이 요망될 수 있다. 하드마스크층이 사용되는 경우, 형성되는 레지스트 패턴은 하드마스크층으로 이송될 수 있으며, 이는 차례로 하부층(102)을 에칭하는 마스크로 사용될 수 있다. 적합한 하드마스크 물질 및 형성 방법은 본 기술분야에 알려져 있다. 통상적인 물질은, 예를 들면, 텅스텐, 티타늄, 티타늄 니트라이드, 티타늄 옥사이드, 지르코늄 옥사이드, 알루미늄 옥사이드, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 무정형 카본, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드마스크층(103)은 복수 또는 단수의 상이한 물질층을 포함할 수 있다. 하드마스크층은 예를 들면, 화학적 또는 물리적 증착기술에 의해 형성될 수 있다.
기판 및/또는 하부층이 포토레지스트 노출 동안 입사광선의 상당량을 반사하여 형성되는 패턴의 품질에 유해한 영향을 줄 수 있는 경우, 하부 반사방지 코팅(104)이 요망된다. 이러한 코팅은 초점심도, 노출위도, 라인폭의 균일성 및 CD 조절을 개선할 수 있다. 반사방지 코팅은 일반적으로 레지스트가 심자외선(300 nm 이하), 예를 들면 KrF 엑시머 레이저광(248 nm), ArF 엑시머 레이저광(193 nm), 전자빔 및 소프트 x-레이에 노출될 때 사용된다. 반사방지코팅(104)는 단수 또는 복수의 상이한 층을 포함할 수 있다. 적합한 반사방지물질 및 형성방법은 본 기술분야에 공지이다. 반사방지 물질은 상업적으로 입수할 수 있으며, 예를 들면 롬 앤 하스 전기물질 LLC(말보로, MA USA)에서 AR 상표로 시판되는 ARTM40A 및 ARTM124 반사방지제를 들 수 있다.
제1 감광성 조성물을 반사방지층(104, 존재하는 경우)위의 기판에 적용하여 제1 감광층(106)을 형성한다. 본 발명에서 "감광성 물질", "감광성 조성물" 및 "포토레지스트"는 상호호환적으로 사용된다. 적합한 포토레지스트 물질은 본 기술분야에 공지되어 있으며, 예를 들면 아크릴레이트, 노볼락 및 실리콘 화학에 기초한 물질들이다. 적합한 레지스트는 예를 들면 미국 출원 공개 US20090117489A1, US20080193872A1, US 20060246373A1, US20090117489A1, US20090123869A1 및 미국특허 제7,332,616호에 개시되어 있다. 본 발명의 방법에 사용되는 포토레지스트물질은 포지티브- 및 네거티브- 작용성 물질 양자를 포함한다. 적합한 포지티브-작용성 물질은 포지티브-작용성 화학 증폭 포토레지스트를 포함하며, 이는 조성물의 하나 이상의 성분의 산 불안정성기(acid labile groups)의 광산-촉진 탈보호(photoacid-promoted deprotection reaction) 반응을 진행시켜 레지스트의 코팅층의 노출 영역이 미노출 영역보다 수성 현상제에 더욱 가용성이 되도록 한다. 전형적인 포토레지스트 레진의 광산-불안정성기는 3차 비-사이클릭 알킬 카본(예, t-부틸) 또는 에스테르의 카복실 산소에 공유결합된 3차 알리사이클릭 카본(예, 메틸아다만틸)을 함유하는 에스테르기를 포함한다. 아세탈 광산-불안정성기 또한 통상적으로 사용된다.
본 감광성 조성물은 레진 성분 및 광활성 성분을 포함한다. 레진은 바람직하게 레지스트 조성물에 알칼리 수성 현상성을 부여하는 기능기를 가진다. 예를 들면, 통상 하이드록실 또는 카복실레이트와 같은 극성 기능기를 포함하는 레진 바인더이다. 레진 성분은 조성물 내에서, 조성물의 노출영역이 수성 알칼리용액과 같은 현상제 용액에 현상되도록 충분한 양으로 사용된다. 레진 성분은 통상 레지스트의 총 고체의 약 70 내지 97 wt%를 포함한다.
본 감광성 조성물은 추가적으로, 활성화 조사선에 노출시 조성물의 코팅층에 잠상(latent image)을 생성하기에 충분한 양으로 사용되는 광활성 성분을 포함한다. 예를 들면, 광활성 성분은 레지스트의 총 고체의 약 1 내지 20 wt%의 양으로 적합하게 존재한다. 레지스트 조성물에서 전형적인 광활성 성분은 광산 발생제이다. 적합한 PAGs는 화학 증폭 포토레지스트 분야에 공지되어 있으며, 예를 들면 하기를 포함한다: 오늄염, 예를 들면 트리페닐 설포늄염, 니트로벤질 유도체, 설폰산 에스테르, 디아조메탄 유도체, 글리옥심 유도체, N-하이드록시이미드 화합물의 설폰산 에스테르 유도체 및 할로겐-함유 트리아진 화합물. 하나 이상의 PAG들이 사용될 수 있다.
레지스트의 전형적인 임의의 첨가제는 현상된 레지스트 릴리프 이미지의 해상도를 개선시킬 수 있는 부가 염기(added base), 특히 테트라부틸암모늄 하이드록사이드(TBAH), 또는 테트라부틸암모늄 락테이트이다. 193 nm에서 이미징된 레지스트에 대해서, 전형적인 부가 염기는 디아자바이사이클로운데센(diazabicyclo undecene) 또는 디아자바이사이클로노넨(diazabicyclononene)과 같은 힌더드(hindered) 아민이다. 이 부가 염기는 적절하게는 상대적으로 적은 양으로, 예컨대 전체 고체를 기준으로 약 0.03 내지 5 wt%로 사용된다.
본 발명에 따라 사용되는 포토레지스트는 다른 임의의 물질들을 또한 함유할 수 있다. 예를 들어, 다른 임의의 첨가제는 줄무늬 방지제(anti-striation agent), 가소제 및 속도 증강제(speed enhancer)를 포함한다. 이러한 임의의 첨가제는 전형적으로 낮은 농도(minor concentration)로 포토레지스트 조성물 내에 존재할 것이나, 단, 필러(filler) 및 염료(dye)는 예외인데, 이들은 상대적으로 높은 농도, 예컨대 레지스트의 건조 성분 총중량을 기준으로 약 0.1 내지 10 wt%의 양으로 존재할 수 있다.
적합한 네거티브-작용성 레지스트는 통상 교차결합 성분을 포함한다. 교차결합 성분은 통상적으로 별도의 레지스트 성분으로 존재한다. 멜라민과 같은 아민-기초의 교차결합제, 예를 들면, 사이멜 멜라민 레진이 통상적이다. 본 발명에 유용한 네거티브-작용 포토레지스트 조성물은 산 노출시 경화, 교차결합 또는 고화하는 물질 및 본 발명의 광활성 성분의 혼합물을 포함한다. 특히 유용한 네거티브 작용성 조성물은 페놀성 레진와 같은 레진 바인더, 교차결합제 성분 및 광활성 성분을 포함한다. 이러한 조성물 및 그 사용은 유럽특허 EP0164248B1 및 EP0232972B1, 및 미국 특허 제5,128,232호에 개시되어 있다. 레진 바인더 성분으로 사용되는 전형적인 페놀성 레진은 상술한 것과 같은 노볼락 및 폴리(비닐페놀)들을 포함한다. 전형적인 교차결합제는 멜라민, 글리콜우릴 등을 포함하는 아민-기초물질, 벤조구아나민-기초 물질 및 요소-기초 물질들을 포함한다. 멜라민-포름알데하이드 레진이 일반적으로 가장 전형적으로 사용된다. 이러한 교차결합제는 상업적으로 입수가능하며, 예컨대 사이텍 산업에서 상표명 Cymel 300, 301 및 303로 시판하는 멜라민 레진; 사이텍 산업에서 상표명 Cymel 1170, 1171, 1172로 시판중인 글리콜우릴 레진; 테크노 아펙스사에서 상표명 Beetle 60, 65 및 80로 시판중인 요소-기초 레진; 및 사이텍 산업에서 상표명 Cymel 1123 및 1125로 시판중인 벤조구아나민레진을 들 수 있다. 200 nm 이하 파장, 예컨대 193 nm에서 이미징하는데 사용되는 전형적인 네거티브-작용성 포토레지스트는 국제출원 공개 WO 03077029에 개시되어 있다.
본 발명에 유용한 포토레지스트는 공지된 방법에 따라 일반적으로 제조된다. 예를 들어, 포토레지스트의 성분들을 적절한 용매, 예컨대, 2-메톡시에틸 에테르 (디글라임(diglyme)), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르와 같은 글리콜 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 에틸 락테이트 또는 메틸 락테이트와 같은 락테이트; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 메틸 셀로솔브 아세테이트와 같은 셀로솔브(Cellosolve) 에스테르; 톨루엔 또는 크실렌과 같은 방향족 탄화수소; 또는 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논과 같은 케톤에 용해시키는 것에 의해 레지스트가 코팅 조성물로서 제조될 수 있다. 전형적으로, 포토레지스트의 고체 함량은 포토레지스트 조성물의 총중량을 기준으로 약 2 내지 25 wt% 사이에서 다양하다. 이러한 용매들의 블렌드(blend)도 적절하다.
본 발명의 방법은 다양한 이미징 파장, 예컨대, 400 nm 이하(sub-400 nm), 300 nm 이하(sub-300 nm) 또는 200 nm 이하(sub-200 nm)의 노출 파장을 갖는 조사선과 함께 사용가능하며, 전형적인 노출 파장은 I-라인(365 nm), 248 nm 및 193 nm, 그리고 EUV 및 157 nm이다. 예시적인 측면에서, 포토레지스트는 193 nm와 같은 200 nm 이하 파장으로 이미징하는 데 사용하기에 적절하다. 이러한 파장에서는, 건식(dry) 공정이 사용될 수도 있지만 침지(immersion) 리소그라피의 사용이 전형적이다. 침지 리소그라피에서는, 약 1에서 약 2 사이의 굴절율(refractive index)을 갖는 유체(즉, 침지 유체)가 노광 도구와 포토레지스트 층 사이에서 노광 동안 유지된다. 탑코트(topcoat)층이 전형적으로 포토레지스트 층 위에 놓여져 침지 유체와 포토레지스트 층 간의 직접 접촉을 방지하여 포토레지스트의 성분들이 침지 유체 내로 침출(leaching)하는 것을 막는다.
감광성 조성물은 스핀-코팅, 디핑(dipping), 롤러-코팅 또는 다른 통상적인 코팅 기술에 의해 기판에 도포될 수 있다. 이들 중에서, 스핀-코팅이 전형적이다. 스핀-코팅에 있어서 코팅 용액의 고체 함량은, 활용되는 특정 코팅 장비, 용액의 점도, 코팅 도구의 속도 및 스피닝에 허용되는 시간의 양에 기초하여 원하는 필름 두께를 얻고자 조절될 수 있다. 제1 감광층(106)의 전형적인 두께는 약 500 내지 1500Å이다. 제1 감광층은 다음으로, 층 내의 용매 함량을 최소화하고자 소프트베이킹될 수 있고, 이로 인해 끈적이지 않는(tack-free) 코팅을 형성하며 기판에 대한 층의 부착력을 향상시킨다. 소프트베이킹은 핫플레이트 상에서 또는 오븐 내에서 수행될 수 있으며, 전형적으로는 핫플레이트로 한다. 소프트베이킹 온도 및 시간은, 예컨대 감광층의 특정물질 및 두께에 의존할 것이다. 전형적인 소프트베이킹은 약 90 내지 150℃의 온도에서 약 30 내지 90초의 시간 동안 수행된다.
제1 감광층(106)이 침지 리소그라피 도구, 예컨대 193 nm 침지 스캐너를 사용하여 노광된다면, 탑코트층(표시되지 않음)이 감광층(106) 위에 배치될 수 있다. 이러한 탑코트층은 침지 유체와 하부 감광층 사이의 배리어로서 작용할 수 있다. 이러한 방식으로 감광 조성물 성분들이 침지 유체 내로 침출하는 것(이는 광학 렌즈의 오염과 침지 유체의 유효 굴절율 및 전달 물성의 변화를 낳을 수 있다)이 최소화되거나 방지된다. 적절한 탑코트 조성물, 예컨대 OC™ 2000(롬 앤드 하스 일렉트로닉 머티리얼즈)과 같은 OPTICOAT™ 탑코트 물질들이 상업적으로 입수가능하며, 다른 것들, 예컨대 미국특허출원공개공보 제2006/0246373A1호 및 미국가출원 제61/204,007호(2008. 12. 31. 출원)에 기재된 것들이 당 분야에 알려져 있다. 이러한 조성물들은 감광 조성물에 대한 참조로 상기 기재된 바와 같은 임의의 적절한 방법에 의해 감광층 위에 도포될 수 있으며, 스핀 코팅이 전형적이다. 탑코트층 두께는 전형적으로 λ/4n(또는 그의 홀수배(odd multiple))이며, 여기서 λ는 노광 조사선의 파장이고, n은 탑코트층의 굴절율이다. 탑코트층이 존재한다면, 제1 감광층(106)은 탑코트층이 도포되기 전보다는 도포된 후에 소프트베이킹될 수 있다. 이 방식으로, 용매가 단일 열처리 단계에서 두 층 모두로부터 제거될 수 있다.
제1 감광층(106)은 다음으로, 제1 포토마스크(110)를 통해 활성화 조사선(108)에 노출되어 노광 영역과 비노광 영역 사이의 용해도 차이를 만들어 낸다. 도 1A에 도시된 노광공정에 사용된 마스크(110)는 원형패턴(도시) 또는 교차선 패턴을 갖는 접촉홀을 포함한다. 예시된 바와 같은 포지티브-작용성 물질의 경우, 포토마스크가 광학적으로 투명하고 광학적으로 불투명한 영역을 가지며, 광학적으로 투명한 영역이 후속 현상 단계에서 제거되어야 할 감광층 영역에 대응한다. 네거티브-작용성 물질의 경우, 광학적으로 불투명한 영역이 현상으로 제거되어야 할 레지스트층 부분과 대응할 것이다. 노광 에너지는 전형적으로 약 1 내지 100 mJ/cm2이며, 노광 도구 및 감광 조성물의 성분들에 의존한다. 여기에서 조성물에 대해 활성인 조사선에 감광 조성물을 노출한다는 언급은, 그 조사선이 광활성 성분의 반응을 야기하는 것, 예컨대 광산 발생제 화합물로부터 광산을 생성시키는 것 등에 의해 감광 조성물 내에 잠상을 형성할 수 있음을 나타낸다. 감광 조성물은 전형적으로 짧은 노출 파장, 특히 400 nm 이하, 300 nm 이하 또는 200 nm 이하의 노출 파장에 의해 광활성화되며, 전형적인 노출 파장은 I-라인(365 nm), 248 nm 및 193 nm, 그리고 EUV 및 157 nm이다.
제1 감광층(106)의 노광에 이어서, 감광층의 노광후 베이킹(post exposure bake (PEB))이 전형적으로 이 층의 연화점 이상 온도에서 실행된다. PEB는, 예컨대 핫플레이트 위에서 또는 오븐 내에서 수행될 수 있다. PEB를 위한 조건은, 예컨대 감광층의 특정 물질 및 두께에 의존할 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도에서 약 30 내지 90초의 시간 동안 수행된다.
노광된 감광층(106)은 다음으로, 현상되어 도 1B에 나타낸 바와 같은 제1 레지스트 패턴(106')을 형성한다. 현상제 물질은 감광층(106)의 특정 물질에 의존하며, 적절한 현상제 및 현상 기술들이 당 분야에 알려져 있다. 전형적인 현상제는 수성 염기성 현상제, 예컨대 4급 암모늄 하이드록사이드 용액, 예컨대 테트라-알킬 암모늄 하이드록사이드 용액, 예컨대 0.26 N 테트라메틸암모늄 하이드록사이드를 포함한다.
현상에 이어서, 제1 레지스트 패턴(106')은 제1 하드베이킹(hardbake) 공정에서 열처리되어, 용매를 레지스트로부터 제거하고 도 1C에 나타낸 바와 같은 경화된 레지스트 패턴(106")을 형성한다. 하드베이킹은 전형적으로 핫플레이트 또는 오븐으로 수행되며, 전형적으로 약 150℃ 이상의 온도, 예컨대 약 170 내지 180℃에서 약 30 내지 120초의 시간 동안 수행된다.
도 1D와 관련하여, 하드베이킹된 제 1 레지스트 패턴 106"을 레지스트 패턴의 표면을 알칼리성으로 만드는데 효과적인 물질로 처리한다. 알칼리성 표면은, 후에 레지스트 패턴 상에 도포되는 감광층을 노광시키는 동안 반응을 억제시킨다. 예를 들면, 포지티브 작용성 감광층의 경우, 밑에 놓인 알칼리 처리된 레지스트 패턴의 바로 근처의 영역에서 산촉매 탈보호 반응이 억제된다. 따라서, 감광층의 일부는 현상후에 그 영역에 남아있게 된다.
이에 한정되는 것은 아니나, 특히 적절한 물질은 알칼리성 물질 및 알칼리성 물질과 상이한 계면활성제를 포함한다. 계면활성제는 알칼리성 물질로 처리된 레지스트 패턴 상에 제 2 레지스트의 코팅층을 실질적으로 균일하게 형성시키는 것을 촉진하는 것으로 생각된다.
알칼리성 물질은 다양한 형태를 취할 수 있어서, 적당한 용매에 고체 화합물을 용해시킴으로써 형성되는 용액 형태일 수 있다. 레지스트 패턴을 처리하는데 적절한 알칼리성 물질은, 예를 들면, 테트라-알킬 암모늄 하이드록시드 용액, 이를 테면, 0.26 노르말농도(N)(2.38 wt%) 테트라메틸암모늄 하이드록시드(TMAH)와 같은 4급 수산화암모늄 용액 등의 수성 염기 현상액을 포함한다. 알칼리성 물질 및 조성물에서 다르게 사용되는 용매 물질은 밑에 놓인 포토레지스트를 용해시키지 않거나 용해를 최소화시켜야 한다. 알칼리성 물질(물, 알코올 등의 임의의 용매가 없는)은 일반적으로 조성물 내에서, 총 조성물을 기준으로 약 1 내지 10 wt%의 양으로 존재한다.
레지스트 패턴 처리 조성물에 적절한 계면활성제는 친수성 및 소수성을 동시에 갖는 것을 의미하는, 양친매성(amphiphilic nature)을 나타내는 것들을 포함한다. 양친매성 계면활성제는 물에 강한 친화력을 갖는 친수성 머리 부분 또는 부분들과, 친유성이면서 물에 반발하는 긴 소수성 꼬리를 갖는다. 적절한 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성일 수 있다. 계면활성제의 또 다른 예들은 실리콘 계면활성제, 폴리(알킬렌 옥사이드) 계면활성제 및 불소계 계면활성제를 포함한다. 수성 용액에 사용하기에 적합한 비이온성 계면활성제에는, TRITON® X-114, X-100, X-45, X-15 등의 옥틸 및 노닐 페놀 에톡실레이트, 및 TERGITOL™ TMN-6(The Dow Chemical Company, Midland, Michigan, USA)등의 분지형 2차 알코올 에톡실레이트 등이 포함되나, 이에 한하지 않는다. 계면활성제의 또 다른 예에는, 알코올(1차 및 2차) 에톡실레이트, 아민 에톡실레이트, 글루코사이드, 글루카민, 폴리에틸렌 글리콜, 폴리(에틸렌 글리콜-코-프로필렌 글리콜), 또는 McCutcheon's Emulsifiers and Detergents(Glen Rock, N.J.저, Manufaturers Confectioners Publishing 사 2000년 출판, North American Edition)에 개시된 기타 계면활성제가 포함된다.
비이온성 계면활성제는 하기식으로 나타나는 아세틸렌의 디올 유도체와 같은 계면활성제가 적절할 수 있다:
Figure 112010041676919-pat00002
상기 식에서, R1 및 R4는 3 내지 10 탄소원자를 갖는 직쇄 또는 분기쇄 알킬; R2 및 R3는 H 또는 1 내지 5 탄소원자를 적절하게 갖는 알킬쇄; 및 m, n, p, 및 q는 0 내지 20의 수이다. 이러한 계면활성제는, Air Products and Chemicals 사(Allentown)의 상품명 SURFYNOL® 및 DYNOL®로부터 상업적으로 이용가능하다.
본 발명의 코팅 조성물에 사용하기에 적합한 추가적인 계면활성제에는, 트리-블록 EO-PO-EO 코폴리머 PLURONIC® 25R2, L121, L123, L31, L81, L101 및 P123(BASF 사) 등의 기타 폴리머 화합물이 포함된다.
특히 적절한 계면활성제에는, 아민류, 일반적으로 1급 및 2급 아민류, 즉, 각각 하나 이상의 1급 아민기 및 하나 이상의 2급 아민기를 포함하는 아민, 및 이들의 조합이 포함된다. 1급 및/또는 2급 아민기 외에 3급 아민기가 존재할 수 있다. 일반적으로, 아민은 다기능성 아민이다. 아민은 디아민, 트리아민, 또는 테트라-아민 등의 폴리아민일 수 있다. 적절한 1급 아민류에는 하기 식(I)의 화합물이 포함된다:
Figure 112010041676919-pat00003

상기 식에서, R은 임의로 치환된 알킬, 이를 테면, 메틸, 에틸 또는 프로필, 일반적으로 에틸 등의 임의로 치환된 C1 내지 C6 알킬 등으로부터 선택된다. 기타 적절한 1급 아민류는 하기 식(II)로 나타나는 폴리(알릴 아민류)를 포함한다:
Figure 112010041676919-pat00004

상기 식에서, R1은 수소 및 임의로 치환된 알킬, 이를 테면, C1 내지 C3 알킬로부터 선택되고; R2는 임의로 치환된 알킬렌, 이를 테면, C1 내지 C6 알킬렌, 일반적으로 메틸렌 또는 에틸렌으로부터 선택되며; n은 3 이상의 정수이다. 화학식(N-II)의 예시적인 1급 아민에 있어서, R1은 수소 및 R2는 메틸렌이다. 기타 적절한 아민류에는 하기 일반식(III), (IV), 및 (V)로 표시되는 것들이 포함된다:
Figure 112010041676919-pat00005
Figure 112010041676919-pat00006
Figure 112010041676919-pat00007

상기 식에서, R1 및 R2는 각각 독립적으로 수소원자 또는 1 내지 10 탄소원자의 알킬기이고, n은 1 내지 10의 정수이다. 기타 적절한 아민류는 하기를 포함한다:
Figure 112010041676919-pat00008
Figure 112010041676919-pat00009
Figure 112010041676919-pat00010

여기에서, 트리스(2-아미노에틸)아민(TAEA)가 특히 바람직하다.
계면활성제는, 일반적으로 조성물 내에서 상대적으로 적은 양, 예를 들면, 조성물 내의 총 고체의 중량(총 고체는 용매 담체를 제외한 모든 조성물 성분임)을 기준으로, 0.01 내지 5 wt%, 예를 들면, 0.01 내지 1 wt%로 존재한다.
레지스트 패턴 처리 조성물은 알칼리성 물질 및 계면활성제 성분 외에도 하나 이상의 임의의 성분을 포함할 수 있다. 예를 들면, 조성물은 알칼리성 물질 및 계면활성제에 사용되는 임의의 용매 외에 하나 이상의 용매를 포함할 수 있다. 상술한 바와 같이, 알칼리성 물질 및 조성물에서 다르게 사용되는 용매 물질은 밑에 놓인 포토레지스트를 용해시키지 않거나 용해를 최소화시켜야 한다. 따라서, 적절한 용매는, 밑에 놓인 특정 레지스트 물질에 의존하여, 예를 들면, 물 및 n-부탄올과 같은 알코올을 포함할 수 있다. 임의의 성분은 또한, 열적 염기 발생제 화합물 및/또는 광염기 발생제 화합물 등, 하나 이상의 염기 발생제 화합물을 포함한다.
포토레지스트 패턴 처리 조성물은, 알칼리성 물질과 계면활성제 화합물, 및 용매 및 염기 발생제 화합물과 같은 임의의 추가 성분을 임의의 순서로 혼합하여 제조할 수 있다. 하나 이상의 성분들이, 고체 또는 적절한 용매를 사용하는 미리 혼합된 용액으로 추가될 수 있다.
바람직하게, 알칼리 처리에는 4급 암모늄 하이드록시드 및 아민으로의 처리가 포함된다. 4급 암모늄 하이드록시드 물질 및 아민은, 예를 들면, 미리 혼합된 용액으로부터 도포하거나, 물질들을 동시에 도포함으로써, 동시에 기판에 도포할 수 있으나, in situ 로 형성되는 경우에는 서로 구별된다. 바람직하게, 4급 암모늄 하이드록시드 물질 및 아민은 순차적으로 도포된다. 4급 암모늄 하이드록시드 및 아민 물질들은 액체, 기체 또는 증기로 도포될 수 있고, 예를 들면, 스핀-코팅, 딥핑, 증기-코팅, 화학 증착(CVD) 또는 기타 통상적인 코팅술로 도포될 수 있다. 이들 중, 액체 물질의 스핀-코팅이 일반적이다. 일반적으로, 4급 암모늄 하이드록시드 및 아민 물질은 수성 용액(들)으로 도포될 수 있다. 4급 암모늄 하이드록시드 및 아민이 동시에 도포되는 경우, 표면 처리된 기판을, 예를 들면, 탈이온수로 세정할 수 있다. 4급 암모늄 하이드록시드 및 아민 물질을 순차적으로 도포하는 경우, 아민은 세정수로도 작용하는 수성 용액으로 도포될 수 있다. 표면 처리된 기판을 임의로, 예를 들면, 과량의 조성물의 제거하기 위해 탈이온수로 세정할 수 있다.
제1 레지스트 패턴의 임계 치수(critical dimension, CD)(106")는 표면 처리의 결과 레지스트 패턴의 원래 CD(106')와 비교시 약간 감소한다. 이 CD 감소가 표면 처리 동안 제1 레지스트 패턴의 후속 현상에 기여할 것으로 생각된다. 표면 처리에 의해, 알카리성을 가지며, 처리 전보다 라인 폭 거칠기(roughness)가 덜한, 변형된 제1 레지스트 패턴 표면(112)을 형성한다.
표면처리에 이어, 기판은 임의로 제2 하드베이킹 공정에서 열처리 될 수 있다. 공정에서 생성되는 제1 레지스트 패턴의 치수는, 제2 하드베이킹의 적절한 조건을 선택함으로써 정확하게 조정하고 조절될 수 있다. 이러한 열처리 공정은 일반적으로 핫플레이트위나 오븐안에서 수행되며, 조건은 예컨대 특정물질이나 레지스트 패턴의 두께, 및 원하는 패턴의 CD 변경에 의존한다. 임의의 열처리의 전형적인 조건은 약 120 내지 200℃의 온도 및 약 60 내지 120초의 시간을 포함한다.
상술한 바와 같은 제2 감광성 조성물은 제1 레지스트 패턴(106") 및 BARC 층(104)상에 코팅되어 도 1E에 보인 바와 같이 제2 감광층(114)을 형성한다. 제2 감광 조성물은 제1 감광 조성물과 동일하거나 상이할 수 있으며, 다르게 언급되지 않는 한, 제1 감광층에 대해 상술한 바와 같은 조건 및 물질을 포함하여 동일한 방식으로 적용되고 처리될 수 있다. 제1 감광 조성물이 포지티브-작용성 또는 네거티브-작용성 물질인 반면, 제2 감광 조성물은 대체적으로 포지티브-작용성이다. 이 조성물의 선택은 특정 응용 형태 및 관련된 기하에 의존한다. 상술한 방법에서, 제1 및 제2 감광성 조성물 모두 포지티브-작용성이다. 제2 감광층(114)은 이후 소프트베이킹될 수 있다. 제2 감광층(114)이 침지 리소그라피 툴에 노출되는 경우, 상술한 탑코트층(미도시)을 제2 감광층(114)상에 배치할 수 있다. 탑코트층이 사용되는 경우, 제2 감광층(114)은, 탑코트층 조성물이 적용되기 전보다 적용된 후에, 소프트베이킹될 수 있다.
제2 감광층(114)은 플러드 노광 단계(flood exposure)에서 활성화 조사선(108)에 노광된다. 노출된 제2 감광층은 노광후 베이킹에서 열 처리되고 현상된다. 제1 레지스트 패턴(106")의 알카리-변형된 표면 영역(112)은 표면 영역의 근처의 제2 레지스트 층(114) 내에서의 광반응을 막는다. 그 결과, 제2 감광성 조성물의 미반응 부분층(114')이 제1 레지스트 패턴(106") 상에 남는다. 생성되는 현상된 이미지는, 제1 포토레지스트층의 현상에 따른 레지스트 패턴에 비해, 개선된(즉, 감소된) 표면 거칠기를 가진다. 도 1F에 나타낸 바와 같이 제2 감광층의 현상에 후속하여, 패턴 폭을 예컨대 감소된 접촉홀 직경에 상응하는 제1 레지스트 패턴(106") 상으로 제2 감광층(114')의 패턴 두께를 증가시키는 것이 요망되는 경우, 공정의 일련의 단계를 제1 하드베이킹에서부터 제2 감광성 조성물의 부가적인 감광층의 현상까지, 점선으로 표시된 것과 같이 한번 이상 반복할 수 있다.
제2 감광층 현상에 후속하여, BARC 층(104)은, 변경된 제1 레지스트 패턴(106")을 에칭 마스크로서 사용하고, 하부 하드마스크 층(103)을 노광하여, 선택적으로 에칭된다. 하드마스크 층은 다음으로 선택적으로 에칭되는데 다시 변경된 제1 레지스트 패턴(106")을 에칭 마스크로서 사용하게 되는데, 그 결과 도 1G에서 나타낸 것 같은 패턴화된 BARC 및 하드마스크 층(104', 103')이 된다. 적절한 에칭 기술 및 BARC 층 및 하드마스크 층을 에칭하기 위한 화학적 성질은 당 분야에 공지되어 있고, 예를 들면 이들 층의 특정 물질에 좌우된다. 반응성 이온 에칭(reactive ion eching) 같은 건식-에칭 공정이 전형적이다. 다음으로 변경된 제1 레지스트 패턴(106") 및 패턴화된 BARC 층(104')이 기판으로부터 공지된 기술, 예를 들면 옥시겐 플라즈마 애싱(oxygen plasma ashing)에 의하여 제거된다.
하드마스크 패턴(103')을 에칭 마스크로서 사용함으로써, 하나 이상의 층(102)이 선택적으로 에칭된다. 적절한 에칭 기술 및 하부 층(102)을 에칭하기 위한 화학적 성질은 당 분야에 공지되어 있고, 반응성 이온 에칭 같은 건식-에칭 공정이 전형적이다. 다음으로 패턴화된 하드마스크 층(103')이 공지된 기술, 예를 들면 반응성 이온 에칭 같은 건식-에칭 공정을 사용하여 기판 표면으로부터 제거된다. 생성된 구조는 도 1H에서 단면도 및 평면도에서 보인 바와 같이 에칭된 접촉홀 피처(116) 패턴이다.
다른 예시적인 방법에서, 층(102)을 하드마스크 층(103)의 사용 없이 직접 변경된 제1 포토레지스트 패턴(106")을 사용하여 패턴화하는 것이 바람직할 수도 있다. 레지스트 패턴으로 직접 패터닝하는 것이 적용가능한지 여부는 사용되는 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수 같은 인자에 달려있다.
대체적인 예시 방법에서, 특정 경우에 비-포토이미지화성 열-민감성 조성물(non-photoimageable thermally-sensitive composition)이 제2층(114)의 감광성 조성물을 대신하여 사용될 수 있다. 열-민감성 물질은 예를 들면 제2 감광층(114)을 위한 플러드 노출을 사용한 공정에서, 포토이미지화성 물질을 대체할 수 있다. 열-민감성 조성물은, 광활성 성분 대신 열적 산발생제(TAG)와 같은 열-민감성 성분이 사용된다는 것을 제외하고는, 제1 감광성 조성물에 대해 상술한 바와 같다. 적합한 TAGs는 본 기술분야에 잘 알려져 있다. 예를 들면, 탈보호 반응에 기초한 물질의 경우, 적합한 TAGs는, 가열시 열-민감층(114)의 산불안정성기의 결합을 분해할 수 있는 산, 특히 설폰산과 같은 강산을 생성하는 물질들을 포함한다. 통상 열적 산발생제(thermal acid generator)는 90℃이상에서, 예를 들면 120℃ 또는 150℃이상에서 활성화된다. 열-민감층은 상기 열적 산발생제가 조성물의 레진성분과 충분히 반응할 수 있는 시간동안 가열된다. 열적 산발생제의 예로는 니트로벤질 토실레이트, 예로서 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠설포네이트, 예로서 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠설포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로벤젠설포네이트; 페놀 설포네이트 에스테르, 예로서, 페닐, 4-메톡시벤젠설포네이트; 유기산의 알킬 암모늄염, 예로서 10-캠포설폰산의 트리에틸암모늄염. 다양한 방향족(안트라센, 나프탈렌 또는 벤젠 유도체) 설폰산 아민염도 TAG로서 사용될 수 있으며. 이는 미국 특허 제3,474,054호, 제4,200,729호, 제4,251,665호 및 제5,187,019호에 개시된 물질들을 포함한다. 통상, TAG는 170 내지 220℃에서 매우 낮은 휘발성을 갖는다. TAGs의 예는 킹 산업(Norwalk, Connecticut USA)이 상품명 NACURE™, CDX™ 및 K-PURE™로 시판하고 있는 제품, 예컨대 NACURE 5225, CDX-2168E, K-PURE™2678 및 K-PURE™2700을 들 수 있다. 열-민감성 성분은 통상 조성물내 조성물 전체 고체를 기준으로 약 1 내지 20 wt%의 양으로 존재한다.
본 발명은 전자장비 제조의 다양한 상황에 적용될 수 있다. 예를 들면 본 발명은 특히 접촉홀 및 트렌치 형성에 유용한 수축 공정의 베이스로서 사용될 수 있으며, 또한 레지스트 패턴 라인폭 거칠기를 개선시킬 수 있다.
도 2는 도 1을 참조하여 상술한 바와 같은 접촉홀 형성에 대한 공정흐름도이다. 도 2A는 제1 감광층을 패턴화하고, 하드베이킹하고, 접촉홀 패턴을 갖는 레지스트 패턴(106')을 형성하기 위해 노광후 베이킹한 기판의 평면도이다. 도 2B는 알칼리성 표면(112)을 형성하기 위해 알칼리 표면처리후 기판을 도시한 것이다. 도 2C는 제2 감광층(114) 형성후 기판을 도시한 것이다. 제2감광 소프트-베이킹, 플러드-노광 및 현상후 기판을 도 2D에 도시하였다. 도 2E는 도 2D의 점선 F-F를 따른 단면도이다. 소프트베이킹은 알칼리성 물질이 확산하여 알칼리 영역(112')을 형성하도록 한다. 접촉홀 개구부는, 제2 레지스트층이 영역(112')에서 오염(poisoning)되어, 원래 접촉패턴보다 더 작게 된다. 이러한 예시적 공정에서, 레지스트 패턴(106')를 형성하는데 사용된 제1 감광 조성물은 제2 감광층(114)를 위해 사용된 제2 감광성 조성물 보다 더 높은 특징적인 노광후 베이킹 온도를 갖는다. 플러드 노광에 후속하여 더 낮은 제2 조성물 노광후 베이킹 온도를 사용함으로써, 접촉의 오염된 영역(112')의 결과로 접촉홀의 임계 치수가 감소된다. 레지스트 패턴(106')는, 제2 감광층의 후속 노광에 사용된 것보다 더 높은 특징적인 노광후 베이킹 온도를 갖기 때문에, 제거되지 않는다.
도 3은 본 발명에 따른 접촉홀 피치-스플리팅 공정에 대한 공정 흐름도를 도시한 것으로, 여기에서 틈새형(interstitial) 접촉홀(117)이 형성된다. 도 3A-C는 도 2A-C에 대해 상술된 것과 동일하며, 제1 감광 패터닝, 제2 감광층 코팅, 플러드-노광, 노광후 베이킹 및 현상을 포함한다. 이 경우, 제1 레지스트 패턴의 특징적인 높은 노광후 베이킹 온도가 플러드-노광후에 사용된다. 그 결과, 도 3D-E에 보인 바와 같이, 원래의 접촉홀(116)이 수축하고 틈새형 접촉홀(117)이 형성된다. 틈새형 홀은 전형적으로 더 높은 밀도 패턴의 레지스트 패턴 접촉홀, 예컨대 76nm 직경/140 nm 피치홀을 결과한다.
도 4는 도넛 형상의 패턴(118)을 형성하는데 사용될 수 있는 공정에 대한 흐름도를 도시한 것이다. 도 4A-C는 도 2A-C에 상술된 바와 동일하며, 제1 감광 패터닝, 제2 감광층 코팅, 플러드-노광, 노광후 베이킹 및 현상을 포함한다. 이 경우, 제1 레지스트 패턴의 특징적인 것보다 더 높은 노광후 베이킹 온도가 플러드-노광후에 사용된다. 그 결과, 도 4D-E에 보인 바와 같이, 원래의 접촉홀(116)이 수축하고 제1 레지스트 패턴(106')이 제거되어, 도넛형 패턴(118)이 형성된다. 도넛형 패턴은 전형적으로 반-밀집(semi-dense) 또는 격리된 접촉홀, 예컨대 88nm 직경/250nm 피치 및 84nm 직경/700nm 피치홀과 함께 형성된다.
도 5에 도시한 바와 같이, 본 발명의 방법은 기둥-형상 패턴을 형성하는데도 사용될 수 있다. 이 공정은 일반적으로, 제2 감광층(114)이 완전히 알칼리 물질로 오염되도록 공정 조건이 선택되는 것을 제외하고는, 도 4에 대해 상술된 바와 같다. 이는, 예컨대, 알카리 처리 공정에서 알카리 물질의 양을 증가시켜 적용하고/거나 더 높은 제2 감광층 소프트베이킹 온도를 사용함으로써 달성된다. 이러한 방법으로 제2 감광층은 후속하는 플러드-노출 및 현상후에 제거되지 않는다.
하기 비-제한적 실시예로 본 발명을 더욱 상세히 설명한다.
실시예
실시예 1: 이중 노출( SPADE )에 의해 보조되는 접촉 수축 공정
L1 레지스트 폴리머 ( Poly ( IAM /α- GBLMA / ODOTMA / HAMA )) 합성
10.51g의 2-메틸-아크릴산 1-이소프로필-아다만타닐 에스테르(IAM), 6.82g의 2-메틸-아크릴산 2-옥소-테트라하이드로-퓨란-3일 에스테르(α-GBLMA), 6.36g 의 2-메틸-아크릴산 3-옥소-4,10-디옥사-트리사이클로[5.2.1.02,6]데크-8-일 에스테르(ODOTMA) 및 6.31g의 2-메틸-아크릴산 3-하이드록시-아다만타닐 에스테르(HAMA)를 27g의 테트라하이드로퓨란(THF)에 용해시켰다. 혼합물에 질소를 20분 동안 버블링시켜 기체를 제거하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 설치된 500 ml 플라스크에 11g 의 THF를 충전시키고 용액의 온도를 67C°로 하였다. 5.23g의 디메틸-2,2-아조디이소부티레이트(총 단량체 양에 대해 17 mol% )를 5g의 THF에 용해시킨 후 플라스크에 충전시켰다. 단량체 용액을 16.0(mL/h)의 속도로 3시간 30분 동안 반응기에 주입하였다. 폴리머화된 혼합물을 추가로 67C°에서 30분 동안 교반하였다. 이후 5g의 THF를 반응기에 넣고 폴리머화된 혼합물을 실온으로 냉각시켰다. 1.0 L의 이소프로필 알코올에 침전시켰다. 여과 후, 폴리머를 건조시키고, 50g의 THF에 재용해시키고, 1.1 L의 이소프로필 알코올에 재침전시키고, 여과시키고, 진공 오븐에서 45C°에서 48시간 건조시켜, 하기와 같은 25.4g의 폴리(IAM/α-GBLMA/ODOTMA/HAMA) 폴리머 (Mw = 7,934 및 Mw/Mn = ~1.46)를 수득하였다.
Figure 112010041676919-pat00011

L1 레지스트 제제
상술한 바와 같이 형성된 폴리머 3.169g을 70 wt% 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA) 및 30 wt% 사이클로헥사논의 용매 혼합물 96.38g에 용해시켰다. 이 혼합물에 0.405g의 트리페닐설포늄(아다만탄-1-일 메톡시카보닐)-디플루오로-메탄설포네이트, 0.041g의 1-(tert-부톡시카보닐)-4-하이드록시피페리딘 및 0.005g의 POLYFOX® PF-656 계면활성제 (Omnova Solutions Inc.)를 첨가하였다. 생성된 혼합물을 롤러상에서 6 시간 롤링한 후, 기공 크기가 0.2 미크론인 테프론 필터를 통해 여과하여 포지티브-작용성 포토레지스트 조성물을 형성하였다.
표면 처리 용액 제제
0.01g의 (TAEA) (Sigma-Aldrich)를 99.99g의 계면활성제 용액(OptiPattern™ Clear-I, Air Products and Chemicals, Inc., Allentown, PA, USA)에 첨가하여 표면 처리 용액을 제조하였다. 생성 용액을 기공 크기가 0.1 미크론인 나일론 필터를 통해 여과하였다.
접촉홀의 제1 리소그라피 ( L1 ) 패턴화
300 mm 실리콘 웨이퍼를 ARTM40A 반사방지제 (Rohm and Haas Electronic Materials)로 스핀코팅하여 TEL CLEAN TRACKTM LITHIUSTM i+ 코터/현상액 상에 제1 하부 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 215 ℃에서 60초간 베이킹하여 막 두께 75nm의 제1 BARC를 수득하였다. 그 다음에, ARTM124 반사방지제 (Rohm and Haas Electronic Materials)를 사용하여 제2 BARC 층을 제1 BARC 상에 코팅하고, 205 ℃에서 60초간 베이킹하여 막 두께 23nm의 BARC 상부층을 생성하였다.
L1 레지스트 조성을 이중 BARC의 상부에 코팅하고, 110 ℃에서 60초간 코터/현상액과 함께 소프트-베이킹하여 레지스트 막 두께를 1000Å으로 하였다. 제1 레지스트 층을 탑코트층 (OCTM2000 탑코트 물질, Rohm and Haas Electronic Materials)으로 코팅하고, 개구수 1.35 및 XY-편광 애뉼라 조명 (0.8 외부 시그마/0.6 내부 시그마)의 ASML TWINSCANTM XT:1900i 침지 스캐너를 이용하여 여러 임계 치수 및 피치의 접촉홀 패턴을 갖는 이진레티클을 통해 12.5 내지 87.5 mJ/cm2의 다양한 선량에 노광시켰다. 이어서, 웨이퍼를 100 ℃에서 60초간 노광후 베이킹 (PEB)하고, MicropositTM MF CD-26 현상액 (Rohm and Haas Electronic Materials)을 사용하여 12초 동안 현상하여 다양한 CD 및 피치를 갖는 접촉홀(C/H) 패턴을 만들었다. C/H 이미지의 전체 초점노출매트릭스(FEM)는 X-방향을 통해 초점 오프셋을 변경하고 Y-방향으로 노출량을 변경하여 수득하였다. C/H 직경은 히타치CG 4000 SEM로 측정하고, 그 결과를 표1에 나타내었다.
경화 및 표면 처리
웨이퍼를 180 ℃에서 60 초간 하드-베이킹하였다. 이어서, 웨이퍼를 후속 과정의 표면 처리 화학에 노출시켰는데, 이 과정은 먼저, 웨이퍼를 TEL GP 노즐을 사용하여 2.38wt% TMAH 수용액으로 12초동안 세정하고, 표면 처리 용액 제제로 세정하는 것으로 이루어진다.
제2 리소그라피 ( L2 )
EPICTM 2098 포지티브 포토레지스트 (Rohm and Haas Electronic Materials)를 벗겨진 실리콘 웨이퍼상에 650Å의 필름두께를 제공할 수 있는 스핀 속도로 표면-처리된 접촉홀 패턴을 함유하는 웨이퍼상에 코팅하였다. 웨이퍼를 120 ℃에서 60초간 코터/현상액 상에서 소프트-베이킹하였다. 이후 웨이퍼를 OCTM 2000 탑코트 물질 (Rohm and Haas Electronic Materials)로 코팅하고, 제1 리소그라피 공정에서와 동일한 스캐너 세팅을 사용하여 노광하되, 마스크 없이 플러드 노광을 사용하고, 고정된 초점에서 28.7mJ/cm2, 20.5mJ/cm2 및 12.3mJ/cm2의 선량으로 수행하였다. 그 다음에, 웨이퍼를 90℃에서 60초간 노광후 베이킹 (PEB)하고, MicropositTM MF CD-26 현상액 (Rohm and Haas Electronic Materials)을 사용하여 12초 동안 현상하였다. 다시 접촉홀 직경을 측정하고 그 결과를 표 1에 나타내었다. 이로부터 L1 레지스트 패턴상의 CD 성장으로부터 원래 패턴에 비해 감소된 CD를 갖는 접촉홀 패턴이 생성됨을 확인할 수 있었다. 또한 제2 (L2) 레지스트 노광 선량이 감소됨에 따라, 접촉홀이 더 수축되었다.
표 1
L1 노광선량
( mJ / cm2 )
L2 노광선량 ( mJ / cm2 ) Mask CD /피치 ( nm / nm ) 수축전 CD ( nm ) 수축후 CD ( nm ) CD 변화 ( nm )
47.5

28.7
70/120 79.3 72.5 -6.8
50.0 76/140 87.6 78.9 -8.7
75.0 80/250 105 93.9 -11.1
60.0 84/250 102.3 90.6 -11.7
62.5 84/700 102.7 94.3 -8.4
52.5 90/700 102.3 96 -6.3
47.5

20.5
70/120 79.3 67.1 -12.2
50.0 76/140 87.6 75.2 -12.4
75.0 80/250 105 87 -18
60.0 84/250 102.3 85.2 -17.1
62.5 84/700 102.7 86.2 -16.5
52.5 90/700 102.3 90.1 -12.2
47.5

12.3
70/120 79.3 59.8 -19.5
50.0 76/140 87.6 64.3 -23.3
75.0 80/250 105 66.9 -38.1
60.0 84/250 102.3 59.2 -43.1
62.5 84/700 102.7 69.2 -33.5
52.5 90/700 102.3 75.8 -26.5
시예 2: 접촉홀 피치 스필리팅
표면 처리 용액 제제
2.5g의 탈이온수중 1 wt% TAEA 용액 및 0.5g의 탈이온수중 10% Tergitol™TMN-6 용액을 97g의 탈이온수에 혼합하여 표면 처리 용액을 제조하였다. 이 용액을 기공 크기가 0.1 미크론인 나일론 필터를 통해 여과하였다.
라인 및 공간의 제1 리소그라피 ( L1 ) 패턴화
300 mm 실리콘 웨이퍼를 ARTM40A 반사방지제 (Rohm and Haas Electronic Materials)로 스핀코팅하여 TEL CLEAN TRACKTM LITHIUSTM i+ 코터/현상액 상에 제1 하부 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 215 ℃에서 60초간 베이킹하여, 막 두께 75nm의 제1 BARC를 수득하였다. 그 다음에, ARTM124 반사방지제 (Rohm and Haas Electronic Materials)를 사용하여 제2 BARC 층을 제1 BARC 상에 코팅하고, 205 ℃에서 60초간 베이킹하여 막 두께 23nm의 BARC 상부층을 생성하였다.
실시예 1에 상술한 바와 같은 L1 포토레지스트 조성물을 상기 이중 BARC의 상부에 코팅하고, 110 ℃에서 60초간 소프트-베이킹하여 레지스트 막 두께를 1000Å으로 하였다. 제1 레지스트 층을 탑코트층 (OCTM2000 탑코트 물질, Rohm and Haas Electronic Materials)으로 코팅하고, 개구수 1.35 및 XY-편광 애뉼라 조명 (0.8 외부 시그마/0.6 내부 시그마)의 ASML TWINSCANTM XT:1900i 침지 스캐너를 이용하여 여러 임계 치수를 갖는 이진레티클을 통해 16 내지 46 mJ/cm2의 다양한 선량에 노광시켰다. 이어서, 웨이퍼를 100 ℃에서 60초간 노광후 베이킹 (PEB)하고, MicropositTM MF CD-26 현상액 (Rohm and Haas Electronic Materials)을 사용하여 12초 동안 현상하여 제1 리소그라피 (L1) 패턴을 만들었다. CD는 히타치 CG 4000 SEM로 측정하고, 이 측정에서 사용된 마스크 CD는 140nm 피치에서 76nm 홀이었고 37 mJ/cm2에서 78.8nm홀이 생성되었다.
경화 및 표면 처리
웨이퍼를 180 ℃에서 60 초간 하드-베이킹하였다. 이어서, 웨이퍼를 후속 과정의 표면 처리 화학에 노출시켰는데, 이 과정은 먼저, 웨이퍼를 TEL GP 노즐을 회전하면서 2.38wt% TMAH 수용액으로 12초 동안 세정하고, 상술한 표면 처리 용액 제제로 세정하는 것으로 이루어진다.
제2 리소그라피 ( L2 )
EPICTM 2098 포지티브 포토레지스트 (Rohm and Haas Electronic Materials)를 벗겨진 실리콘 웨이퍼상에 650Å의 막두께를 제공할 수 있는 스핀 속도로 코터/현상액 상에서 표면-처리된 L1 패턴상에 코팅하였다. 웨이퍼를 120 ℃에서 60초간 소프트-베이킹하고, OCTM 2000 탑코트 물질 (Rohm and Haas Electronic Materials)로 코팅하였다. 제1 리소그라피 공정과 동일하나 마스크 없는 플러드 노광의 스캐너 셋팅을 이용하여 21 mJ/cm2의 선량으로 제2 리소그라피 (L2)를 수행하였다. 그 다음에, 웨이퍼를 세 온도(90, 100 및 110 ℃)에서 60초간 노광후 베이킹 (PEB)하고, MicropositTM MF CD-26 현상액 (Rohm and Haas Electronic Materials)을 사용하여 12초 동안 현상하였다. 90nm의 원래 접촉홀 CD의 변화를 히타치 CG 4000 SEM로 측정하였다. 그 결과 L2 공정에서 높은 PEB 온도 (110℃)가 사용될 때, 원래 L1 접촉홀간에 도 3에 나타낸 바와 같은 틈새 홀들이 형성되는 것이 확인되었다. 낮은 L2 PEB 온도(90℃ 및 100℃)가 사용되는 경우, 원래의 접촉홀은 이 과정에서 역시 수축되었다.

Claims (10)

  1. (a) 패턴화 될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계;
    (b) 복수개의 제1 개구부를 포함하는 레지스트 패턴을 상기 하나 이상의 층상에 형성하는 단계;
    (c) 레지스트 패턴을 하드베이킹(hardbake) 공정에서 열처리하여 경화된 레지스트 패턴을 형성하는 단계;
    (d) 경화된 레지스트 패턴을 알칼리성 물질로 처리하는 단계;
    (e) 레진성분 및 산 발생제를 포함하는 포지티브-작용성 조성물의 층을, 레지스트 패턴의 복수개의 제1 개구부 내에 적용하는 단계;
    (f) 산 발생제가 산을 생성하도록 유발하는 조건으로 상기 포지티브-작용성 조성물의 층을 플러드(flood) 노광하는 단계(여기서, 플러드 노광하는 동안 밑에 놓인 알칼리성 물질-처리된 레지스트 패턴과 접촉하는 포지티브-작용성 조성물의 층의 영역에서는 산-촉매 탈보호 반응이 억제된다); 및
    (g) 레지스트 패턴과 상기 포지티브-작용성 조성물의 층을 현상제 용액과 접촉시키는 단계;를 순서대로 포함하는,
    전자장비 형성 방법.
  2. 제1항에 있어서, 상기 포지티브-작용성 조성물의 층은 감광성이며, 산 발생제는 광산 발생제이고, 산 발생제가 산을 생성하도록 유발하는 조건이 상기 포지티브-작용성 조성물의 층을 활성화 조사선에 노광하는 것을 포함하는, 전자장비 형성 방법.
  3. 제2항에 있어서, 상기 레지스트 패턴과 상기 포지티브-작용성 조성물의 층을 현상제 용액과 접촉시키는 단계는 복수개의 제2 개구부를 형성하고, 여기에서 복수개의 제2 개구부는 복수개의 제1 개구부에 의해 구획된(defined) 부피 내에서 상기 포지티브-작용성 조성물의 층 내에 배치되고, 복수개의 제1 개구부보다 작은 직경을 갖는, 전자장비 형성 방법.
  4. 제3항에 있어서, 상기 레지스트 패턴과 상기 포지티브-작용성 조성물의 층을 현상제 용액과 접촉시키는 단계는 레지스트 패턴을 제거하고 복수개의 도넛-형상의 패턴을 상기 포지티브-작용성 조성물의 층으로부터 형성하는, 전자장비 형성 방법.
  5. 제2항에 있어서, 상기 레지스트 패턴과 상기 포지티브-작용성 조성물의 층을 현상제 용액과 접촉시키는 단계는 복수개의 제2 개구부를 레지스트 패턴 내에 형성하고, 여기에서 복수개의 제2 개구부는 복수개의 제1 개구부에 대해 틈새로 배치되는 틈새형 접촉홀인, 전자장비 형성 방법.
  6. 제2항에 있어서, 상기 레지스트 패턴과 상기 포지티브-작용성 조성물의 층을 현상제 용액과 접촉시키는 단계는 레지스트 패턴을 제거하고 복수개의 기둥 형상의 패턴을 상기 포지티브-작용성 조성물의 층으로부터 형성하는, 전자장비 형성 방법.
  7. 제1항에 있어서, 상기 알칼리성 물질로 처리하는 단계 이후에, 그리고 상기 포지티브-작용성 조성물의 층을 적용하는 단계 이전에, 하드베이킹된 레지스트 패턴을 열처리하는 단계를 더 포함하는, 전자장비 형성 방법.
  8. 제1항에 있어서, 상기 알칼리성 물질이 1급 또는 2급 아민을 포함하는, 전자장비 형성 방법.
  9. 삭제
  10. 삭제
KR1020100061495A 2009-06-26 2010-06-28 전자 장비 형성 방법 KR101671289B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26960009P 2009-06-26 2009-06-26
US61/269,600 2009-06-26
US28168109P 2009-11-19 2009-11-19
US61/281,681 2009-11-19

Publications (2)

Publication Number Publication Date
KR20110002798A KR20110002798A (ko) 2011-01-10
KR101671289B1 true KR101671289B1 (ko) 2016-11-16

Family

ID=42711855

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020100061495A KR101671289B1 (ko) 2009-06-26 2010-06-28 전자 장비 형성 방법
KR1020100061502A KR101766289B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법
KR1020100061499A KR101698400B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법
KR1020100061494A KR101698396B1 (ko) 2009-06-26 2010-06-28 전자 디바이스 형성방법
KR1020100061498A KR101724384B1 (ko) 2009-06-26 2010-06-28 전자 장치를 형성하기 위한 조성물 및 방법

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020100061502A KR101766289B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법
KR1020100061499A KR101698400B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법
KR1020100061494A KR101698396B1 (ko) 2009-06-26 2010-06-28 전자 디바이스 형성방법
KR1020100061498A KR101724384B1 (ko) 2009-06-26 2010-06-28 전자 장치를 형성하기 위한 조성물 및 방법

Country Status (6)

Country Link
US (7) US8492075B2 (ko)
EP (3) EP2287669A1 (ko)
JP (5) JP5698924B2 (ko)
KR (5) KR101671289B1 (ko)
CN (3) CN101963754B (ko)
TW (3) TWI449084B (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
CN101963754B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 形成电子器件的方法
JP5753351B2 (ja) 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法
JP5542500B2 (ja) * 2010-03-30 2014-07-09 東京応化工業株式会社 レジストパターン形成方法およびレジスト組成物
KR20120027989A (ko) * 2010-09-14 2012-03-22 삼성전자주식회사 반도체 소자의 패턴 형성방법
KR101865296B1 (ko) * 2011-06-15 2018-06-07 삼성전자주식회사 반도체 장치의 제조 방법
CN102856190B (zh) * 2011-06-30 2015-04-01 中芯国际集成电路制造(上海)有限公司 条形结构的刻蚀方法
EP2729844B1 (en) * 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
CN102364389A (zh) * 2011-10-17 2012-02-29 深圳市华星光电技术有限公司 控制液晶显示装置接触孔孔壁角度的制作方法
CN102437018B (zh) * 2011-11-02 2017-11-24 上海华虹宏力半导体制造有限公司 用于改进蚀刻后晶圆内关键尺寸均匀性的烘烤方法及设备
US8604427B2 (en) * 2012-02-02 2013-12-10 Applied Materials Israel, Ltd. Three-dimensional mapping using scanning electron microscope images
JP6028357B2 (ja) 2012-03-22 2016-11-16 ソニー株式会社 ヘッドマウントディスプレイ及び手術システム
JP6328630B2 (ja) * 2012-07-16 2018-05-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se フォトレジスト現像用組成物、組成物の使用方法並びに集積回路装置、光学装置、マイクロマシン及び機械精密装置の製造方法
CN102751238A (zh) * 2012-07-27 2012-10-24 上海华力微电子有限公司 通孔优先铜互连制作方法
US9086631B2 (en) 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
CN102832168A (zh) * 2012-09-11 2012-12-19 上海华力微电子有限公司 一种沟槽优先铜互连制作方法
CN102810510A (zh) * 2012-09-11 2012-12-05 上海华力微电子有限公司 一种铜互连制作方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
JP6119669B2 (ja) * 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
DE102013108876B4 (de) * 2013-08-16 2022-08-18 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Fotolithografisches Verfahren zur Herstellung einer Struktur in einem Strahlung emittierenden Halbleiterbauelement
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
JP6340304B2 (ja) * 2013-11-29 2018-06-06 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
US9793268B2 (en) 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
TWI653507B (zh) * 2014-02-07 2019-03-11 比利時商愛美科公司 用於減低微影製程後線寬粗糙度之電漿方法
KR20150136387A (ko) 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9715724B2 (en) 2014-07-29 2017-07-25 Applied Materials Israel Ltd. Registration of CAD data with SEM images
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
TWI675258B (zh) * 2014-09-26 2019-10-21 日商東京應化工業股份有限公司 光阻圖型形成方法、光阻圖型分離劑、分離圖型改善化劑、光阻圖型分離材料及分離圖型形成用之正型光阻劑組成物
TWI632437B (zh) * 2014-11-07 2018-08-11 羅門哈斯電子材料有限公司 用於形成凸紋影像的方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9754791B2 (en) * 2015-02-07 2017-09-05 Applied Materials, Inc. Selective deposition utilizing masks and directional plasma treatment
KR102370616B1 (ko) 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下
US10061199B2 (en) * 2015-06-24 2018-08-28 Tokyo Electron Limited Methods of forming a mask for substrate patterning
US9741586B2 (en) * 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
KR102515807B1 (ko) * 2016-01-11 2023-03-31 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
JP6741471B2 (ja) * 2016-05-17 2020-08-19 東京応化工業株式会社 レジストパターン形成方法
CN107703722B (zh) * 2016-08-08 2020-12-15 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
US10115594B1 (en) * 2017-09-05 2018-10-30 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
JP2019078810A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 微細パターンの製造方法およびそれを用いた表示素子の製造方法
JP2019078812A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 高精細パターンの製造方法およびそれを用いた表示素子の製造方法
US10410878B2 (en) 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
CN107761663A (zh) * 2017-11-08 2018-03-06 江苏科技大学 一种板桩码头结构及其施工方法
TWI757574B (zh) * 2018-01-05 2022-03-11 日商東京威力科創股份有限公司 先進接觸孔圖案化方法
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11143953B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Protection of photomasks from 193nm radiation damage using thin coatings of ALD Al2O3
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11886121B2 (en) 2019-08-30 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming patterned photoresist

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008143301A1 (ja) 2007-05-23 2008-11-27 Jsr Corporation パターン形成方法及びそれに用いる樹脂組成物

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
JPS5844715A (ja) * 1981-09-11 1983-03-15 Fujitsu Ltd 微細パタ−ン形成方法
DE3584316D1 (de) 1984-06-01 1991-11-14 Rohm & Haas Lichtempfindliche beschichtungszusammensetzung, aus diesem hergestellte thermisch stabile beschichtungen und verfahren zur herstellung von thermisch stabilen polymerbildern.
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
EP0358358B1 (en) * 1988-08-26 1994-11-30 Nippon Oil And Fats Company, Limited Pigment dispersing agent
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100696A (en) * 1989-07-10 1992-03-31 Ncr Corporation Magnetic thermal transfer ribbon
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JP3340493B2 (ja) * 1993-02-26 2002-11-05 沖電気工業株式会社 パターン形成方法、位相シフト法用ホトマスクの形成方法
US5656121A (en) * 1994-08-19 1997-08-12 Minnesota Mining And Manufacturing Company Method of making multi-layer composites having a fluoropolymer layer
JP3444692B2 (ja) * 1995-04-14 2003-09-08 沖電気工業株式会社 パターン形成方法
JPH09191007A (ja) * 1996-01-11 1997-07-22 Sumitomo Chem Co Ltd フォトレジスト用剥離液
JPH11214510A (ja) * 1998-01-26 1999-08-06 Toshiba Corp 自己整合型パターン形成方法
JPH11251214A (ja) * 1998-02-27 1999-09-17 Sharp Corp タンタル薄膜回路素子の製造方法
US6218085B1 (en) * 1999-09-21 2001-04-17 Lucent Technologies Inc. Process for photoresist rework to avoid sodium incorporation
JP2001135565A (ja) * 1999-11-08 2001-05-18 Sony Corp 半導体装置の製造方法
TWI281101B (en) * 2000-02-28 2007-05-11 Mitsubishi Electric Corp Developing process, process for forming pattern and process for preparing semiconductor device using same
JP4329216B2 (ja) * 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
KR100546098B1 (ko) * 2000-12-27 2006-01-24 주식회사 하이닉스반도체 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법
JP2002278053A (ja) * 2001-03-16 2002-09-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
US7670556B2 (en) * 2001-07-10 2010-03-02 Wisconsin Alumni Research Foundation Surface plasmon resonance imaging of micro-arrays
JP3878451B2 (ja) * 2001-10-22 2007-02-07 富士フイルムホールディングス株式会社 感光性樹脂転写材料、画像形成方法、カラーフィルターとその製造方法、フォトマスクとその製造方法
JP2003228179A (ja) * 2002-01-31 2003-08-15 Mitsubishi Gas Chem Co Inc 銅配線基板向けアミン含有レジスト剥離液および剥離方法
JP2005519345A (ja) 2002-03-04 2005-06-30 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 短波長イメージング用ネガ型フォトレジスト
JP4041750B2 (ja) * 2002-06-28 2008-01-30 富士フイルム株式会社 染料含有硬化性組成物、カラーフィルタ及びその製造方法
JP3675434B2 (ja) * 2002-10-10 2005-07-27 東京応化工業株式会社 微細パターンの形成方法
US6740473B1 (en) * 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7399582B2 (en) * 2003-07-17 2008-07-15 Az Electronic Materials Usa Corp. Material for forming fine pattern and method for forming fine pattern using the same
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US20060003271A1 (en) * 2004-06-30 2006-01-05 Clark Shan C Basic supercritical solutions for quenching and developing photoresists
KR100560633B1 (ko) * 2004-08-16 2006-03-17 삼성전자주식회사 커패시터 제조 방법
KR100575001B1 (ko) * 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP2007010785A (ja) * 2005-06-28 2007-01-18 Fujifilm Holdings Corp 永久パターン形成方法
DE102005037022A1 (de) * 2005-06-28 2007-01-04 Osram Opto Semiconductors Gmbh Strahlungsemittierender optoelektronischer Halbleiterchip mit einer Diffusionsbarriere
JP4830596B2 (ja) * 2006-04-10 2011-12-07 凸版印刷株式会社 レジストパターン形成用基板、レジストパターン形成方法およびパネル
WO2007148160A2 (en) * 2006-06-20 2007-12-27 Freescale Semiconductor, Inc. Method of multi-layer lithography
KR20080023814A (ko) * 2006-09-12 2008-03-17 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7568362B2 (en) * 2006-10-16 2009-08-04 Calibre International, Llc Bean bag holder to be used to hold a can or bottle
TWI375130B (en) 2006-10-30 2012-10-21 Rohm & Haas Elect Mat Compositions and processes for immersion lithography
US20080199814A1 (en) * 2006-12-06 2008-08-21 Fujifilm Electronic Materials, U.S.A., Inc. Device manufacturing process utilizing a double patterning process
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5270840B2 (ja) * 2007-01-23 2013-08-21 東京応化工業株式会社 パターン微細化用被覆形成剤及びそれを用いた微細パターンの形成方法
CN100490059C (zh) * 2007-03-21 2009-05-20 山东华光光电子有限公司 一种高亮度发光二极管芯片的制备方法
US8088566B2 (en) * 2007-03-26 2012-01-03 Fujifilm Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent
JP2008268855A (ja) * 2007-03-26 2008-11-06 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
JP4840255B2 (ja) * 2007-05-29 2011-12-21 Jsr株式会社 パターン形成方法及びそれに用いる樹脂組成物
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
JP2009053547A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP5013119B2 (ja) * 2007-09-20 2012-08-29 信越化学工業株式会社 パターン形成方法並びにこれに用いるレジスト材料
TWI407262B (zh) 2007-11-05 2013-09-01 羅門哈斯電子材料有限公司 浸潤式微影組成物及製程
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
US7838200B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP2009194207A (ja) * 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
EP2101217B1 (en) * 2008-03-14 2011-05-11 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist compositon, and patterning process
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253078A1 (en) * 2008-04-07 2009-10-08 Sokudo Co., Ltd. Double exposure lithography using low temperature oxide and uv cure process
JP5897904B2 (ja) * 2008-10-06 2016-04-06 ユニオン カーバイド ケミカルズ アンド プラスティックス テクノロジー エルエルシー 環状、n−アミノ官能性トリアミンの生成方法
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP5071688B2 (ja) * 2009-02-18 2012-11-14 信越化学工業株式会社 パターン形成方法及びレジスト変性用組成物
JP5212245B2 (ja) * 2009-04-23 2013-06-19 住友化学株式会社 レジストパターンの製造方法
KR20100117025A (ko) * 2009-04-23 2010-11-02 스미또모 가가꾸 가부시키가이샤 포토레지스트 패턴 형성 방법
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
TWI403520B (zh) * 2009-05-25 2013-08-01 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
TWI418533B (zh) * 2009-05-25 2013-12-11 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
CN101963754B (zh) 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 形成电子器件的方法
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
JP2011022187A (ja) * 2009-07-13 2011-02-03 Konica Minolta Business Technologies Inc 静電荷像現像用トナー、フルカラートナーキット、画像形成方法
JP5753351B2 (ja) 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008143301A1 (ja) 2007-05-23 2008-11-27 Jsr Corporation パターン形成方法及びそれに用いる樹脂組成物

Also Published As

Publication number Publication date
KR101724384B1 (ko) 2017-04-07
KR20110002797A (ko) 2011-01-10
JP2011070165A (ja) 2011-04-07
JP5698922B2 (ja) 2015-04-08
CN101937838B (zh) 2012-10-03
KR101698400B1 (ko) 2017-01-20
KR101698396B1 (ko) 2017-01-20
EP2287669A1 (en) 2011-02-23
US20130069246A1 (en) 2013-03-21
JP2011071480A (ja) 2011-04-07
US20100330500A1 (en) 2010-12-30
CN101963756B (zh) 2014-12-17
US20100330471A1 (en) 2010-12-30
US8492075B2 (en) 2013-07-23
US20100330503A1 (en) 2010-12-30
KR20110002798A (ko) 2011-01-10
JP5698924B2 (ja) 2015-04-08
KR20110002799A (ko) 2011-01-10
CN101963754A (zh) 2011-02-02
JP5698925B2 (ja) 2015-04-08
US8492068B2 (en) 2013-07-23
TWI420571B (zh) 2013-12-21
JP5698926B2 (ja) 2015-04-08
JP5731764B2 (ja) 2015-06-10
CN101963756A (zh) 2011-02-02
JP2011070164A (ja) 2011-04-07
TW201110195A (en) 2011-03-16
US8338083B2 (en) 2012-12-25
JP2011071479A (ja) 2011-04-07
TW201118924A (en) 2011-06-01
CN101963754B (zh) 2012-12-19
US20100330499A1 (en) 2010-12-30
CN101937838A (zh) 2011-01-05
KR20110002800A (ko) 2011-01-10
JP2011066393A (ja) 2011-03-31
KR101766289B1 (ko) 2017-08-08
KR20110002801A (ko) 2011-01-10
TW201110196A (en) 2011-03-16
US8465901B2 (en) 2013-06-18
EP2287668A1 (en) 2011-02-23
EP2287670A1 (en) 2011-02-23
US20110008729A1 (en) 2011-01-13
US8338079B2 (en) 2012-12-25
US8507185B2 (en) 2013-08-13
US20100330501A1 (en) 2010-12-30
TWI474378B (zh) 2015-02-21
TWI449084B (zh) 2014-08-11

Similar Documents

Publication Publication Date Title
KR101671289B1 (ko) 전자 장비 형성 방법
KR101967189B1 (ko) 자기 정렬 스페이서를 포함하는 기판
KR101746017B1 (ko) 전자 장치의 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant