KR102370616B1 - 미세 패턴 형성 방법 - Google Patents

미세 패턴 형성 방법 Download PDF

Info

Publication number
KR102370616B1
KR102370616B1 KR1020150019667A KR20150019667A KR102370616B1 KR 102370616 B1 KR102370616 B1 KR 102370616B1 KR 1020150019667 A KR1020150019667 A KR 1020150019667A KR 20150019667 A KR20150019667 A KR 20150019667A KR 102370616 B1 KR102370616 B1 KR 102370616B1
Authority
KR
South Korea
Prior art keywords
film
photoresist
forming
group
layer
Prior art date
Application number
KR1020150019667A
Other languages
English (en)
Other versions
KR20160097675A (ko
Inventor
김수민
김현우
윤효진
김경선
나혜섭
박수민
한소라
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150019667A priority Critical patent/KR102370616B1/ko
Priority to US15/016,309 priority patent/US9773672B2/en
Publication of KR20160097675A publication Critical patent/KR20160097675A/ko
Application granted granted Critical
Publication of KR102370616B1 publication Critical patent/KR102370616B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

본 발명은 미세 패턴 형성 방법에 관한 것으로서, 더욱 구체적으로는 기판 위에 피식각막을 형성하는 단계; 상기 피식각막 위에 반사방지막을 형성하는 단계; 상기 반사방지막 위에 포토레지스트막을 형성하는 단계; 상기 포토레지스트막을 노광하는 단계; 상기 반사방지막 및 상기 포토레지스트막을 열처리함으로써 상기 반사방지막과 상기 포토레지스트막 사이에 공유결합을 형성하는 단계; 및 상기 포토레지스트막을 현상하는 단계를 포함하는 반도체 소자의 제조 방법이 제공된다. 본 발명의 미세 패턴 형성 방법을 이용하면 높은 종횡비를 갖는 미세 패턴을 패턴 붕괴 없이 제조할 수 있는 효과가 있다.

Description

미세 패턴 형성 방법 {Method of forming a micropattern}
본 발명은 미세 패턴 형성 방법에 관한 것으로서, 더욱 구체적으로는 높은 종횡비를 가지면서 패턴 붕괴 없이 제조할 수 있는 미세 패턴 형성 방법에 관한 것이다.
반도체 소자가 진화함에 따라 패턴은 점점 더 미세화되고 이를 제조하기 위한 공정도 복잡해지고 있다. 특히 고해상도 및 고종횡비의 라인-앤드-스페이스 패턴이나 필라 패턴은 더욱 소형화된 반도체 장치를 얻기 위하여 필수적이다. 그러나, 높은 종횡비를 갖는 포토레지스트 패턴은 붕괴에 취약하여 반도체 소자를 미세화하는 데 장애 요인이 되고 있다. 따라서, 높은 종횡비에도 포토레지스트 패턴이 잘 붕괴되지 않도록 하는 방안이 요구된다.
본 발명이 이루고자 하는 과제는 높은 종횡비를 갖는 미세 패턴을 패턴 붕괴 없이 제조할 수 있는 미세 패턴 제조 방법을 제공하는 것이다.
본 발명의 일 태양은 기판 위에 피식각막을 형성하는 단계; 상기 피식각막 위에 반사방지막을 형성하는 단계; 상기 반사방지막 위에 포토레지스트막을 형성하는 단계; 상기 포토레지스트막을 노광하는 단계; 상기 반사방지막 및 상기 포토레지스트막을 열처리함으로써 상기 반사방지막과 상기 포토레지스트막 사이에 공유결합을 형성하는 단계; 및 상기 포토레지스트막을 현상하는 단계를 포함하는 반도체 소자의 제조 방법을 제공한다.
이 때, 상기 공유결합은 상기 반사방지막으로부터 유래한 제 1 물질과 상기 포토레지스트막으로부터 유래한 제 2 물질 사이의 공유결합일 수 있다. 특히, 상기 제 1 물질은 히드록시기(-OH) 또는 아민기(-NH2)를 갖는 물질이거나, 또는 광에 의하여 아민기를 생성할 수 있는 물질일 수 있다. 또, 상기 광에 의하여 아민기를 생성할 수 있는 물질은 카바메이트류(cabamates), 아미노케톤류(aminoketones), 암모늄염들, 아미드류(amides), 이민류(imines), 이소시아네이트류(isocyanates), 및 이미드류(imides)로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
또, 상기 제 2 물질은 카르복시기(-COOH)를 갖는 물질일 수 있다.
상기 반도체 소자의 제조 방법은 상기 포토레지스트막을 형성하는 단계의 이전에 상기 반사방지막을 열처리하는 단계를 더 포함할 수 있다.
또, 상기 포토레지스트막은 상기 노광하는 단계에 의하여 탈보호됨으로써 카르복시기를 형성할 수 있는 고분자 물질을 포함한다. 이 때, 상기 노광의 광원은 KrF 엑시머 레이저(248 nm), ArF 엑시머 레이저(193nm), F2 엑시머 레이저(157nm), 또는 극자외선(extreme ultraviolet, EUV)(13.5 nm)을 방출할 수 있는 광원인일 수 있다.
또, 상기 포토레지스트막을 현상하기 위하여 네가티브 톤 현상액이 사용될 수 있다.
본 발명의 다른 태양은 기판 위에 피식각막을 형성하는 단계; 상기 피식각막 위에 붕괴 방지제를 포함하는 반사방지막을 형성하는 단계; 상기 반사방지막 위에 포토레지스트막을 형성하는 단계; 상기 포토레지스트막을 노광하는 단계; 상기 반사방지막 및 상기 포토레지스트막을 열처리하는 단계; 및 상기 포토레지스트막을 현상하는 단계를 포함하는 미세 패턴 형성 방법을 제공한다.
상기 붕괴 방지제는 히드록시기(-OH) 또는 아민기(-NH2)를 갖는 물질이거나, 또는 광에 의하여 아민기를 생성할 수 있는 물질일 수 있다. 또, 상기 광에 의하여 아민기를 생성할 수 있는 물질은 카바메이트류(cabamates), 아미노케톤류(aminoketones), 암모늄염들, 아미드류(amides), 이민류(imines), 이소시아네이트류(isocyanates), 및 이미드류(imides)로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
또, 상기 포토레지스트막은 에스테르기(-COO-)를 갖는 감광 성분을 포함할 수 있다. 이 때, 상기 감광 성분은 상기 열처리에 의하여 상기 붕괴 방지제와 공유결합을 형성할 수 있는 물질일 수 있다. 또, 상기 감광 성분은 상기 노광하는 단계에 의하여 탈보호됨으로써 카르복실기를 형성할 수 있는 물질일 수 있다.
또, 상기 열처리에 의하여 상기 반사방지막과 상기 포토레지스트막 사이에 공유 결합이 형성될 수 있다. 상기 공유결합은 에스테르기(-COO-), 또는 아미드기(-CONH-)에 의한 공유결합일 수 있다.
또, 상기 반사방지막 및 상기 포토레지스트막을 열처리하는 단계는 약 85℃ 내지 약 150℃의 범위에서 약 30초 내지 약 30분 동안 수행될 수 있다.
본 발명의 다른 태양은 기판 위에 피식각막을 형성하는 단계; 상기 피식각막 위에 반사방지막을 형성하는 단계; 상기 반사방지막 위에 포토레지스트막을 형성하는 단계; 라인-앤-스페이스(line-and-space) 패턴에 대응하여 상기 포토레지스트막을 노광하는 단계; 상기 반사방지막 및 상기 포토레지스트막 사이에 공유결합층을 형성하기 위하여 상기 반사방지막 및 상기 포토레지스트막을 열처리하는 단계; 상기 포토레지스트의 비노광부를 제거함으로써 라인-앤-스페이스 패턴을 형성하는 단계; 상기 라인-앤-스페이스 패턴의 측벽 위에 식각 선택비를 갖는 스페이서를 형성하는 단계; 상기 라인-앤-스페이스 패턴을 제거하는 단계; 및 상기 스페이서를 식각마스크로 하여 상기 피식각막을 패터닝하는 단계를 포함하는 더블 패터닝 방법을 제공한다.
이 때, 상기 반사방지막은 패턴붕괴 방지제를 포함할 수 있다. 상기 패턴붕괴 방지제는 탄소수 10 내지 1000의 탄화수소 화합물로서, 히드록시기(-OH) 또는 아민기(-NH2)를 갖는 화합물이거나, 또는 상기 노광에 의하여 아민기를 생성할 수 있는 화합물일 수 있다.
본 발명의 미세 패턴 형성 방법을 이용하면 높은 종횡비를 갖는 미세 패턴을 패턴 붕괴 없이 제조할 수 있는 효과가 있다.
도 1은 본 발명의 일 실시예에 따라 미세 패턴을 형성하는 방법을 나타낸 흐름도이다.
도 2a 내지 도 2g는 본 발명의 일 실시예에 따라 미세 패턴을 형성하는 방법을 순서에 따라 나타낸 측단면도들이다.
도 3은 본 발명 개념에 따른 미세 패턴 형성 방법을 적용하여 구현할 수 있는 예시적인 반도체 소자의 메모리 시스템을 개략적으로 도시한 블록도이다.
도 4는 도 3의 셀 어레이의 예시적인 구조를 설명하기 위한 회로도이다.
도 5는 본 발명 개념에 따른 미세 패턴 형성 방법에 따라 구현될 수 있는 반도체 소자의 구성을 부분적으로 예시한 평면도이다.
도 6a 내지 도 6h는 본 발명 개념의 일 실시예에 따른 미세 패턴 형성 방법들을 순서에 따라 각각 나타낸 단면도들이다.
도 7a 내지 도 7g는 본 발명 개념의 다른 실시예에 따른 미세 패턴 형성 방법들을 순서에 따라 각각 나타낸 단면도들이다.
도 8은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 블록도이다.
도 9는 본 발명의 기술적 사상에 의한 실시예들에 따라 제조된 집적회로 소자를 포함하는 예시적인 전자 시스템을 설명하는 도면이다.
도 10은 본 발명의 기술적 사상에 의하여 제조된 집적회로 소자를 포함하는 예시적인 메모리 시스템을 설명하는 블록도이다.
이하, 첨부도면을 참조하여 본 발명 개념의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명 개념의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명 개념의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명 개념의 실시예들은 당 업계에서 평균적인 지식을 가진 자에게 본 발명 개념을 보다 완전하게 설명하기 위해서 제공되어지는 것으로 해석되는 것이 바람직하다. 동일한 부호는 시종 동일한 요소를 의미한다. 나아가, 도면에서의 다양한 요소와 영역은 개략적으로 그려진 것이다. 따라서, 본 발명 개념은 첨부한 도면에 그려진 상대적인 크기나 간격에 의해 제한되어지지 않는다.
제1, 제2 등의 용어는 다양한 구성 요소들을 설명하는 데 사용될 수 있지만, 상기 구성 요소들은 상기 용어들에 의해 한정되지 않는다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명 개념의 권리 범위를 벗어나지 않으면서 제 1 구성 요소는 제 2 구성 요소로 명명될 수 있고, 반대로 제 2 구성 요소는 제 1 구성 요소로 명명될 수 있다.
본 출원에서 사용한 용어는 단지 특정한 실시예들을 설명하기 위해 사용된 것으로서, 본 발명 개념을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함한다" 또는 "갖는다" 등의 표현은 명세서에 기재된 특징, 개수, 단계, 동작, 구성 요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 개수, 동작, 구성 요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.
도 1은 본 발명의 일 실시예에 따라 미세 패턴을 형성하는 방법을 나타낸 흐름도이고, 도 2a 내지 도 2g는 본 발명의 일 실시예에 따라 미세 패턴을 형성하는 방법을 순서에 따라 나타낸 측단면도들이다.
도 1 및 도 2a를 참조하면, 기판(101) 위에 피식각막(110)을 형성할 수 있다(S100).
상기 기판(101)은 Si (silicon), 예를 들면 결정질 Si, 다결정질 Si, 또는 비결정질 Si을 포함할 수 있다. 다른 일부 실시예에서, 상기 기판(101)은 Ge (germanium)과 같은 반도체, 또는 SiGe (silicon germanium), SiC (silicon carbide), GaAs (gallium arsenide), InAs (indium arsenide), 또는 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예에서, 상기 기판(101)은 SOI (silicon on insulator) 구조를 가질 수 있다. 예를 들면, 상기 기판(101)은 BOX 층 (buried oxide layer)을 포함할 수 있다. 일부 실시예들에서, 상기 기판(101)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
또, 상기 기판(101)에는 트랜지스터나 다이오드와 같은 반도체 소자들이 형성되어 있을 수 있다. 또한 상기 기판(101)에는 다수의 배선들이 다층으로 배열되고 이들이 층간절연막에 의하여 전기적으로 분리되어 있을 수 있다.
상기 피식각막(110)은 도전막, 유전막, 절연막, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 피식각막(110)은 금속, 합금, 금속 탄화물, 금속 질화물, 금속 산질화물, 금속 산탄화물, 반도체, 폴리실리콘, 산화물, 질화물, 산질화물, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
도 1 및 도 2b를 참조하면, 상기 피식각막(110) 위에 반사방지막(120)을 형성할 수 있다(S200).
상기 반사방지막(120)은 후속되는 노광 공정에 있어서 빛의 전반사(total reflection)를 방지할 수 있다. 일부 실시예들에서, 상기 반사방지막(120)은 KrF 엑시머 레이저용, ArF 엑시머 레이저용, 또는 다른 임의의 광원용 유기 ARC (anti-reflective coating) 재료로 이루어질 수 있다. 상기 반사방지막(120)은 드라이 (dry) 리소그래피 공정에 사용되는 ARC 재료, 또는 함침 (immersion) 리소그래피 공정에 사용되는 ARC 재료로 이루어질 수 있다.
상기 반사방지막(120)은 흡광 구조를 갖는 유기 성분 및 이를 분산하기 위한 용매를 포함할 수 있다. 상기 흡광 구조는, 예를 들면, 하나 이상의 벤젠 고리 또는 벤젠고리들이 퓨즈된 구조의 탄화수소 화합물일 수 있다.
상기 흡광 구조를 갖는 유기 성분은, 예를 들면, 하나 이상의 벤젠 고리를 갖는 탄소수 10 내지 1000의 탄화수소 화합물일 수 있다. 일부 실시예에서, 상기 유기 성분은 반복 단위에 벤젠 고리를 갖는 고분자 물질일 수 있다. 다른 일부 실시예에서, 상기 유기 성분은 둘 이상의 벤젠 고리가 퓨즈된(fused) 탄소수 12 내지 100의 탄화수소 화합물일 수 있다.
예를 들면, 상기 흡광 구조를 갖는 유기 성분은 폴리 하이드록시 스티렌계, 폴리비닐 페놀계, 폴리노보넨계, 폴리아다만계, 폴리이미드계, 폴리아크릴레이트계, 폴리(메트)아크릴레이트계, 또는 폴리플루오린계의 중합체일 수 있다. 그러나, 본 발명이 여기에 한정되는 것은 아니다.
상기 용매는 프로필렌글리콜모노메틸에테르아세테이트(propylene glycol monomethyl ether acetate), 프로필렌글리콜모노에틸에테르아세테이트(propylene glycol monoethyl ether acetate), 이소부틸아세테이트(isobutyl acetate), 부티로락톤(butyrolactone), 사이클로헥사논(cyclohexanone), 프로필렌글리콜모노메틸에테르(propylene glycol monomethyl ether), 프로필렌글리콜모노에틸에테르(propylene glycol monoethyl ether), 2-에톡시에틸아세테이트(2-ethoxyethyl acetate), 2-메톡시에틸아세테이트(2-methoxyethyl acetate), 테트라하이드퓨란(tetrahydrofuran), 1,4-다이옥산(1,4-dioxane), 에틸락테이트(ethyl lactate), 메틸프로피오네이트(methyl propionate), 메틸에틸케톤(methyl ethyl ketone), 1,2-디클로로벤젠(1,2-dichlorobenzene), 프로필렌글리콜부틸에테르(propylene glycol butyl ether), 에틸 2-옥소프로파노에이트(ethyl 2-oxopropanoate), 에틸셀로솔브아세테이트(ethylcellosolve acetate), 에틸렌글리콜부틸에테르(ethylene glycol butyl ether), 에틸렌글리콜메틸에테르아세테이트(ethylene glycol methyl ether acetate), 에틸렌글리콜에틸에테르(ethylene glycol ethyl ether), 디에틸렌글리콜디메틸에테르(diethylene glycol dimethyl ether), 아밀아세테이트(amyl acetate), 디아세톤알콜(diacetoneralcohol), 에틸-n-부틸케톤(ethyl-n-butyl ketone), 2-헵타논(2-heptanone) 등이 사용될 수 있다. 그러나, 본 발명이 여기에 한정되는 것은 아니다.
상기 반사방지막(120)은 가교제를 더 포함할 수 있다. 상기 가교제는 상기 흡광 구조를 갖는 유기 성분 100 중량부에 대하여 약 0.5중량부 내지 약 10중량부의 함량으로 포함될 수 있다. 만일 상기 가교제의 함량이 너무 적으면, 완전히 가교결합이 이루어지지 않기 때문에 후속되는 포토레지스트 코팅 공정에서 반사방지막이 상호확산에 의하여 포토레지스트와 혼합될 수 있다. 만일 상기 가교제의 함량이 너무 많으면 가교제가 단분자 상태로 존재하여 요구 물성을 충족시키지 못할 수 있다.
상기 가교제는, 예를 들면, 트리스(2,3-에폭시프로필)이소시아누레이트, 트리메틸올메탄트리글리시딜에테르, 트리메틸올프로판트리글리시딜에테르, 헥사메틸올멜라민, 트리에틸올에탄트리글리시딜에테르, 헥사메톡시메틸멜라민, 헥사메톡시에틸멜라민, 테트라메틸올2,4-디아미노-1,3,5-트리아진, 테트라메톡시메틸2,4-디아미노-1,3,5-트리아진, 테트라메틸올글리콜우릴, 테트라메톡시메틸글리콜우릴, 테트라메톡시에틸글리콜우릴, 테트라메틸올우레아, 테트라메톡시메틸우레아, 테트라메톡시에틸우레아, 테트라메톡시에틸2,4-디아미노-1,3,5-트리아진 등을 들 수 있다. 그러나, 본 발명이 여기에 한정되는 것은 아니다.
상기 반사방지막(120)은 산발생제를 더 포함할 수 있다. 상기 산발생제는 가교 촉매의 역할을 하는 것으로서 상기 흡광 구조를 갖는 유기 성분 100 중량부에 대하여 약 0.1중량부 내지 약 3중량부의 함량으로 포함될 수 있다. 상기 산발생제의 함량이 너무 적으면, 패턴의 아랫부분이 넓어져 패턴 형성을 곤란하게 하는 푸팅(footing) 현상이 발생할 수 있다. 반대로 상기 산발생제의 함량이 너무 많으면, 패턴의 아랫부분이 좁아져 패턴이 쓰러지게 되는 언더컷(under-cut)이 생기는 문제점이 생길 수 있다.
상기 산발생제로는, 예를 들면, p-톨루엔술폰산, 테트라메톡시메틸글리코우릴, 2,2-디메틸-1,3-디옥소란-4-일-메틸 p-톨루엔술폰산, 피리딘 p-톨루엔술폰산, 2-니트로벤질 4-메틸벤젠술폰산, 2-하이드록시시클로헥실, p-톨루엔술폰산, 피리디늄, 4-메틸벤젠술폰산, 2,4,4,6-테트라브로모-2,5-시클로헥사디에논, 트리플루오로메탄술폰산, 또는 이들의 혼합물이 이용될 수 있다. 그러나, 본 발명이 여기에 한정되는 것은 아니다.
상기 반사방지막(120)은 패턴붕괴 방지제(pattern collapse preventing agent)를 더 포함할 수 있다. 상기 패턴붕괴 방지제는 탄소수 10 내지 1000의 탄화수소 화합물로서, 히드록시기(-OH) 또는 아민기(-NH2)를 갖는 화합물이거나, 또는 광의 조사에 의하여 아민기를 생성할 수 있는 화합물일 수 있다.
상기 광의 조사에 의하여 아민기를 생성할 수 있는 화합물은, 예를 들면, 카바메이트류(cabamates), 아미노케톤류(aminoketones), 암모늄염들, 아미드류(amides), 이민류(imines), 이소시아네이트류(isocyanates), 이미드류(imides), 또는 이들의 혼합물일 수 있다.
상기 패턴붕괴 방지제는 상기 흡광 구조를 갖는 유기 성분 100 중량부에 대하여 약 0.5중량부 내지 약 10중량부의 함량으로 포함될 수 있다. 상기 패턴붕괴 방지제는 뒤에서 보다 상세하게 설명하는 바와 같이 그 위에 코팅되는 포토레지스트막과 노광에 의하여 공유결합을 형성하게 된다. 만일 상기 패턴붕괴 방지제의 함량이 너무 작으면 이러한 공유결합의 형성이 미진하여 패턴붕괴를 방지하는 효과가 미흡할 수 있다. 또, 상기 패턴붕괴 방지제의 함량이 너무 많으면 반사방지막으로서 요구되는 물성을 충족시키지 못할 수 있다.
상기 반사방지막(120)은, 예를 들면, 스핀 코팅에 의하여 형성될 수 있다. 그러나 본 발명이 여기에 한정되는 것은 아니다.
이어서, 상기 반사방지막(120)에 대하여 열처리가 수행될 수 있다. 상기 열처리에 의하여 상기 반사방지막(120) 내의 용매가 상당히 제거되고, 또한 상기 반사방지막의 흡광 구조를 갖는 유기 성분이 가교제에 의하여 가교될 수 있다. 상기 열처리는 약 150℃ 내지 약 250℃의 온도에서 약 10초 내지 약 300초 동안 수행될 수 있다.
도 1 및 도 2c를 참조하면, 상기 반사방지막(120) 위에 포토레지스트막(130)을 형성할 수 있다(S300).
상기 포토레지스트막(130)은 스핀 코팅(spin coating), 스프레이 코팅(spray coating), 딥 코팅(deep coating) 등의 방법에 의하여 형성될 수 있다. 상기 포토레지스트막(130)은, 예를 들면, 약 30 내지 약 150 nm의 두께로 형성될 수 있다. 상기 포토레지스트막(130)을 형성한 후, 약 80 ℃ 내지 약 130 ℃의 온도로 약 40 초 내지 약 100 초 동안 소프트 베이크 (soft bake) 공정을 수행할 수 있다.
상기 포토레지스트막(130)은 노광하는 단계에 의하여 탈보호(deprotection)됨으로써 상기 반사방지막(120)의 패턴붕괴 방지제와 화학반응할 수 있는 고분자 물질을 포함할 수 있다.
본 발명의 실시예에서, 상기 포토레지스트막(130)의 감광 성분은 에스테르기(-COO-)를 포함하는 감광성 고분자일 수 있다. 특히, 상기 감광성 고분자는 각 반복단위에 보호기가 결합된 에스테르기를 가질 수 있으며, 상기 보호기가 결합된 에스테르기는, 후술하는 바와 같이, 광의 조사에 의하여 생성된 산에 의하여 카르복시기(-COOH)로 전환될 수 있다.
예를 들면, 상기 감광성 고분자는 (메트)아크릴레이트계 폴리머로 이루어질 수 있다. 상기 (메트)아크릴레이트계 폴리머는 지방족 (메트)아크릴레이트계 폴리머일 수 있으며, 예를 들면, 폴리메틸메타크릴레이트(polymethylmethacrylate, PMMA), 폴리(t-부틸메타크릴레이트)(poly(t-butylmethacrylate)), 폴리(메타크릴산)(poly(methacrylic acid)), 폴리(노보닐메타크릴레이트)(poly(norbornylmethacrylate)), 상기 (메트)아크릴레이트계 폴리머들의 반복단위들의 이원 또는 삼원 공중합체, 또는 이들의 조합으로 이루어질 수 있다. 또한, 상기 보호기는 산에 의하여 분해될 수 있고, 예를 들면, 터셔리-부톡시카르보닐(tert-butoxycarbonyl, t-BOC), 이소노보닐, 2-메틸-2-아다만틸, 2-에틸-2-아다만틸, 3-테트라히드로푸라닐 (3-tetrahydrofuranyl), 3-옥소디클로헥실 (3-oxocyclohexyl), γ-부틸락톤-3-일 (γ-butyllactone-3-yl), 메발로닉락톤 (mavaloniclactone), γ-부티로락톤-2-일 (γ-butyrolactone-2-yl), 3-메틸-γ부티로락톤-3-일 (3-methyl-γ-butyrolactone-3-yl), 2-테트라히드로피라닐 (2-tetrahydropyranyl), 2-테트라히드로푸라닐 (2-tetrahydrofuranyl), 2,3-프로필렌카르보네이트-1-일 (2,3-propylenecarbonate-1-yl), 1-메톡시에틸 (1-methoxyethyl), 1-에톡시에틸 (1-ethoxyethyl), 1-(2-메톡시에톡시)에틸 (1-(2-methoxyethoxy)ethyl), 1-(2-아세톡시에톡시)에틸 (1-(2-acetoxyethoxy)ethyl), t-부톡시카르보닐메틸 (t-buthoxycarbonylmethyl), 메톡시메틸 (methoxymethyl), 에톡시메틸 (ethoxymethyl), 트리메톡시실릴 (trimethoxysilyl) 및 트리에톡시실릴 (triethoxysilyl)로 이루어지는 군에서 선택될 수 있으나, 이에 한정되는 것은 아니다.
도 1 및 도 2d를 참조하면, 노광 마스크(102)를 이용하여 상기 포토레지스트막(130)을 노광시킬 수 있다(S400).
상기 노광의 광원은 KrF 엑시머 레이저(248 nm), ArF 엑시머 레이저(193nm), F2 엑시머 레이저(157nm), 또는 극자외선(extreme ultraviolet, EUV)(13.5 nm)을 방출할 수 있는 광원일 수 있다.
상용으로 입수 가능한 포지티브 포토레지스트 물질 내에는 광산발생제(photo acid generator, PAG)와 같은 물질이 함유될 수 있는데, 상기 노광에 의하여 산이 생성되고, 상기 산의 작용에 의하여 감광성 고분자에 부착된 상기 보호기가 탈보호(deprotection)될 수 있다. 본 발명의 일 실시예에서, 상기 노광에 의하여 보호기가 탈보호됨으로써 상기 감광성 고분자에 부착된 카르복실기를 형성할 수 있다.
노광된 상기 포토레지스트막(130')은 노광부(130b)와 비노광부(130a)로 구별될 수 있다. 노광부(130b)는 상기 노광 마스크(102)의 투명한 부분을 통과한 광이 상기 광산발생제로부터 산을 발생시키고, 그에 의하여 감광성 고분자의 탈보호를 가져온다. 반면, 비노광부(130a)는 상기 노광 마스크(102)의 불투명한 부분에 의하여 광이 차단되기 때문에 이러한 현상이 일어나지 않는다.
상기 감광성 고분자의 탈보호에 의하여, 예를 들면, 노광 전의 에스테르기(-COOR)가 카르복실기(-COOH)로 전환될 수 있다. 상기 에스테르기에 결합된 R은 앞서 설명한 보호기일 수 있다.
도 1 및 도 2e를 참조하면, 상기 반사방지막(120) 및 상기 포토레지스트막(130)을 열처리할 수 있다(S500).
상기 열처리에 의하여 상기 포토레지스트막 내에 존재하는 카르복시기가 상기 반사방지막(120)의 패턴붕괴 방지제 내에 존재하는 히드록시기 또는 아민기와 반응하여 공유 결합을 형성할 수 있다. 상기 공유 결합은, 예를 들면, 에스테르(-COO-) 결합 또는 아미드 결합일 수 있다. 그러나 본 발명이 여기에 한정되는 것은 아니다.
상기 공유 결합은 상기 반사방지막(120)과 상기 포토레지스트막(130) 사이의 물질확산 및 화학반응에 의하여 공유결합층(125)을 형성할 수 있다. 다시 말해, 상기 반사방지막(120)으로부터 유래하는 패턴붕괴 방지제와 상기 포토레지스트막(130)으로부터 유래한 감광성 고분자가 서로 공유결합을 형성할 수 있다. 또한 이와 같이 공유결합된 물질의 층이 상기 반사방지막(120)과 상기 포토레지스트막(130) 사이에 형성될 수 있다. 상기 공유결합층(125)은 단일 분자층일 수도 있고, 수 nm 내지 수십 nm 규모의 두께를 가질 수도 있다.
상기 공유결합을 위한 상기 열처리는 약 85℃ 내지 약 150℃의 범위에서 약 30초 내지 약 30분 동안 수행될 수 있다.
도 2e에서는 노광부(130b)를 측방향에서 바라본 종횡비가 그리 크지 않은 것으로 도시되었지만, 본 발명은 도면의 치수에 의하여 한정되지 않는다. 본 발명의 다른 실시예에서는 상기 노광부(130b)가 극히 높은 종횡비를 가질 수 있다. 예를 들면, 상기 노광부(130b)의 종횡비는 약 3 내지 약 50일 수 있다.
도 1 및 도 2f를 참조하면, 상기 포토레지스트막(130)을 현상할 수 있다(S600).
상기 포토레지스트막(130)은 유기 용매를 이용하여 현상될 수 있다. 상기 유기 용매는 상기 포토레지스트 패턴의 가용성 영역을 선택적으로 제거할 수 있는 네가티브 톤 현상액 (negative tone developer)으로 이루어질 수 있다. 상기 유기 용매는 비극성 용매로 이루어질 수 있다. 예를 들면, 상기 유기 용매는 방향족 탄화수소(aromatic hydrocarbon), 시클로헥산(cyclohexane), 시클로헥사논(cyclohexanone), 비고리형 또는 고리형의 에테르류, 아세테이트류, 프로피오네이트류, 부티레이트류, 락테이트류, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 유기 용매로서 nBA (n-butyl acetate), PGME (propylene glycol methyl ether), PGMEA (propylene glycol methyl ether acetate), GBL (γ-butyrolactone), IPA (isopropanol) 등을 사용할 수 있다.
현상액으로서 네가티브 톤 현상액을 사용하면 노광부(130b)가 잔존하고 비노광부(130a)가 제거될 수 있다. 이 경우, 상기 반사 방지막(120)은 상기 현상액에 의하여 제거되지 않고 기판(101) 위의 피식각막(110) 상에 잔존할 수 있다.
이 때, 상기 노광부(130b)와 상기 반사방지막(120) 사이에는 공유결합층(125)이 존재하기 때문에 패턴의 종횡비가 높더라도 현상에 의하여 패턴이 측방향으로 붕괴되지 않고 패턴이 상기 공유결합층(125)에 의하여 지지될 수 있다. 다시 말해, 상기 노광부(130b)의 포토레지스트막으로 구성되는 패턴과 상기 반사방지막(120) 사이의 결합력이 취약하여 현상 시에 포토레지스트막이 붕괴되는 것을 상기 공유결합층(125)이 방지할 수 있다.
도 1 및 도 2g를 참조하면, 상기 노광부(130b)의 포토레지스트막(130)을 식각 마스크로 하여 이방성 식각에 의해 상기 피식각막(110)을 패터닝하여 미세 패턴(110p)을 형성할 수 있다(S700). 이때, 노출된 상기 반사 방지막(120)이 제거될 수 있다. 상기 피식각막(110)은 플라스마 식각, 반응성 이온 식각(reactive ion etching, RIE), 이온빔 식각 등의 방법에 의하여 패터닝될 수 있으며 특별히 한정되지 않는다.
이후, 상기 미세 패턴(110p) 상의 노광부(130b)의 포토레지스트막(130), 공유결합층(125) 및 반사방지막(120)을 제거하고 미세 패턴(110p)을 얻을 수 있다.
상기 피식각막(110)을 식각한 후 얻어지는 미세 패턴(110p)은 집적회로 소자 구현에 필요한 다양한 요소들을 구성할 수 있다. 예를 들면, 상기 미세 패턴(110p)은 반도체 소자의 기판에 정의된 활성 영역일 수 있다. 다른 예에서, 상기 미세 패턴(110p)은 복수의 콘택홀 패턴, 또는 라인 앤드 스페이스 패턴 (line and space pattern)을 포함할 수 있다. 또 다른 예에서, 상기 미세 패턴(110p)은 도전 패턴 또는 절연 패턴으로 이루어질 수 있다. 예를 들면, 상기 도전 패턴은 집적회로 소자의 셀 어레이 영역 (cell array region)에 배치되는 복수의 비트 라인 형성용 패턴, 복수의 다이렉트 콘택 (direct contact) 형성용 패턴, 복수의 베리드 콘택 (buried contact) 형성용 패턴, 복수의 커패시터 하부 전극 형성용 패턴, 또는, 집적회로 소자의 코어 영역 (core region)에 배치되는 복수의 도전 패턴을 구성할 수 있다.
도 3은 본 발명 개념에 따른 미세 패턴 형성 방법을 적용하여 구현할 수 있는 예시적인 반도체 소자의 메모리 시스템(50)을 개략적으로 도시한 블록도이다.
도 3을 참조하면, 반도체 소자의 메모리 시스템(50)은 호스트(10), 메모리 콘트롤러(20), 및 플래시 메모리(30)를 구비할 수 있다.
상기 메모리 콘트롤러(memory controller)(20)는 호스트(host)(10)와 플래시 메모리(flash memory)(30) 사이의 인터페이스 역할을 하며, 버퍼 메모리(buffer memory)(22)를 포함할 수 있다. 도시하지는 않았으나, 상기 메모리 콘트롤러(20)는 CPU(central processing unit), ROM(read only memory), RAM(random access memory) 및 인터페이스 블록들을 더 포함할 수 있다.
상기 플래시 메모리(30)는 셀 어레이(32), 디코더(decoder)(34), 페이지 버퍼(page buffer)(36), 비트라인 선택회로(bit line selection circuit)(38), 데이터 버퍼(data buffer)(42), 및 제어 유닛(control unit)(44)을 더 포함할 수 있다.
상기 호스트(10)로부터 데이터 및 쓰기 명령(write command)이 메모리 콘트롤러(20)에 입력되고, 상기 메모리 콘트롤러(20)에서는 입력된 명령에 따라 데이터가 셀 어레이(32)에 쓰여지도록 플래시 메모리(30)를 제어한다. 또한, 메모리 콘트롤러(20)는 호스트(10)로부터 입력되는 읽기 명령(read command)에 따라, 셀 어레이(32)에 저장되어 있는 데이터가 읽어지도록 플래시 메모리(30)를 제어한다. 상기 버퍼 메모리(22)는 호스트(10)와 플래시 메모리(30) 사이에서 전송되는 데이터를 임시 저장하는 역할을 한다.
상기 플래시 메모리(30)의 셀 어레이(32)는 복수의 메모리 셀로 구성된다. 상기 디코더(34)는 워드 라인(WL0, WL1, ... , WLn)을 통해 셀 어레이(32)와 연결되어 있다. 상기 디코더(34)는 메모리 콘트롤러(20)로부터 어드레스를 입력받고, 1개의 워드 라인(WL0, WL1, ... , WLn)을 선택하거나, 비트 라인(BL0, BL1, ... , BLm)을 선택하도록 선택 신호(Yi)를 발생한다. 페이지 버퍼(36)는 비트 라인(BL0, BL1, ... , BLm)을 통해 셀 어레이(32)와 연결된다.
도 4는 상기 셀 어레이(32)의 예시적인 구조를 설명하기 위한 회로도이다. 도 4를 참조하면, 상기 셀 어레이(32)는 복수의 메모리 셀로 이루어지는 메모리 셀 블록(32A)을 복수개 포함할 수 있으며, 상기 메모리 셀 블록(32A)은 비트 라인(BL0, BL1, ... , BLm)과 공통 소스 라인(CSL) 사이에 형성되는 복수의 셀 스트링(90)을 포함한다. 상기 셀 스트링(90)은 직렬로 연결된 복수의 메모리 셀(92)들을 포함한다. 1개의 셀 스트링(90)에 포함되어 있는 복수의 메모리 셀(92)의 게이트 전극들은 각각 서로 다른 워드 라인(WL0, WL1, ... , WLn)에 접속된다. 상기 셀 스트링(90)의 양단에는 각각 접지 선택 라인(GSL)에 연결되어 있는 접지 선택 트랜지스터(94)와, 스트링 선택 라인(SSL)에 연결되어 있는 스트링 선택 트랜지스터(96)가 배치되어 있다. 상기 접지 선택 트랜지스터(94) 및 스트링 선택 트랜지스터(96)는 복수의 메모리 셀(92)과 비트 라인(BL0, BL1, ... , BLm) 및 공통 소스 라인(CSL) 사이의 전기적인 연결을 제어한다. 상기 복수의 셀 스트링(90)에 걸쳐서 1개의 워드 라인(WL0, WL1, ... , WLn)에 연결된 메모리 셀들은 페이지 단위 또는 바이트 단위를 형성한다.
통상의 낸드(NAND) 플래시 메모리 소자에서 상기 워드 라인(WL0, WL1, ... , WLn)에는 상기 워드 라인(WL0, WL1, ... , WLn)을 디코더(34)에 연결시키기 위한 콘택 패드가 상호 연결되어 일체로 형성된다. 이와 같이 워드 라인에 연결되는 콘택 패드는 상기 워드 라인(WL0, WL1, ... , WLn)과 동시에 형성할 필요가 있다. 또한, 낸드 플래시 메모리 소자의 경우, 접지 선택 라인(GSL), 스트링 선택 라인(SSL), 주변회로용 트랜지스터 들과 같은 상대적으로 광폭(wider width)인 저밀도 패턴들을 그보다 협폭(narrower width)인 워드 라인(WL0, WL1, ... , WLn)과 동시에 형성할 필요가 있다.
도 5는 본 발명 개념에 따른 반도체 소자의 미세 패턴 형성 방법에 따라 구현될 수 있는 반도체 소자의 구성을 부분적으로 예시한 평면도이다. 도 5에는, 낸드 플래시 메모리 소자의 메모리 셀 영역(300A)의 일부와, 상기 메모리 셀 영역(300A)의 셀 어레이를 구성하는 복수의 도전 라인, 예를 들면 워드 라인 또는 비트 라인을 디코더와 같은 외부 회로(미도시)에 연결시키기 위한 접속 영역(300B)의 일부의 레이아웃이 예시되어 있다.
도 5를 참조하면, 상기 메모리 셀 영역(300A)에는 복수의 메모리 셀 블록(340)이 형성되어 있다. 도 5에는 1개의 메모리 셀 블록(340)만을 도시하였다. 상기 메모리 셀 블록(340)에는 스트링 선택 라인(SSL)과 접지 선택 라인(GSL)과의 사이에 1개의 셀 스트링(90, 도 4 참조)을 구성하는 데 필요한 복수의 도전 라인(301, 302, ... , 332)이 제 1 방향(도 5에서 x 방향)으로 상호 평행하게 연장되어 있다. 상기 복수의 도전 라인(301, 302, ... , 332)은 각각 상기 메모리 셀 영역(300A) 및 접속 영역(300B)에 걸쳐서 연장되어 있다.
상기 복수의 도전 라인(301, 302, ... , 332)을 디코더와 같은 외부 회로(미도시)에 연결시키기 위하여, 상기 접속 영역(300B)에서 상기 복수의 도전 라인(301, 302, ... , 332) 각각의 일단에는 복수의 콘택 패드(352)가 상기 복수의 도전 라인(301, 302, ... , 332)과 각각 일체로 형성되어 있다.
도 5에서, 상기 복수의 도전 라인(301, 302, ..., 332), 스트링 선택 라인(SSL), 접지 선택 라인(GSL), 및 콘택 패드(352)는 모두 상호 동일한 물질로 이루어질 수 있다. 상기 복수의 도전 라인(301, 302, ..., 332)은 각각 상기 메모리 셀 영역(300A)에서 복수의 메모리 셀을 구성하는 워드 라인일 수 있다. 상기 스트링 선택 라인(SSL) 및 접지 선택 라인(GSL)은 각각 상기 복수의 도전 라인(301, 302, ..., 332)의 폭(W1) 보다 더 큰 폭(W2, W3)을 가질 수 있다.
다른 예로서, 상기 복수의 도전 라인(301, 302, ..., 332)은 메모리 셀 영역(300A)에서 메모리 셀을 구성하는 비트 라인일 수 있다. 이 경우, 상기 스트링 선택 라인(SSL) 및 접지 선택 라인(GSL)은 생략될 수도 있다.
도 5에는 1개의 메모리 셀 블록(340)에서 복수의 도전 라인(301, 302, ..., 332)이 32개의 도전 라인을 포함하는 것으로 도시되어 있으나, 본 발명 개념의 사상의 범위 내에서 1개의 메모리 셀 블록(340)은 다양한 수의 도전 라인을 포함할 수 있다.
다음에, 본 발명 개념의 일 실시예에 따른 반도체 소자의 미세 패턴 형성 방법에 대하여 구체적인 예를 들어 상세히 설명한다.
도 6a 내지 도 6g는 본 발명 개념의 일 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 순서에 따라 나타낸 단면도들이다. 상기 단면들은 도 5의 AA' 부분에 대응될 수 있다.
도 6a를 참조하면, 기판(210) 위에 반사 방지막(220)과 포토레지스트(230)을 순차 적층한다. 상기 반사 방지막(220)과 포토레지스트(230)을 적층하는 방법은, 예를 들면, 스핀 코팅과 같이 당 기술 분야에 널리 알려진 방법에 의하여 수행될 수 있다.
상기 기판(210)은 반도체 기판(212), 상기 반도체 기판(212) 위에 형성된 실리콘 산화물층(214), 및 상기 실리콘 산화물층(214) 위에 형성된 폴리실리콘층(216)을 포함할 수 있다. 상기 반도체 기판(212)는 실리콘 기판, 실리콘 카바이드, 실리콘 저매늄, 인듐-비소, 인듐 포스파이드, 갈륨-비소계 화합물, 또는 갈륨-인듐계 화합물 등으로 이루어진 기판일 수 있다. 상기 기판(210)은 반도체 기판(212)의 하부에 하나 이상의 절연층 및/또는 하나 이상의 반도체층을 더 포함할 수도 있다.
상기 반사 방지막(220)은 약 20 nm 내지 약 150 nm의 두께로 형성될 수 있다. 상기 반사 방지막(220)에 대해서는 위에서 상세하게 설명하였으므로 여기서는 추가적인 설명을 생략한다.
상기 포토레지스트(230)은 포지티브형 포토레지스트일 수 있으며, 광 산 발생제(photo-acid generator, PAG)를 포함할 수 있다.
보다 구체적으로, 상기 포지티브형 포토레지스트는 KrF 엑시머 레이저(248 nm)용 레지스트, ArF 엑시머 레이저(193nm)용 레지스트, 또는 F2 엑시머 레이저(157nm)용 레지스트, 또는 극자외선(extreme ultraviolet, EUV)(13.5 nm)용 레지스트일 수 있다. 상기 포지티브형 포토레지스트는, 예를 들면, (메트)아크릴레이트계 폴리머가 이용될 수 있다. 상기 (메트)아크릴레이트계 폴리머는 특히 지방족 (메트)아크릴레이트계 폴리머일 수 있으며, 예를 들면, 폴리메틸메타크릴레이트(polymethylmethacrylate, PMMA), 폴리(t-부틸메타크릴레이트)(poly(t-butylmethacrylate)), 폴리(메타크릴산)(poly(methacrylic acid)), 폴리(노보닐메타크릴레이트)(poly(norbornylmethacrylate)), 상기 (메트)아크릴레이트계 폴리머들의 반복단위들의 이원 또는 삼원 공중합체, 또는 이들의 혼합물일 수 있다. 또한, 이들은 산에 의하여 분해 가능한(acid-labile) 다양한 보호기(protecting group)로 치환되어 있을 수 있다. 상기 보호기로서는 tert-부톡시카르보닐기(tert-butoxycarbonyl, t-BOC), 테트라하이드로피라닐기(tetrahydropyranyl), 트리메틸실릴기(trimethylsilyl), 페녹시에틸기(phenoxyethyl), 시클로헥세닐기(cyclohexenyl), tert-부톡시카르보닐메틸기(tert-butoxycarbonyl methyl), tert-부틸(tert-butyl), 아다만틸기(adamantyl), 또는 노보닐기(norbornyl)가 이용될 수 있다. 그러나, 여기에 한정되는 것은 아니다.
상기 PAG는 발색기(chromophore group)를 포함하며 KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193nm), F2 엑시머 레이저 (157nm), EUV (13.5 nm) 중에서 선택되는 어느 하나의 광에 노광되면 산을 발생시키는 것일 수 있다. 상기 PAG는, 예를 들면, 오늄염, 할로겐 화합물, 니트로벤질 에스테르류, 알킬술포네이트류, 디아조나프토퀴논류, 이미노술포네이트류, 디술폰류, 디아조메탄류, 술포닐옥시케톤류 등일 수 있다. 보다 구체적으로, 상기 PAG는 트리페닐술포늄 트리플레이트 (triphenylsulfonium triflate), 트리페닐술포늄 안티모네이트 (triphenylsulfonium antimonate), 디페닐이오도늄 트리플레이트 (diphenyliodonium triflate), 디페닐이오도늄 안티모네이트 (diphenyliodonium antimonate), 메톡시디페닐이오도늄 트리플레이트 (methoxydiphenyliodonium triflate), 디-t-부틸디페닐이오도늄 트리플레이트 (di-tbutyldiphenyliodonium triflate), 2,6-디니트로벤질 술포네이트 (2,6-dinitrobenzyl sulfonates), 피로갈롤 트리스(알킬술포네이트) (pyrogallol tris(alkylsulfonates)), N-히드록시숙신이미드 트리플레이트 (Nhydroxysuccinimide triflate), 노르보르넨-디카르복스이미드-트리플레이트 (norbornene-dicarboximidetriflate), 트리페닐술포늄 노나플레이트 (triphenylsulfonium nonaflate), 디페닐이오도늄 노나플레이트 (diphenyliodonium nonaflate), 메톡시디페닐이오도늄 노나플레이트 (methoxydiphenyliodonium nonaflate), 디-t-부틸디페닐이오도늄 노나플레이트 (di-t-butyldiphenyliodonium nonaflate), N-히드록시숙신이미드 노나플레이트 (N-hydroxysuccinimide nonaflate), 노르보르넨-디카르복스이미드-노나플레이트 (norbornenedicarboximide nonaflate), 트리페닐술포늄 퍼플루오로부탄술포네이트 (triphenylsulfonium perfluorobutanesulfonate), 트리페닐술포늄 퍼플루오로옥탄술포네이트 (PFOS) (triphenylsulfonium perfluorooctanesulfonate), 디페닐이오도늄 PFOS (diphenyliodonium PFOS), 메톡시디페닐이오도늄 PFOS (methoxydiphenyliodonium PFOS), 디-t-부틸디페닐이오도늄 트리플레이트 (di-t-butyldiphenyliodonium triflate), N-히드록시숙신이미드 PFOS (N-hydroxysuccinimide PFOS), 노르보르넨-디카르복스이미드 PFOS (norbornene-dicarboximide PFOS), 또는 이들의 혼합물로 이루어질 수 있다. 그러나, 여기에 한정되는 것은 아니다.
도 6a에서 보는 바와 같이 추후에 형성될 라인-앤-스페이스 패턴에 대응하는 노광 마스크(240)을 이용하여 상기 포토레지스트(230)을 노광시킬 수 있다. 상기 노광 마스크(240)는, 예를 들면 석영 기판(242) 위에 라인-앤-스페이스의 상을 갖도록 적절히 설계된 차광막(244)을 가질 수 있다. 상기 차광막(244)은, 예를 들면, 크롬으로 만들어질 수 있다.
상기 노광 마스크(240)을 통과한 빛에 의하여 상기 포토레지스트(230)은 노광부(234)와 비노광부(232)로 구분될 수 있다. 상기 노광부(234)는 노광에 의하여 산이 발생하게 되고, 상기 비노광부(232)는 노광되지 않았기 때문에 산이 발생하지 않는다. 상기 노광에 이용되는 빛은 KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193nm), F2 엑시머 레이저 (157nm), 또는 EUV (13.5 nm)일 수 있다.
도 6b를 참조하면, 공유결합층(225)을 형성하기 위하여, 노광된 상기 포토레지스트(230)와 상기 반사 방지막(220)을 열처리한다. 상기 열처리 조건은, 예를 들면, 도 2e를 참조하여 설명한 바에 따를 수 있다.
열처리 후에 상기 공유결합층(225)은 노광된 영역에만 형성될 수 있다. 상기 포토레지스트(230)가 포지티브 포토레지스트 물질막인 경우 노광된 영역에서만 보호기가 감광성 고분자로부터 제거되면서 상기 반사 방지막(220) 내의 히드록시기 또는 아민기 등과 공유결합을 이룰 수 있기 때문이다.
도 6c를 참조하면, 상기 포토레지스트(230)의 비노광부(232)를 제거하고, 노광부(234)를 잔존시킬 수 있다. 이때, 상기 반사 방지막(220)은 상기 기판(210) 상에 잔존할 수 있다.
상기 포토레지스트(230)의 비노광부(232)를 제거하기 위하여 네가티브 톤 디벨롭퍼(negative tone developer)와 같은 유기 용매를 이용할 수 있다. 상기 유기 용매는 현상제와는 상이한 것으로서, 비극성 용매일 수 있으며 보다 구체적으로는 벤젠, 톨루엔, 또는 자일렌과 같은 방향족 탄화수소; 시클로헥산, 시클로헥사논; 디메틸에테르, 디에틸에테르, 에틸렌글리콜, 프로필렌글리콜, 헥실렌글리콜, 에틸렌글리콜 모노메틸에테르, 에틸렌글리콜 모노에틸에테르, 에틸렌글리콜 메틸에틸에테르, 디에틸렌글리콜 모노메틸에테르, 디에틸렌글리콜 모노에틸에테르, 디에틸렌글리콜 디메틸에테르, 프로필렌글리콜 메틸에테르, 프로필렌글리콜 에틸에테르, 프로필렌글리콜 프로필에테르, 프로필렌글리콜 부틸에테르, 테트라하이드로퓨란, 디옥산 등의 비고리형 또는 고리형의 에테르류; 메틸 아세테이트, 에틸 아세테이트, 프로필 아세테이트, 부틸 아세테이트, 메틸 히드록시 아세테이트, 에틸 히드록시 아세테이트, 프로필 히드록시 아세테이트, 부틸 히드록시 아세테이트, 메틸메톡시 아세테이트, 에틸메톡시 아세테이트, 프로필메톡시 아세테이트, 부틸메톡시 아세테이트, 메틸에톡시 아세테이트, 에틸에톡시 아세테이트, 프로필에톡시 아세테이트, 부틸에톡시 아세테이트, 메틸프로폭시 아세테이트, 에틸프로폭시 아세테이트, 프로필프로폭시 아세테이트, 부틸프로폭시 아세테이트, 메틸부톡시 아세테이트, 에틸부톡시 아세테이트, 프로필부톡시 아세테이트, 부틸부톡시 아세테이트, 프로필렌글리콜 메틸에테르 아세테이트, 프로필렌글리콜 에틸에테르 아세테이트, 프로필렌글리콜 프로필에테르 아세테이트, 프로필렌글리콜 부틸에테르 아세테이트, 메틸셀로솔브 아세테이트, 에틸셀로솔브 아세테이트 등의 아세테이트류; 메틸 3-히드록시 프로피오네이트, 에틸 3-히드록시 프로피오네이트, 프로필 3-히드록시 프로피오네이트, 부틸 3-히드록시 프로피오네이트, 메틸 2-메톡시 프로피오네이트, 에틸 2-메톡시 프로피오네이트, 프로필 2-메톡시 프로피오네이트, 부틸 2-메톡시 프로피오네이트, 메틸 2-에톡시프로피오네이트, 에틸 2-에톡시프로피오네이트, 프로필 2-에톡시프로피오네이트, 부틸 2-에톡시프로피오네이트, 메틸 2-부톡시프로피오네이트, 에틸 2-부톡시프로피오네이트, 프로필 2-부톡시프로피오네이트, 부틸 2-부톡시프로피오네이트, 메틸 3-메톡시프로피오네이트, 에틸 3-메톡시프로피오네이트, 프로필 3-메톡시프로피오네이트, 부틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 프로필 3-에톡시프로피오네이트, 부틸 3-에톡시프로피오네이트, 메틸 3-프로폭시프로피오네이트, 에틸 3-프로폭시프로피오네이트, 프로필 3-프로폭시프로피오네이트, 부틸 3-프로폭시프로피오네이트, 메틸 3-부톡시프로피오네이트, 에틸 3-부톡시프로피오네이트, 프로필 3-부톡시프로피오네이트, 부틸 3-부톡시프로피오네이트, 프로필렌글리콜 메틸에테르 프로피오네이트, 프로필렌글리콜 에틸에테르 프로피오네이트, 프로필렌글리콜 프로필에테르 프로피오네이트, 프로필렌글리콜 부틸에테르 프로피오네이트 등의 프로피오네이트류; 옥시이소부티르산 에스테르, 예를 들어 메틸-2-히드록시이소부티레이트, 메틸 α-메톡시이소부티레이트, 에틸 메톡시이소부티레이트, 메틸 α-에톡시이소부티레이트, 에틸 α-에톡시이소부티레이트, 메틸 β-메톡시이소부티레이트, 에틸 β-메톡시이소부티레이트, 메틸 β-에톡시이소부티레이트, 에틸 β-에톡시이소부티레이트, 메틸 β-이소프로폭시이소부티레이트, 에틸 β-이소프로폭시이소부티레이트, 이소프로필 β-이소프로폭시이소부티레이트, 부틸 β-이소프로폭시이소부티레이트, 메틸 β-부톡시이소부티레이트, 에틸 β-부톡시이소부티레이트, 부틸 β-부톡시이소부티레이트, 메틸 α-히드록시이소부티레이트, 에틸 α-히드록시이소부티레이트, 이소프로필 α-히드록시이소부티레이트 및 부틸 α-히드록시이소부티레이트 등의 부티레이트류; 또는 메틸 락테이트, 에틸 락테이트, 프로필 락테이트, 부틸 락테이트 등의 락테이트류; 또는 이들의 조합일 수 있다. 특히 네가티브 톤 디벨롭퍼는 n-부틸 아세테이트일 수 있다.
도 6d를 참조하면, 스페이서를 형성하기 위해 상기 라인-앤-스페이스 패턴(234a)의 측벽 위에 스핀-온-옥사이드(spin-on-oxide, SOX) 물질을 형성할 수 있다. 상기 SOX 물질은 실리콘 산화물인데, 상기 라인-앤-스페이스 패턴(234a)의 측벽 위에 SOX 물질의 스페이서를 형성하기 위해서, 우선 상기 라인-앤-스페이스 패턴(234a)의 측벽 위에 SOX 전구 물질의 층(250a)을 형성한다.
여기서는 스페이서를 형성하기 위한 물질로서 SOX를 예시하였지만 통상의 기술자는 상기 포토레지스트와 충분한 식각 선택비를 갖는 물질이면 여기에 이용될 수 있음을 이해할 것이다.
상기 SOX 전구 물질은 주로 폴리실라잔(polysilazane) 화합물로서 특히 PHPS(perhydropolysilazane)와 같은 화합물을 포함할 수 있다. 상기 폴리실라잔은 -(SiH2NH2)n-(여기서, n은 5 이상의 양의 정수)의 일반식을 가질 수 있으며 분자의 양단은, 예를 들면, 수소로 종결될 수 있다. 상기 폴리실라잔은, 예를 들면, 우선 할로실란(halosilane)을 루이스 염기와 반응시켜 착화합물을 얻고, 상기 착화합물을 암모니아와 반응시켜 얻을 수 있다. 즉, 예를 들면, SiCl4, SiH2Cl2 등과 같은 할로실란을 아민과 같은 루이스 염기와 반응시켜 착화합물 형태의 실라잔을 얻고, 이를 알칼리 금속 할로겐화물 촉매를 이용하거나 전이금속 착화합물 촉매를 이용하여 폴리실라잔으로 중합하여 얻을 수 있다.
상기 SOX 전구 물질의 층(250a)을 형성하기 위하여 용매와 폴리실리잔 화합물을 포함하는 스핀-온-글래스(spin-on-glass, SOG) 조성물을 상기 라인-앤-스페이스 패턴(234a)의 상부에 도포한다. 상기 SOG 조성물의 도포는 예를 들면, 스핀 코팅, 딥 코팅 등의 방법에 의할 수 있지만 여기에 한정되는 것은 아니다. 상기 SOG 조성물의 두께는 상기 라인-앤-스페이스 패턴(234a)의 높이, 추후 형성하고자 하는 스페이서의 두께 등을 고려하여 적절히 결정될 수 있다.
상기 SOG 조성물에서 상기 폴리실라잔 화합물은 약 5 중량% 내지 30 중량%의 함량을 가질 수 있고, 용매는 70 중량% 내지 95 중량%의 함량을 가질 수 있다. 상기 폴리실라잔 화합물은 약 1,000 내지 약 8,000 사이의 중량 평균 분자량을 가질 수 있다.
상기 SOG 조성물의 용매로서는 톨루엔, 벤젠, 자일렌, 디부틸에테르, 디메틸에테르, 디에틸에테르, 테트라하이드로퓨란, PGME(propylene glycol methoxy ether), PGEMA(propylene glycol ether monomethyl acetate), 헥산과 같은 용매가 이용될 수 있다.
도 6d에서 보는 바와 같이 상기 SOX 전구 물질의 층(250a)을 형성한 후 약 50 ℃ 내지 약 200 ℃의 온도에서 약 10 초 내지 약 5 분 동안 베이킹을 수행할 수 있다. 상기 베이킹은 산화 분위기에서 수행될 수 있다. 상기 베이킹을 통해서 상기 SOX 전구 물질이 실리콘 산화물로 전환될 뿐만 아니라 상기 SOX 전구 물질의 층(250a)과 상기 라인-앤-스페이스 패턴(234a) 사이의 계면에서 SOX 전구 물질은 상기 라인-앤-스페이스 패턴(234a) 내에 존재하는 산과 반응하게 된다.
앞서 언급한 바와 같이 상기 포지티브형 포토레지스트의 노광부(234, 도 6d의 234a에 대응)는 산으로서 작용할 수 있는 히드록시기, 카르복시기, 및/또는 락톤기가 풍부할 수 있는데, 이들 산이 상기 반응을 통해서 상기 SOX 전구 물질 및 실리콘 산화물과 결합하게 된다. 반응 시간이 길어질수록 상기 SOX 전구 물질/실리콘 산화물 내부로의 산의 확산이 증가하게 된다. 그 결과 반응 시간이 길어질수록 반응 영역(250)도 넓어지게 된다.
그런 다음 미반응의 SOX 전구물질을 용매를 이용하여 제거하면 도 6d와 같이 반응 영역(250)만 남게 된다.
도 6e를 참조하면, 상기 반응 영역(250)을 에치백하여 스페이서(252)를 형성한다. 상기 에치백에 의하여 상기 라인-앤-스페이스 패턴(234a)의 상부 표면을 덮던 SOX 물질을 제거하고, 그에 의하여 상기 라인-앤-스페이스 패턴(234a)의 상부 표면이 노출될 수 있다. 도 6d와 도 6e를 비교하면, 상기 스페이서(252)의 두께는 상기 반응 영역(250)의 두께에 의존하는 것을 알 수 있다. 따라서, 상기 스페이서(252)의 두께는 상기 베이킹 시간을 제어함으로써 조절될 수 있다. 다시 말해, 상기 베이킹 시간을 제어함으로써 상기 반응 영역(250)의 두께가 조절되고, 그 결과 스페이서(252)의 두께도 조절 가능하게 된다.
도 6f를 참조하면, 상기 라인-앤-스페이스 패턴(234a)을 현상제를 이용하여 제거한다. 상기 현상제로서는 염기성 수용액을 이용할 수 있으며, 예를 들면, 테트라메틸암모늄히드록사이드(tetramethyl ammonium hydroxide, TMAH) 수용액을 이용할 수 있다. 상기 TMAH 수용액의 농도는, 예를 들면, 약 2 중량% 내지 약 5 중량%일 수 있다.
도 6g를 참조하면, 상기 공유결합층(225) 및 상기 반사방지막(220)의 노출된 부분을 유기 용매를 이용하여 제거할 수 있다. 상기 유기 용매는 앞서 열거한 비극성 유기 용매의 어느 하나일 수도 있지만, 알코올계 용매와 같은 극성 유기 용매를 이용하여 제거할 수도 있다.
그런 다음, 도 6h에서와 같이 상기 스페이서(252), 반사방지막(220a)을 식각 마스크로서 이용하여 폴리실리콘층(216)을 식각하여 상기 스페이서(252)의 패턴이 전사된 폴리실리콘 미세 패턴(216a)을 얻을 수 있다. 또한, 상기 폴리실리콘 미세 패턴(216a)을 식각 마스크로서 이용하여 실리콘 산화물층(214)을 식각함으로써, 상기 폴리실리콘 미세 패턴(216a)이 전사된 실리콘 산화물 미세 패턴(214a)을 얻을 수 있다. 여기서는 미세 패턴을 형성하고자 하는 층, 즉, 타겟층을 실리콘 산화물층(214)으로 하였지만, 본 발명 개념이 여기에 한정되는 것은 아니다.
도 6a와 도 6h를 비교하면, 상기 실리콘 산화물 미세 패턴(214a)의 패턴 밀도가 상기 포지티브형 포토레지스트로 형성한 라인-앤-스페이스 패턴(234a)의 패턴 밀도에 비하여 배가된 것을 알 수 있다. 이상에서 설명한 바와 같은 미세 패턴 형성 방법을 이용하여 도 3에서와 같은 도전 라인(301, 302, ... , 332)을 형성하기 위해서는 도전성 물질 위에 하드마스크 물질막을 형성한 후 위에서 설명한 방법을 적용하여 하드마스크를 만들고, 그런 다음 상기 하드마스크를 이용하여 도전성 물질을 식각함으로써 도전 라인(301, 302, ... , 332)을 형성할 수 있다.
이상에서는 상기 반사방지막이 염기성 수용액에 의하여 현상되지 않는 경우를 살펴보았다. 이하에서는 반사방지막이 TMAH 수용액과 같은 염기성 수용액에 의하여 현상이 가능한(developable) 반사방지막인 경우에 대하여 설명한다. 도 7a 내지 도 7g는 현상 가능한 반사 방지막을 이용한 미세 패턴 형성 방법을 순서에 따라 나타낸 측단면도들이다. 도 7a 내지 도 7g의 설명에 있어서, 도 6a 내지 도 6h에 나타낸 실시예와 중복되는 내용은 간결한 설명을 위해 생략한다.
도 7a를 참조하면, 도 6a의 실시예와 비교하여 일반적인 반사방지막 대신 현상 가능한 반사방지막(224)을 사용한 점을 제외하면 동일하다. 상기 현상 가능한 반사 방지막(224)은 발색기(chromophore group)를 포함하는 폴리머, 산과 반응하여 상기 폴리머와 가교될 수 있는 가교제(cross-linker), PAG, 열 산 발생제(thermal-acid generator, TAG) 및 용매를 포함할 수 있다.
상기 폴리머는 발색기를 갖는 폴리히드록시스티렌(polyhydroxystyrene, PHS)일 수 있다. 상기 발색기는, 예를 들면, 안트라센으로 치환된 탄소수 2 내지 10의 알킬에스테르기, 탄소수 2 내지 10의 아조(azo)기 등일 수 있다.
상기 가교제는 말단에 이중결합을 둘 이상 갖는, 탄소수 4 내지 50의 탄화수소 화합물일 수 있다.
상기 PAG는 앞서 상세히 설명하였으므로 여기서는 생략한다.
상기 TAG는 지방족(aliphatic) 또는 지환식(alicyclic) 화합물로 구성될 수 있다. 예를 들면, 상기 TAG는 카르보네이트 에스테르(carbonate ester), 술포네이트 에스테르 (sulfonate ester), 및 포스페이트 에스테르 (phosphate ester)로 이루어지는 군에서 선택되는 적어도 하나의 화합물로 구성될 수 있다. 보다 구체적인 예로서, 상기 TAG는 시클로헥실 노나플루오로부탄술포네이트 (cyclohexyl nonafluorobutanesulfonate), 노르보르닐 노나플루오로부탄술포네이트 (norbornyl nonafluorobutanesulfonate), 트리시클로데카닐 노나플루오로부탄술포네이트 (tricyclodecanyl nonafluorobutanesulfonate), 아다만틸 노나플루오로부탄술포네이트 (adamantyl nonafluorobutanesulfonate), 시클로헥실 노나플루오로부탄카르보네이트 (cyclohexyl nonafluorobutanecarbonate), 노르보르닐 노나플루오로부탄카르보네이트 (norbornyl nonafluorobutanecarbonate), 트리시클로데카닐 노나플루오로부탄카르보네이트 (tricyclodecanyl nonafluorobutanecarbonate), 아다만틸 노나플루오로부탄카르보네이트 (adamantyl nonafluorobutanecarbonate), 시클로헥실 노나플루오로부탄포스페이트 (cyclohexyl nonafluorobutanephosphonate), 노르보르닐 노나플루오로부탄포스페이트 (norbornyl nonafluorobutanephosphonate), 트리시클로데카닐 노나플루오로부탄포스페이트 (tricyclodecanyl nonafluorobutanephosphonate), 및 아다만틸 노나플루오로부탄포스페이트 (adamantyl nonafluorobutanephosphonate)로 이루어지는 군에서 선택되는 적어도 하나의 화합물로 구성될 수 있다.
또한, 상기 용매는 위에서 설명한 비극성 용매를 이용할 수 있으며, 여기서는 상세한 설명을 생략한다.
계속하여 도 7a를 참조하면, 상기 노광 마스크(240)를 이용하여 상기 포지티브형 포토레지스트(230)를 노광시키기 전에 상기 현상 가능한 반사방지막(224)을 소프트 베이킹할 수 있다. 상기 현상 가능한 반사방지막(224)의 소프트 베이킹은 약 50 ℃ 내지 약 150 ℃의 온도에서 약 20 초 내지 약 5 분 동안 수행될 수 있다. 상기 소프트 베이킹을 통해 상기 TAG로부터 산이 발생하고, 상기 산에 의하여 상기 가교제는 상기 폴리머와 가교된다.
그런 다음, 상기 노광 마스크(240)를 이용하여 상기 포지티브형 포토레지스트(230)를 노광시킨다. 상기 노광 마스크(240)는 추후에 형성될 라인-앤-스페이스 패턴에 대응하는 것일 수 있다. 상기 노광 마스크(240)를 통과한 빛에 의하여 상기 포토레지스트(230)는 노광부(234)와 비노광부(232)로 구분될 수 있다.
상기 노광부(234)는 노광에 의하여 산이 발생하게 되고, 상기 비노광부(232)는 노광되지 않았기 때문에 산이 발생하지 않는다. 상기 노광부(234)에서 발생한 산으로 인하여 상기 노광부(234)의 하부에 위치한 현상 가능한 반사방지막(224)은 가교되었던 것이 다시 해가교(de-crosslinking)되어 추후 현상제에 의한 현상이 가능하게 된다.
도 7b를 참조하면, 공유결합층(225)을 형성하기 위하여, 노광된 상기 포토레지스트(230)와 상기 반사 방지막(224)을 열처리한다. 상기 열처리 조건은, 예를 들면, 도 2e를 참조하여 설명한 바에 따를 수 있다.
열처리 후에 상기 공유결합층(225)은 노광된 영역에만 형성될 수 있다. 상기 포토레지스트(230)가 포지티브 포토레지스트 물질막인 경우 노광된 영역에서만 보호기가 감광성 고분자로부터 제거되면서 상기 반사 방지막(224) 내의 히드록시기 또는 아민기 등과 공유결합을 이룰 수 있기 때문이다.
도 7c를 참조하면, 상기 포토레지스트(230)의 비노광부(232)를 제거한다. 상기 포토레지스트(230)의 비노광부(232)를 제거하기 위하여 네가티브 톤 디벨롭퍼(negative tone developer)와 같은 유기 용매를 이용할 수 있다. 상기 네가티브 톤 디벨롭퍼는 앞서 상세하게 설명하였으므로 여기서는 설명을 생략한다. 도 6b와는 달리, 여기서는 상기 비노광부(232)의 하부에 위치하던 반사방지막(224)은 상기 유기 용매에 의하여 상기 비노광부(232)와 함께 제거된다. 이 경우, 노광부(234a) 및 상기 노광부(234a) 하부의 반사방지막(224a)이 잔존할 수 있다.
도 7d를 참조하면, 스페이서를 형성하기 위해 상기 라인-앤-스페이스 패턴(234a)의 측벽 위에 스핀-온-옥사이드(spin-on-oxide, SOX) 물질을 형성할 수 있다. 도 6c에서와 같이 SOX 전구 물질의 층(250a)을 형성한 후 베이킹함으로써 반응 영역(250)을 형성할 수 있다. 그런 다음, 미반응 SOX 전구 물질을 제거함으로써, 도 7d에 나타낸 바와 같은 반응 영역(250)을 형성할 수 있다.
도 7e를 참조하면, 상기 반응 영역(250)을 에치백하여 스페이서(252)를 형성한다. 앞선 실시예에서와 마찬가지로, 상기 스페이서(252)의 두께는 베이킹 시간을 제어함으로써 조절 가능하다.
도 7f를 참조하면, TMAH와 같은 현상제를 이용함으로써 라인-앤-스페이스 패턴(234a)과 그의 하부에 존재하던 공유결합층(225) 및 현상 가능한 반사 방지막(224a)을 제거할 수 있다. 앞서 설명한 바와 같이 상기 현상 가능한 반사 방지막(224a)은 노광 과정에서 받은 빛에 의하여 해가교되므로 현상제에 의하여 상기 라인-앤-스페이스 패턴(234a)과 동시에 제거 가능하다.
도 7g를 참조하면, 상기 스페이서(252)를 식각 마스크로서 이용하여 폴리실리콘층(216)을 식각하여 상기 스페이서(252)의 패턴이 전사된 폴리실리콘 미세 패턴(216a)을 얻을 수 있다. 또한, 상기 폴리실리콘 미세 패턴(216a)을 식각 마스크로서 이용하여 실리콘 산화물층(214)을 식각함으로써, 상기 폴리실리콘 미세 패턴(216a)이 전사된 실리콘 산화물 미세 패턴(214a)을 얻을 수 있다.
도 6a와 도 6h의 실시예와 도 7a 내지 도 7g의 실시예를 비교하면, 현상 가능한 반사방지막을 이용하면 라인-앤-스페이스 패턴(234a)과 함께 반사방지막(224a)이 제거되기 때문에 제조가 더 간단해지는 것을 알 수 있다.
도 8은 본 발명의 기술적 사상에 의한 실시예들에 따른 불휘발성 메모리 소자(900)의 블록도이다. 도 8을 참조하여, 본 발명의 기술적 사상에 의한 실시예들에 따른 반도체 소자를 포함하는 불휘발성 메모리 소자(900)를 설명한다.
도 8을 참조하면, 불휘발성 메모리 소자(900)는, 예를 들면, 낸드 플래시 메모리 소자로 이루어질 수 있다. 그러나, 본 발명의 기술적 사상에 의하면, 상기 불휘발성 메모리 소자(900)는 낸드 플래시 메모리 소자에 국한되지 않으며, 예를 들면 노아(NOR) 플래시 메모리, RRAM (Resistive Random Access Memory), PRAM (Phase-Change RAM), MRAM (Magnetoresistive Random Access Memory), 강유전체 메모리 (Ferroelectric Random Access Memory) 등과 같은 다양한 소자로 이루어질 수도 있다.
상기 불휘발성 메모리 소자(900)는 3차원 어레이 구조를 갖도록 구현될 수 있다. 본 발명의 기술적 사상에 의한 불휘발성 메모리 소자(900)는 전하 저장층이 전도성 부유 게이트로 구성된 플래시 메모리 소자뿐만 아니라, 전하 저장층이 절연막으로 구성된 차지 트랩형 플래시(Charge Trap Flash: CTF) 메모리 소자에도 모두 적용 가능하다.
상기 불휘발성 메모리 소자(900)는 메모리 셀 어레이(910), 행 디코더 회로(920), 읽기/쓰기 회로(930), 전압 발생 회로(940), 그리고 제어 로직 및 입출력 인터페이스 블록(950)을 포함할 수 있다.
메모리 셀 어레이(910)는 행 방향으로 배열된 워드 라인들과 열 방향으로 배열된 비트 라인들을 포함하는 메모리 셀들을 포함할 수 있다. 상기 메모리 셀들은 메모리 블록들을 구성할 수 있다.
상기 행 디코더 회로(920)는 제어 로직 및 입출력 인터페이스 블록(950)에 의해 제어될 수 있으며, 메모리 셀 어레이(910)의 워드 라인들에 대한 선택 및 구동을 행할 수 있다.
상기 읽기/쓰기 회로(930)는 제어 로직 및 입출력 인터페이스 블록(950)에 의해 제어되며, 동작 모드에 따라 읽기 회로 또는 쓰기 회로로서 동작할 수 있다. 예를 들면, 읽기 동작시, 읽기/쓰기 회로(930)는 제어 로직 및 입출력 인터페이스 블록(950)의 제어 하에 메모리 셀 어레이(910)로부터 데이터를 읽는 읽기 회로로서 동작할 수 있다. 쓰기 동작(또는, 프로그램 동작)시, 읽기/쓰기 회로(930)는 제어 로직 및 입출력 인터페이스 블록(950)의 제어 하에 메모리 셀 어레이(910)에 데이터를 쓰는 쓰기 회로로서 동작할 수 있다.
상기 전압 발생 회로(940)는 제어 로직 및 입출력 인터페이스 블록(950)에 의해서 제어되며, 불휘발성 메모리 소자(900)를 동작시키기 위한 전압들을 발생할 수 있다. 예를 들면, 전압 발생 회로(940)는 메모리 셀 어레이(910)의 워드 라인들에 공급될 프로그램 전압, 패스 전압, 검증 전압, 선택 전압 등과 같은 워드 라인 전압들과 메모리 셀 어레이(910)의 기판, 또는 기판에 형성된 웰(well)에 공급될 웰 바이어스 전압(Vbb)을 발생할 수 있다. 웰 바이어스 전압(Vbb)은 동작 모드에 따라 0 V 및 음의 전압 중 어느 하나일 수 있다.
상기 제어 로직 및 입출력 인터페이스 블록(950)은 불휘발성 메모리 소자(900)의 전반적인 동작을 제어할 수 있다. 제어 로직 및 입출력 인터페이스 블록(950)은 불휘발성 메모리 소자(900)와 외부 장치, 예를 들면, 메모리 제어기 또는 호스트 사이의 데이터 전송 채널을 제공할 수 있다. 프로그램 동작이 요청될 때, 제어 로직 및 입출력 인터페이스 블록(950)은 메모리 셀들이 형성된 기판, 또는 기판에 형성된 웰이 음의 전압으로 바이어스되도록 전압 발생 회로(940)를 제어할 수 있다.
상기 제어 로직 및 입출력 인터페이스 블록(950)은 본 발명의 기술적 사상에 의한 실시예들에 따라 제조된 반도체 소자 중 적어도 하나의 집적회로 소자, 또는 본 발명의 기술적 사상의 범위 내에서 이들로부터 변형 및 변경된 집적회로 소자를 포함한다.
도 9는 본 발명의 기술적 사상에 의한 실시예들에 따라 제조된 집적회로 소자를 포함하는 예시적인 전자 시스템(1000)을 설명하는 도면이다.
도 9를 참조하면, 전자 시스템(1000)은 입력 장치(1010), 출력 장치(1020), 프로세서 장치(1030), 및 메모리 소자(1040)를 포함한다.
상기 프로세서 장치(1030)는 각각 해당하는 인터페이스를 통해서 입력 장치(1010), 출력 장치(1020) 그리고 메모리 소자(1040)를 제어할 수 있다. 상기 프로세서 장치(1030)는 적어도 하나의 마이크로 프로세서, 디지털 신호 프로세서, 마이크로 콘트롤러, 그리고 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 어느 하나를 포함할 수 있다.
상기 프로세서 장치(1030) 및 메모리 소자(1040) 중 적어도 하나는 본 발명의 기술적 사상에 의한 실시예들에 따라 제조된 집적회로 소자 중 적어도 하나의 집적회로 소자, 또는 본 발명의 기술적 사상의 범위 내에서 이들로부터 변형 및 변경된 집적회로 소자를 포함한다.
상기 입력 장치(1010)와 출력 장치(1020)는 각각 키패드, 키보드 또는 표시 장치 (display device)를 포함할 수 있다.
상기 메모리 소자(1040)는 메모리(1042), 예를 들면 휘발성 메모리 소자 또는 플래쉬 메모리 소자와 같은 비휘발성 메모리 소자를 포함할 수 있다.
도 10은 본 발명의 기술적 사상에 의하여 제조된 집적회로 소자를 포함하는 예시적인 메모리 시스템(1100)을 설명하는 블록도이다.
도 10을 참조하면, 메모리 시스템(1100)은 인터페이스부(1130), 콘트롤러(1140), 및 메모리 소자(1120)를 포함할 수 있다.
상기 인터페이스부(1130)는 메모리 시스템, 예를 들면 도 9에 예시한 전자 시스템(1000)과 호스트와의 인터페이싱을 제공할 수 있다. 상기 인터페이스부(1130)는 호스트와의 인터페이싱을 위해 호스트에 대응하는 데이터 교환 프로토콜을 구비할 수 있다. 상기 인터페이스부(1130)는 USB (Universal Serial Bus), MMC (Multi-Media Card), PCI-E (Peripheral Component Interconnect-Express), SAS (Serial-attached SCSI), SATA (Serial Advanced Technology Attachment), PATA (Parallel Advanced Technology Attachment), SCSI (Small Computer System Interface), ESDI (Enhanced Small Disk Interface), 및 IDE (Integrated Drive Electronics) 등과 같은 다양한 인터페이스 프로토콜들 중 하나를 통해 호스트와 통신할 수 있다.
상기 콘트롤러(1140)는 인터페이스부(1130)를 통해 외부로부터 제공되는 데이터 및 어드레스를 제공받을 수 있다. 상기 콘트롤러(1140)는 호스트로부터 제공되는 데이터 및 어드레스를 참조하여 메모리 소자, 예를 들면 도 9에 예시한 메모리 소자(1040)를 액세스할 수 있다. 상기 콘트롤러(1140)는 메모리 소자(1120)로부터 읽혀진 데이터를 인터페이스부(1130)를 경유하여 호스트로 전달할 수 있다.
상기 콘트롤러(1140)는 버퍼 메모리(1150)를 포함할 수 있다. 상기 버퍼 메모리(1150)에는 호스트로부터 제공되는 쓰기 데이터, 또는 메모리 소자(1120)로부터 읽혀진 데이터가 일시 저장될 수 있다.
상기 메모리 소자(1120)는 메모리 시스템(1100)의 저장 매체로서 제공될 수 있다. 예를 들면, 메모리 소자(11200)는 PRAM, MRAM, ReRAM, FRAM, NOR 플래시 메모리, 또는 이들의 조합으로 이루어질 수 있다. 상기 메모리 소자(1120)는 본 발명의 기술적 사상에 의한 실시예들에 따라 제조된 집적회로 소자 중 적어도 하나의 집적회로 소자, 또는 본 발명의 기술적 사상의 범위 내에서 이들로부터 변형 및 변경된 집적회로 소자를 포함한다.
도 10에 예시한 메모리 시스템(1100)은 개인 휴대용 정보 단말기 (PDA: Personal Digital Assistant), 휴대용 컴퓨터, 웹 태블렛 (web tablet), 디지털 카메라, PMP (Portable Media Player), 모바일 폰, 무선폰, 랩탑 컴퓨터와 같은 정보 처리 장치에 장착될 수 있다. 메모리 시스템(1100)은 MMC 카드, SD 카드 (Secure Digital Card), 마이크로 SD 카드, 메모리 스틱 (Memory Stick), ID 카드, PCMCIA (Personal Computer Memory Card International Association) 카드, 칩 카드 (Chip Card), USB 카드, 스마트 카드 (Smart Card), CF 카드 (Compact Flash Card) 등으로 구현될 수 있다.
이상에서 살펴본 바와 같이 본 발명의 실시예들에 대해 상세히 기술되었지만, 본 발명이 속하는 기술분야에 있어서 통상의 지식을 가진 사람이라면, 첨부된 청구 범위에 정의된 본 발명의 정신 및 범위를 벗어나지 않으면서 본 발명을 여러 가지로 변형하여 실시할 수 있을 것이다. 따라서 본 발명의 앞으로의 실시예들의 변경은 본 발명의 기술을 벗어날 수 없을 것이다.
본 발명은 반도체 산업에 유용하게 응용될 수 있다.
101, 210: 기판 102, 240: 노광 마스크
110: 피식각막 110p: 미세 패턴
120, 220, 224: 반사방지막 125, 225: 공유결합층
130, 230: 포토레지스트막 130a, 232: 비노광부
130b, 234: 노광부 212: 반도체 기판
214: 실리콘 산화물층 216: 폴리실리콘층
252: 스페이서

Claims (10)

  1. 기판 위에 피식각막을 형성하는 단계;
    상기 피식각막 위에 제 1 물질을 포함하는 반사방지막을 형성하는 단계;
    상기 반사방지막 위에 에스테르기(-COO-) 및 보호기를 포함하는 감광성 고분자를 함유하는 포토레지스트막을 형성하는 단계;
    상기 포토레지스트막을 노광하여 노광된 부분의 상기 감광성 고분자의 상기 에스테르기(-COO-)를 카르복시기(-COOH)로 전환하는 단계;
    상기 반사방지막 및 상기 포토레지스트막을 열처리함으로써 상기 반사방지막과 상기 포토레지스트막의 상기 노광된 부분 사이에 공유결합을 차별적으로 형성하는 단계; 및
    상기 포토레지스트막을 현상하는 단계;
    를 포함하고,
    상기 공유 결합은 상기 제 1 물질과 상기 포토레지스트막의 상기 카르복시기 사이의 공유 결합인 반도체 소자의 제조 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 제 1 물질은 히드록시기(-OH) 또는 아민기(-NH2)를 갖는 물질이거나, 또는 광에 의하여 아민기를 생성할 수 있는 물질인 것을 특징으로 하는 반도체 소자의 제조 방법.
  4. 제 3 항에 있어서,
    상기 광에 의하여 아민기를 생성할 수 있는 물질은 카바메이트류(cabamates), 아미노케톤류(aminoketones), 암모늄염들, 아미드류(amides), 이민류(imines), 이소시아네이트류(isocyanates), 및 이미드류(imides)로 구성되는 군으로부터 선택된 1종 이상인 것을 특징으로 하는 반도체 소자의 제조 방법.
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 포토레지스트막을 현상하기 위하여 네가티브 톤 현상액이 사용되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  8. 기판 위에 피식각막을 형성하는 단계;
    상기 피식각막 위에 붕괴 방지제를 포함하는 반사방지막을 형성하는 단계;
    상기 반사방지막 위에 에스테르기(-COO-) 및 보호기를 포함하는 감광성 고분자를 함유 포토레지스트막을 형성하는 단계;
    상기 포토레지스트막을 노광하여 노광된 부분의 상기 감광성 고분자의 상기 에스테르기(-COO-)를 카르복시기(-COOH)로 전환하는 단계;
    상기 노광된 부분에서 상기 반사방지막과 상기 포토레지스트막 사이의 공유 결합을 차별적으로 형성하도록 상기 반사방지막 및 상기 포토레지스트막을 열처리하는 단계; 및
    상기 포토레지스트막을 현상하는 단계;
    를 포함하고,
    상기 공유 결합은 상기 붕괴 방지제와 상기 포토레지스트막의 상기 카르복시기 사이의 공유 결합인는 미세 패턴 형성 방법.
  9. 삭제
  10. 제 8 항에 있어서,
    상기 공유결합은 에스테르기(-COO-), 또는 아미드기(-CONH-)에 의한 공유결합인 것을 특징으로 하는 미세 패턴 형성 방법.
KR1020150019667A 2015-02-09 2015-02-09 미세 패턴 형성 방법 KR102370616B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020150019667A KR102370616B1 (ko) 2015-02-09 2015-02-09 미세 패턴 형성 방법
US15/016,309 US9773672B2 (en) 2015-02-09 2016-02-05 Method of forming micropatterns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150019667A KR102370616B1 (ko) 2015-02-09 2015-02-09 미세 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20160097675A KR20160097675A (ko) 2016-08-18
KR102370616B1 true KR102370616B1 (ko) 2022-03-04

Family

ID=56566108

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150019667A KR102370616B1 (ko) 2015-02-09 2015-02-09 미세 패턴 형성 방법

Country Status (2)

Country Link
US (1) US9773672B2 (ko)
KR (1) KR102370616B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US11031244B2 (en) 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090139568A1 (en) * 2007-11-19 2009-06-04 Applied Materials, Inc. Crystalline Solar Cell Metallization Methods
US20130069246A1 (en) * 2009-06-26 2013-03-21 Rohm And Haas Electronic Materials Llc Methods of forming electronic devices
US20140273457A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-Reflective Layer and Method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI363251B (en) 2003-07-30 2012-05-01 Nissan Chemical Ind Ltd Sublayer coating-forming composition for lithography containing compound having protected carboxy group
WO2005050320A1 (ja) 2003-11-19 2005-06-02 Daikin Industries, Ltd. レジスト積層体の形成方法
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
KR100959190B1 (ko) 2007-12-21 2010-05-24 제일모직주식회사 현상액에 용해 가능한 근자외선 바닥 반사방지막 조성물 및이를 이용한 패턴화된 재료 형성 방법
CN101963755B (zh) 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
US8632948B2 (en) 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
JP5753351B2 (ja) 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法
EP2492749A1 (en) 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
JP5735458B2 (ja) 2012-06-25 2015-06-17 信越化学工業株式会社 ポジ型レジスト材料及びパターン形成方法
US9541834B2 (en) 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
KR20140142929A (ko) * 2013-06-05 2014-12-15 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법, 이 반도체 장치를 포함하는 마이크로 프로세서, 프로세서, 시스템, 데이터 저장 시스템 및 메모리 시스템
US9274426B2 (en) * 2014-04-29 2016-03-01 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective coating compositions and processes thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090139568A1 (en) * 2007-11-19 2009-06-04 Applied Materials, Inc. Crystalline Solar Cell Metallization Methods
US20130069246A1 (en) * 2009-06-26 2013-03-21 Rohm And Haas Electronic Materials Llc Methods of forming electronic devices
US20140273457A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-Reflective Layer and Method

Also Published As

Publication number Publication date
US9773672B2 (en) 2017-09-26
US20160233083A1 (en) 2016-08-11
KR20160097675A (ko) 2016-08-18

Similar Documents

Publication Publication Date Title
US11215924B2 (en) Photoresist, developer, and method of forming photoresist pattern
KR102245135B1 (ko) 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US7790357B2 (en) Method of forming fine pattern of semiconductor device
KR101458246B1 (ko) 패턴 형성 방법 및 레지스트 재료
US8999624B2 (en) Developable bottom antireflective coating composition and pattern forming method using thereof
KR102235611B1 (ko) 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US11971659B2 (en) Photoresist composition and method of forming photoresist pattern
US9523914B2 (en) Chemically amplified resist composition and patterning process
KR102370616B1 (ko) 미세 패턴 형성 방법
KR102122461B1 (ko) 반도체 소자의 미세 패턴 형성 방법
US20230393464A1 (en) Photoresist composition and method of forming photoresist pattern
US10520821B2 (en) Lithography process with enhanced etch selectivity
KR20120026314A (ko) 미세 패턴 형성 방법
KR102310120B1 (ko) 하드마스크 물질막의 형성 방법
US10353290B2 (en) Photoresist composition for extreme ultraviolet and method of forming photoresist pattern using the same
US9412604B2 (en) Methods of manufacturing semiconductor device
US10983434B2 (en) Photoresist composition for deep ultraviolet light patterning method and method of manufacturing semiconductor device
US7972766B2 (en) Method for forming fine pattern of semiconductor device
US20210200091A1 (en) Underlayer composition and method of manufacturing a semiconductor device
US11295961B2 (en) Method of manufacturing a semiconductor device
US20210364916A1 (en) Photoresist composition and method of forming photoresist pattern
US20230393467A1 (en) Resin, photoresist composition, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant