KR101938905B1 - 리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법 - Google Patents

리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법 Download PDF

Info

Publication number
KR101938905B1
KR101938905B1 KR1020137028955A KR20137028955A KR101938905B1 KR 101938905 B1 KR101938905 B1 KR 101938905B1 KR 1020137028955 A KR1020137028955 A KR 1020137028955A KR 20137028955 A KR20137028955 A KR 20137028955A KR 101938905 B1 KR101938905 B1 KR 101938905B1
Authority
KR
South Korea
Prior art keywords
region
sensitive material
radiation
layer
exposure
Prior art date
Application number
KR1020137028955A
Other languages
English (en)
Other versions
KR20140031884A (ko
Inventor
마이클 에이 카카시
벤자민 엠 래쓰색
마크 에이치 솜머벨
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140031884A publication Critical patent/KR20140031884A/ko
Application granted granted Critical
Publication of KR101938905B1 publication Critical patent/KR101938905B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

방사선 민감성 재료(102, 202, 302, 402)를 이용하여 기판(101, 202, 301, 401)을 패터닝하기 위한 방법 및 시스템이 기재되어 있다. 이 방법(500) 및 시스템은, 기판(101, 202, 301, 401) 상에 방사선 민감성 재료(102, 202, 302, 402)의 층을 형성하고, 방사선 민감성 재료(102, 202, 302, 402)의 층을 방사선(107, 207, 307, 407)의 패턴에 노광시키며, 이 노광 이후에 노광후 베이킹을 수행하는 것을 포함한다. 그 후, 고 방사선 노광량을 갖는 영역(105, 205, 312, 412) 또는 저 방사선 노광량을 갖는 영역(106, 206, 313, 413) 중 어느 하나를 제거하여 방사선 민감성 재료 라인을 형성하도록, 결상된 방사선성 민감성 재료의 층(101, 201, 301, 401)이 현상된다. 그 후에, 방사선 민감성 재료 라인 내의 노광 구배를 제거하고, 뒤이어 방사선 민감성 재료 라인을 슬림화한다.

Description

리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법{METHOD OF SLIMMING RADIATION-SENSITIVE MATERIAL LINES IN LITHOGRAPHIC APPLICATIONS}
관련 출원에 대한 상호 참조
37 C.F.R. § 1.78(a)에 따라서, 본 출원은 2010년 3월 31일자로 출원되어 계류중인 가특허 출원 제12/751,362호의 CIP(continuation-in-part)출원이며, 이는 여기에 참고로 명확하게 통합되어 있다.
본 발명은 기판 패터닝 방법에 관한 것이다. 특히, 본 발명은 방사선 민감성 재료의 라인 치수를 줄이는(슬림화하는) 방법에 관한 것이다.
반도체 디바이스의 제조에 있어서 비용 및 성능면에서의 경쟁력을 유지시킬 필요성으로 인해, 집적 회로의 디바이스 밀도가 계속해서 증대되고 있다. 반도체 집적 회로에서의 고집적화 및 소형화를 달성하기 위하여, 반도체 웨이퍼 상에 형성되는 회로 패턴의 소형화도 또한 달성되어야 한다.
설계 규칙은 디바이스 또는 라인이 어떤 원하지 않은 방식으로 상호 작용하지 않는 것을 보장하기 위하여, 디바이스 또는 접속 라인 사이의 공간 허용 오차를 규정하고 있다. 반도체 디바이스의 전체 사이즈 및 밀도를 결정하는 경향이 있는 하나의 중요한 레이아웃 설계 규칙이 한계 치수(CD)이다. 회로의 한계 치수는 라인의 최소 폭 또는 2 라인 사이의 최소 공간으로서 규정된다. 또 다른 한계 설계 규칙은 주어진 피처(feature)의 최소 폭과 인접하는 피처 가장자리까지의 거리의 합으로 규정되는 최소 피치이다.
포토리소그래피는 마스크 상의 기하학적 형상 및 패턴을 반도체 웨이퍼의 표면에 전사함으로써 반도체 웨이퍼를 제조하는데 이용되는 표준 기술이다. 기본적인 포토리소그래피 프로세서는 포토레지스트 층과 같은 방사선 민감성 재료의 층에 패턴화된 광원을 투사하는 단계를 포함하고, 이 단계 이후에는 현상 단계가 이어진다.
한계 치수 및 피치가 작은 세밀한 패턴을 형성하는 데에는, 선명하게 결상된 광 패턴을 투사하는 것이 요구된다. 그러나 작은 피처의 선명한 상을 반도체 웨이퍼에 투사하는 기능은 사용되는 광의 파장에 의해 제한되고, 회절을 충분히 캡처하는 축소 렌즈 시스템의 기능에는, 조사된 마스크를 보고 오더가 내려진다. 현재 당업계의 포토리소그래피 툴은 약 50nm에 이르는 최소 피처 사이즈를 허용하는, 248 nm 또는 193 nm의 파장을 가진 극자외(DUV)광을 이용한다.
투사 시스템이 프린트할 수 있는 최소 피처 크기는 대략 이하의 식에 의해 주어진다:
CD=k1ㆍλ/NA
반도체 웨이퍼 보았을 때, 상기 식에서 CD는 최소 피처 크기 또는 한계 치수이고; k1은 프로세스 관련 인자를 요약한 계수로서 생산의 경우 통상 0.4이며; λ는 사용하는 광의 파장이고; NA는 렌즈의 개구수이다. 이 식에 따르면, 집속 빔을 치밀화하고 스폿 크기를 소형화하기 위해 파장을 감소시키거나 및/또는 개구수를 증가시킴으로써, 최소 피처 크기가 줄어들 수 있다.
포토리소그래피 프로세스는, 웨이퍼 상의 방사선 민감성 재료의 층을 마스크를 통하여 조사하여 마스크 상의 패턴을 웨이퍼에 전사하는 노광 툴을 이용한다. 패턴 레이아웃의 한계 치수가 리소그래피 장비의 분해능 한계에 근접함에 따라, 마스크 상의 피처가 방사선 민감성 재료의 층에 전사되는 방식에, 광학적 근접 효과(OPE)가 영향을 미치기 시작하여, 마스크와 실제 레이아웃 패턴이 상이해지기 시작한다. 광학적 근접 효과는 투사 시스템에서의 광회절에 기인하는 것으로 알려져 있다. 이 광회절로 인하여, 인접하는 피처는 패턴 종속 변동을 초래하는 식으로 상호 작용하게 되며, 피처가 서로 가까워질수록, 근접 효과가 더 크게 나타난다. 따라서, 라인 패턴을 상호 근접 배치하는 성능은, 광학적 파라미터의 한계를 잠식한다.
그러므로, 이상의 설명에 따르면, 반도체 웨이퍼 상에 형성된 회로 패턴을 지속적으로 소형화하기 위해서는, 새롭고 신규한 반도체 디바이스 패터닝 방법이 필요하다. 한 가지 비광학적 기법으로는, 결상 및 제1 현상을 마친 후에, 방사선 민감성 재료의 라인 폭을 좁히는 것이 있다. 라인 폭을 좁히는 것은, "슬림화" 또는 "수축"으로도 알려져 있으며, 이들 용어는 본원에서 같은 의미로 사용되고 있다.
앞서 논의한 바와 같이, 반도체 웨이퍼의 패터닝에는 일반적으로, 웨이퍼(기판)의 표면을 포토레지스트 등의 방사선 민감성 재료의 박막 또는 층으로 피복하는 단계와, 이후에 마스크를 통해 방사선원으로부터 방사선을 투사함으로써 상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 단계가 수반된다. 그 후, 현상 처리를 행하여, 방사선 민감성 재료의 여러 영역을 제거한다. 제거되는 특정 영역은, 재료의 톤과 현상 케미스트리(chemistry)에 따라 정해진다. 예를 들어, 포지티브톤의 포토레지스트의 경우, 조사된 영역은 제1 현상 케미스트리를 이용하여 제거될 수 있고, 조사되지 않은 영역은 제2 현상 케미스트리를 이용하여 제거될 수 있다. 반대로, 네거티브톤의 포토레지스트의 경우, 조사되지 않은 영역은 제3 현상 케미스트리를 이용하여 제거될 수 있고, 조사된 영역은 제4 현상 케미스트리를 이용하여 제거될 수 있다. 이렇게 포토레지스트가 제거되는 영역에서는, 밑에 있는 웨이퍼 표면이, 그 표면에 까지 에칭될 준비가 되어 있즌 패턴으로 노광된다.
포지티브톤의 패턴 현상의 예로서, 통상의 리소그래픽 패터닝 기술이 도 1a 및 도 1b에 도시되어 있다. 네가티브톤의 패턴 현상의 일례로서, 통상의 리소그래픽 패터닝 기술이 도 1a 및 도 1c에 도시되어 있다. 도 1a에 도시된 바와 같이, 방사선 민감성 재료의 층(102)이 기판(101) 상에 형성되어 있다. 방사선 민감성 재료의 층(102)은 마스크(103)를 통해 전자기(EM) 방사선(107)에 노광된다. 레티클 또는 마스크(103)는, 도 1a에 도시된 바와 같이, 패턴을 형성하는 투명 영역(104) 및 불투명 영역(108)을 포함하고, 불투명 영역(108) 사이에 거리(또는 피치)(109)가 정해져 있다. 투명 영역(104)은 EM 방사선(107)을 방사선 민감성 재료의 층(102)까지 투과시키고, 불투명 영역(108)은 EM 방사선(107)이 방사선 민감성 재료의 층(102)까지 투과되는 것을 방지한다. 그 결과, 방사선 민감성 재료의 층(102)은, EM 방사선(107)에 노광된 노광 영역(105)과, EM 방사선(107)에 노광되지 않은 비노광 영역(106)을 갖는다. 도 1a에 도시된 바와 같이, 불투명 영역(108)을 방사선 민감성 재료의 층(102)에 결상하여, 대응 방사선 민감성 재료의 피처를 비노광 영역(106)에 맞춰 생성한다.
도 1b에 도시된 바와 같이, 적절한 케미스트리를 이용하여 포지티브 톤의 현상 처리에 의해 도 1a의 방사선 민감성 재료의 층(102)에서 노광 영역(105)을 제거한 후에는, 비노광 영역(106)이 기판(101) 상에 남아, 마스크(103)로부터 전사된 패턴을 형성한다. 도 1c에 도시된 바와 같이, 적절한 케미스트리를 이용하여 네가티브 톤의 현상 처리에 의해 도 1a의 방사선 민감성 재료의 층(102)에서 비노광 영역(106)을 제거한 후에는, 노광 영역(105)이 기판(101) 상에 남아, 도 1b에 도시된 것과 상호 보완적인 패턴을 형성한다. 노광 영역(105)의 제거 이후에 또는 대안적으로는, 비노광 영역(106)의 제거 이후에 남아 있는 영역은 방사선 민감성 재료 라인으로 지칭된다.
도 1a 및 도 1b에 도시된 바와 같이, 불투명 영역(108)을 방사선 민감성 재료의 층(102)에 결상하여, 대응하는 방사선 민감성 재료의 피처[즉, 비노광 영역(106)]를 생성한다. 도 1a 및 도 1b에 도시된 바와 같이, 비노광 영역(106) 사이의 피치(110)는, 마스크(103)의 불투명 영역(108) 사이의 피치(109)에 의해 정해진다. 이 예에서, 패터닝된 피처의 피치(110)는 방사선 민감성 재료 라인의 한계 치수(111)의 폭의 대략 2배이다. 따라서, 한계 치수(111)는 마스크(103)의 불투명 영역 사이의 거리와 현상 처리에 의해 정해진다. 방사선 민감성 재료 라인의 한계 치수(111)를 더 줄이기 위해서는, 다음에 논의되는 바와 같이, 추가적인 처리가 요구된다.
도 1a 및 도 1c에 도시된 바와 같이, 투명 영역(104)은 방사선 민감성 재료의 층(102) 위에 결상되어 대응하는 방사선 민감성 재료 피처(즉, 노광 영역(105))를 생성한다. 도 1a 및 도 1c에 도시된 바와 같이, 노광 영역(105) 사이의 피치(112)는 마스크(103)의 투명 영역(104) 사이의 피치(109)에 의해 결정된다. 이 일례에서, 패턴화된 피처의 피치(112)는 방사선 민감성 재료 라인의 한계 치수(113)의 폭의 대략 2배이다. 이에 따라, 한계 치수(113)는 마스크(103)의 투명 영역 사이의 거리와 현상 처리에 의해 결정된다. 방사선 민감성 재료 라인의 한계 치수(113)를 더 줄이기 위해서는, 다음에 논의되는 바와 같이, 추가적이 처리가 요구된다.
방사선 민감성 재료 라인의 폭을 줄이기 위한 한 가지 통상적인 방법은, 포지티브톤의 현상이 공칭 온도에서 행해진 후, 방사선 민감성 재료의 비노광 영역(106)을 플라즈마 기반 에칭하는 것을 포함한다. 플라즈마 기반 에칭은, 공정 안정성 및 높은 프론트엔드 비용 등의 여러가지 문제로 인해 곤란하다. 다른 슬림화 또는 수축 방법으로는, 예컨대 높은 온도에서 포지티브톤 현상 타입의 케미스트리를 이용하여 비노광 영역(106)을 처리하는 것과 같은 습식 방법을 포함한다. 그러나, 습식 현상 방법은 이하에 더 논의되는 바와 같이, 포토리소그래피 이미지에서의 변동에 의해 야기되거나 혹은 악화되는 이방성 슬림화로 인하여 곤란할 수 있다.
포토리소그래피 이미지의 더 상세한 사항은 도 2에 제공되어 있다. 방사선 민감성 재료의 층(202)이 기판(201) 상에 형성된다. 방사선 민감성 재료의 층(202)은 마스크(203)를 통해 EM 방사선(207)에 노광된다. 마스크(203)는, 도 2에 도시된 바와 같이, 패턴을 형성하는 투명 영역(204) 및 불투명 영역(208)을 포함한다. 불투명 영역(208) 사이의 거리(또는 피치)(209)가 도 2에 도시되어 있다. 투명 영역(204)은 EM 방사선(207)을 포지티브톤의 방사선 민감성 재료의 층(202)까지 투과시키고, 불투명 영역(208)은 EM 방사선(207)이 방사선 민감성 재료의 층(202)까지 투과되는 것을 방지한다.
단 두 가지 타입의, 즉 노광 및 비노광의 이미지 패턴을 생성하는 것이 바람직하지만, 도 2는 방사선 민감성 재료의 층(202)에 있어서 EM 방사선(207)에 대한 노광의 레벨이 서로 다른 세 가지 영역을 나타낸다. 노광 영역(205)과 비노광 영역(206)은 부분 노광 영역(214)에 의해 분리되어 있으며, 이 부분 노광 영역(214)의 폭을 가로질러 노광 구배가 연장된다. 이 노광 구배는 방사선 민감성 재료의 두께, 초점 심도 및 근접 효과 등의 여러가지 인자에 의해 영향을 받을 수 있다. 따라서, 이러한 노광 변동 또는 구배에는 이방성 슬림화가 수반되며, 이는 방사선 민감성 재료 라인에서 약점을 생성할 수 있다.
이러한 견지에서, 종래 기술의 문제를 극복하는 새로운 방사선 민감성 재료 라인의 슬림화 방법이 요구되고 있다.
본 발명의 실시형태는 기판 패터닝 방법을 제공하며, 여기서 방사선 민감성 재료의 라인의 치수는 감소된다. 이 방법은, 기판 상에 방사선 민감성 재료의 층을 형성하는 단계와, 상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 노광 단계로서, 상기 패턴은, 고 방사선 노광량을 갖는 제1 영역과, 저 방사선 노광량을 갖는 제2 영역과, 대략 상기 고 방사선 노광량으로부터 대략 상기 저 방사선 노광량까지의 범위의 노광 구배를 갖는 제3 영역을 포함하는 것인 노광 단계를 포함한다. 이 방법은, 상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 단계에 후속하여 노광후 베이킹을 수행하는 단계와, 상기 기판으로부터 상기 제1 영역을 제거하여 방사선 민감성 재료의 현상층을 제공하도록, 상기 방사선 민감성 재료의 층을 제1 유기 용제계 조성에 접촉시킴으로써 포지티브톤의 현상을 수행하는 단계와, 상기 제2 영역과 상기 제3 영역을, 실질적으로 균일한 레벨의 방사선 노광량, 극성, 또는 탈보호량(de-protection), 또는 이들의 조합을 갖는 제4 영역으로 변형시킴으로써, 상기 제3 영역의 상기 노광 구배를 제거하는 단계와, 상기 제4 영역을 슬림화하는 단계를 더 포함한다.
본 발명의 다른 실시형태에 따르면, 이 방법은 기판 상에 방사선 민감성 재료의 층을 형성하는 단계와, 상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 노광 단계로서, 상기 패턴은, 고 방사선 노광량을 갖는 제1 영역과, 저 방사선 노광량을 갖는 제2 영역과, 대략 상기 고 방사선 노광량으로부터 대략 상기 저 방사선 노광량까지의 범위의 노광 구배를 갖는 제3 영역을 포함하는 것인 노광 단계를 포함한다. 이 방법은 상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 단계에 후속하여 노광후 베이킹을 수행하는 단계와, 상기 기판으로부터 상기 제2 영역을 제거하도록, 상기 방사선 민감성 재료의 층에 네가티브톤의 현상을 수행하는 단계와, 상기 제3 영역과, 선택적으로 상기 제1 영역을, 실질적으로 균일한 레벨의 방사선 노광량, 극성 또는 탈보호량 또는 이들의 조합을 가지며 상기 제1 및 제3 영역으로부터 형성된 제4 영역으로 변형시킴으로써 상기 제3 영역의 상기 노광 구배를 제거하는 단계와, 상기 제4 영역을 슬림화하는 단계를 더 포함한다.
이 명세서의 일부분에 통합되고 이 일부분을 구성하는 첨부 도면은, 위에서 제공된 본 발명의 일반적인 설명과 함께, 본 발명의 실시형태를 나타내며, 이하에 주어진 상세한 설명은 본 발명을 설명하도록 기능한다. 첨부 도면에 있어서,
도 1a 내지 도 1c는 종래 기술에 따른 방사선 민감성 재료를 이용하는 포지티브폰 및 네가티브톤 리소그래픽 패터닝 기술을 나타내는 도면이다.
도 2는 도 1a의 노광된 방사선 민감성 재료의 리소그래픽 패턴을 보다 상세히 나타내는 도면이다.
도 3은 기판 패터닝 방법을 나타내는 도면이다.
도 4a 내지 도 4e는 기판 패터닝의 상호 보완적인 방법을 나타내는 도면이다.
도 5는 본 발명의 일 실시형태에 따른 기판 패터닝 방법을 나타내는 도면이다.
도 6은 본 발명의 다른 실시형태에 따른 기판 패터닝 방법을 나타내는 도면이다.
도 7은 본 발명의 다른 실시형태에 따른 기판 패터닝 방법을 나타내는 도면이다.
도 8은 본 발명의 또 다른 실시형태에 따른 기판 패터닝 방법을 나타내는 도면이다.
여러가지 실시형태들에서는 기판을 패터닝하기 위한 방법 및 시스템을 개시한다. 그러나, 당업자는 여러가지 실시형태들이 하나 이상의 특정 세부사항 없이 또는 다른 대체물 및/또는 부가적인 방법, 재료 또는 구성요소를 이용하여 실행될 수 있다는 것을 인식할 것이다. 다른 일례에서, 공지된 구조, 재료 또는 동작은 본 발명의 여러가지 실시형태의 양태를 모호하게 하는 것을 피하기 위하여 상세하게 나타내어지거나 또는 설명되지 않는다.
이와 유사하게, 설명을 위하여, 특정 숫자, 재료 및 구성은 본 발명을 완전히 이해하기 위하여 개시된다. 그럼에도 불구하고, 본 발명은 특정 세부사항 없이 실행될 수도 있다. 또한, 도면에 나타낸 여러가지 실시형태들은 예시적인 표현이고 반드시 동일한 축척으로 그려질 필요가 없음을 이해해야 한다.
이 명세서 전반에 걸쳐서 "일 실시형태" 또는 "실시형태" 또는 그 변형예에 대한 참조는, 실시형태와 관련하여 기술된 특정한 피처, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함되지만, 이들이 모든 실시형태에 존재한다는 것을 나타내지는 않는다는 것을 의미한다. 이에 따라, 이 명세서 전반에 걸쳐서 여러가지 개소에서의 "일 실시형태에 있어서" 또는 "실시형태에 있어서"와 같은 구절의 출연은, 반드시 본 발명의 동일한 실시형태를 참조하지는 않는다. 또한, 특정한 피처, 구조, 재료 또는 특성은 하나 이상의 실시형태에서 임의의 적절한 방식으로 결합될 수도 있다. 여러가지 부가적인 층들 및/또는 구조들이 포함될 수 있거나 및/또는 설명된 특징이 다른 실시형태들에서 생략될 수도 있다.
부가적으로, "a" 또는 "an"은 달리 명백하게 서술되지 않는 한 "하나 이상"을 의미할 수도 있다.
여러가지 동작은 본 발명을 이해하는데 있어서 가장 도움이 되는 방식으로, 복수의 개별 동작으로서 차례로 설명될 수 있다. 그러나, 설명의 순서는 이러한 동작들이 반드시 순서 의존적이라고 암시하도록 구성되어서는 안된다. 특히, 이러한 동작은 제출의 순서로 수행될 필요가 없다. 설명된 동작은 설명된 실시형태와는 다른 순서로 수행될 수도 있다. 여러가지 부가적인 동작들이 수행될 수 있거나 및/또는 설명된 동작들이 부가적인 실시형태에서 생략될 수도 있다.
주어진 리소그래픽 툴 및 마스크에 대하여 기판 위에 전사될 수 있는 패턴의 한계 치수를 감소시키기 위한 방법들을 포함한, 기판을 패터닝하기 위한 방법들을 이하에서 설명한다. 복수의 화학적 처리는 방사선 민감성 재료 라인의 한계 치수에 있어서 등방적 감소를 달성하는데 이용된다.
도 3을 참조하여, 본 발명의 실시형태에 따르면, 기판(301) 상에 방사선 민감성 재료의 층을 형성한다. 기판(301)은 반도체 예를 들어, 단결정 실리콘, 게르마늄, 및 임의의 다른 반도체를 구비할 수도 있다. 대안적인 실시형태에서, 기판(301)은 집적 회로, 수동형 마이크로 전자 소자(예컨대, 커패시터, 인덕터) 및 능동형 마이크로 전자 소자(예컨대, 트랜지스터, 광검출기, 레이저, 다이오드)를 제조하는데 이용되는 어떤 재료를 구비할 수도 있다. 기판(301)은, 이들 전자 소자의 상부에 형성되는 도전층 또는 도전층들로부터 이러한 능동형 및 수동형 마이크로 전자 소자를 분리하는 절연 재료를 포함할 수도 있다. 일 실시형태에서, 기판(301)은 예를 들어 이산화 실리콘, 질화 실리콘, 사파이어 및 다른 절연 재료와 같은 하나 이상의 절연층을 포함하는 p형 단결정 실리콘 기판을 구비한다.
전술한 바와 같이, 기판(301)은 베이스층과 방사선 민감성 재료의 층(302)의 사이에 배치된 하나 이상의 박막 또는 층을 갖는 필름 적층체를 포함할 수도 있다. 기판(301)에서의 각 박막은 도전성 층, 비도전성 층, 또는 반도전성 층을 포함할 수도 있다. 예를 들어, 박막은 금속, 금속 산화물, 금속 질화물, 금속 산질화물, 금속 실리케이트, 금속 실리사이드, 실리콘, 다결정 실리콘(폴리실리콘), 도핑 실리콘, 이산화규소, 질화규소, 탄화규소, 산질화규소 등을 포함하는 재료층을 포함할 수도 있다. 추가적으로, 예를 들어, 박막은, 약 4인 SiO2의 유전상수(예컨대, 열 이산화규소에 대한 유전상수는 3.8 내지 3.9의 범위를 가짐)보다 낮은 공칭 유전상수 값을 갖는 저 유전상수(즉, low-k) 또는 초저 유전상수(즉, ultra-low-k) 유전체층을 포함할 수도 있다. 보다 구체적으로, 박막은 3.7 미만의 유전상수, 또는 1.6 내지 3.7의 범위의 유전상수를 가질 수 있다. 본 발명의 실시형태에 따르면, 방사선 민감성 재료의 층(302)은, 예를 들어 248 ㎚의 방사선 민감성 재료, 193 ㎚의 방사선 민감성 재료, 157 ㎚의 방사선 민감성 재료, 또는 극자외 방사선 민감성 재료, 또는 이들 중 2 이상의 조합을 포함할 수도 있다. 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 폴리(히드록시스티렌)계 레지스트 또는 (메트)아크릴레이트계 레지스트를 포함한다. 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 피나콜계 레지스트를 포함한다. 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은, 적절한 파장의 방사선에 대한 노광을 수행할 때에, 그리고 이어서 노광 후에 제1 노광후 베이킹을 수행할 때에의 극성의 변화로 인하여 용해도를 바꾸는 물질을 포함한다. 예를 들어, 재료는 적절한 파장의 방사선에 대한 노광을 수행할 때에, 그리고 이어서 노광 후에 제1 노광후 베이킹, 방사선에 대한 노광에 이어서 상기 열분해 베이킹, 또는 상기 산세정 및 상기 산세정후 베이킹을 수행할 때에 극성을 감소시킬 수도 있다. 재료의 극성은 물과 상호 작용하는 재료의 능력, 즉 그 친수성 또는 그 소수성을 결정한다. 즉, 재료의 극성을 감소시키는 것은 재료의 소수성을 증가시키고 재료의 친수성을 줄이는 것이다.
다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 적절한 파장을 갖는 방사선에 노광을 수행하는 경우에 친수성 폴리머의 더 많은 소수성 폴리머로의 산 촉매(acid-catalyzed) 재배열을 제공하는 재료를 구비하고, 그 후 노광에 이어서 제1 노광후 베이킹; 열분해 베이킹; 및/또는 산세정 및 산세정후 노광을 수행한다. 다른 실시형태에 따르면, 방사선 민감성 재료(302)의 층은 적절한 파장을 갖는 방사선에 대한 노광을 수행하는 경우에 산 촉매 탈보호를 제공하는 재료를 구비하고, 그 후 노광에 이어서 제1 노광후 베이킹; 열분해 베이킹; 및/또는 산세정 및 산세정후 베이킹을 수행한다.
또 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 광산 발생제, 열산 발생제, 및/또는 이들의 조합 등의 산 발생제를 포함한다. 본 명세서에 언급되어 있는 "산 발생제"는 "하나 이상의 산 발생제"와 같은 의미를 나타내는 것으로 이해되어야 한다. 또 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 보호 폴리머의 열분해 온도 이상의 온도로 가열했을 때에 탈보호되는 보호 폴리머를 포함한다. 또 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 산세정 처리를 수행한 이후에, 보호 폴리머의 열분해 온도 이상의 온도로 가열했을 때에 탈보호되는 보호 폴리머를 포함한다. 또 다른 실시형태에 따르면, 방사선 민감성 재료의 층(302)은 상기 방사선 민감성 재료의 층의 노광 및 그 노광에 이어서 노광후 베이킹; 방사선 민감성 재료의 층의 산세정 및 산세정후 베이킹; 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 상기 방사선 민감성 재료의 층을 가열, 또는 이들 중 2 이상의 임의의 조합을 수행할 때 더 많은 소수성 폴리머로의 산 촉매 재배열을 겪는 피나콜 모이어티를 갖는 친수성 폴리머를 포함한다.
방사선 민감성 재료의 층(302)은 트랙 시스템을 이용하여 형성될 수 있다. 예를 들어, 트랙 시스템은 도쿄 엘렉트론 가부시키가이샤(TEL)에서 시판한 Clean Track ACT 8, ACT 12, 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 방사선 민감성 재료의 층을 형성하기 위한 다른 시스템 및 방법은 스핀 온 레지스트 기술 분야의 당업자에게 잘 알려져 있다.
방사선 민감성 재료의 층(302)을 기판(301)에 도포한 후, 방사선 민감성 재료의 층을 도포후 베이킹(PAB)에서 열처리할 수 있다. 예를 들어, 약 30초 내지 약 180초의 기간 동안, 기판의 온도를 약 50℃ 내지 약 200℃로 상승시킬 수 있다. 기판 도포후 가열 및 냉각 장비를 구비한 트랙 시스템, 예를 들어 전술한 트랙 시스템 중 하나가, PAB를 수행하는 데 사용될 수 있다. 기판 상의 노광된 방사선 민감성 재료의 막을 열처리하기 위한 다른 시스템 및 방법은, 스핀 온 레지스트 기술 분야의 당업자에게 잘 알려져 있다.
도 3에 도시된 바와 같이, 방사선 민감성 재료의 층(302)은 마스크(303)를 통해 방사선(307)에 노광된다. 마스크(303)는 방사선(307)이 방사선 민감성 재료의 층(302)까지 투과되는 것을 방지하는 불투명 영역(310)과, 방사선(307)을 방사선 민감성 재료의 층(302)까지 투과시키는 투명 영역(304)을 포함한다. 마스크(303)는 약 365 ㎚ 내지 약 13 ㎚ 범위의 파장을 수반하는 습식(예컨대, 침지) 또는 건식의 리소그래피에 사용하기에 적합한 임의의 마스크를 포함할 수도 있다. 마스크(303)는 바이너리 마스크 또는 유리 마스크 상의 크롬을 포함할 수 있다. 대안적으로, 마스크(303)는 교대 위상 시프트 마스크 또는 내장 위상 시프트 마스크를 포함할 수 있다.
방사선 민감성 재료의 층(302)을 EM 방사선의 패턴에 대해 노광하는 것은, 건식 또는 습식의 포토-리소그래피 시스템에서 수행될 수 있다. 이 리소그래피 시스템은 예를 들어 365 ㎚, 248 ㎚, 193 ㎚, 157 ㎚ 및 13 ㎚의 파장의 EM 방사선의 패턴을 제공할 수도 있다. 이미지 패턴은 임의의 적절한 종래의 스테핑 리소그래픽 시스템 또는 스캐닝 리소그래픽 시스템을 이용하여 형성될 수 있다. 예를 들어, 포토-리소그래픽 시스템은 ASML Netherlands B.V.(네덜란드 5504 데에르 벨트호벤 드 런 6501 소재) 또는 Canon USA, Inc., Semiconductor Equipment Division(미국 캘리포니아주 95134 산 호세 노스 퍼스트 스트리트 3300 소재)에서 시판되고 있다. 마스크(303)는, 예를 들어 수직 입사광이나 환형 조명, 4중 조명 및 2중 조명 등의 사입사 조명광으로 조사될 수 있다. 전술한 마스크(303)를 이용하여 방사선 민감성 재료의 층(302)을 조명하고 방사선에 노광하는 방법은, 마이크로전자 디바이스 제조 분야의 당업자에게 공지되어 있다.
노광후 기판 가열 및 냉각 장비를 갖는 전술한 트랙 시스템이 노광후 베이킹(PEB)을 수행하는 데 사용될 수 있다. 기판 상의 노광된 방사선 민감성 재료의 층을 열처리하기 위한 다른 시스템 및 방법은, 스핀 온 레지스트 기술 분야의 당업자에게 잘 알려져 있다.
도 3을 더 참조해 보면, 리소그래피 시스템을 이용하여 방사선(307)을 마스크(303)를 통해 투사한 결과 방사선의 패턴에 의해 방사선 민감성 재료의 층(302)에 생성된 방사선 노광 프로파일(305) 및 응답 프로파일(306)이 나타내어져 있다. 도 3에 도시된 바와 같이, 투명 영역(304)에 대응하는 제1 영역(312)은 방사선(307)으로부터 고 방사선 노광량을 받고, 불투명 영역(310)에 대응하는 제2 영역(313)은 방사선(307)으로부터 저 방사선 노광량을 받으며, 불투명 영역(310)의 양측 가장자리에 대략 대응하는 제3 영역(314)은 방사선(307)으로부터 대략 고 방사선 노광량에서부터 대략 저 방사선 노광량에 이르는 중간 또는 구배 방사선 노광량을 받는다. 방사선 민감성 재료의 층(302)에 있어서 제1 영역(312)에 대응하는 응답 프로파일(306)은 상위 한계값(308)보다 높은 반면에, 제2 영역(313)에 대응하는 응답 프로파일(306)은 하위 한계값(309)보다 낮다. 또한, 제3 영역(314)에 대응하는 응답 프로파일(306)은 하위 한계값(309)과 상위 한계값(308) 사이에 놓여 있다. 또한, 제3 영역(314)에 대응하는 응답 프로파일(306)은 제3 영역(314)의 폭을 가로지르는 노광 구배를 나타낼 수 있다.
일 실시형태에서, 응답 프로파일(306)은 도 3에 도시된 바와 같이 방사선 민감성 재료의 층(302)에서의 산 농도를 나타낼 수 있으며, 이는 방사선 노광 프로파일(305)에 비례하는 것이다. 방사선 민감성 재료의 층(302)에 존재하는 산은, 보호 폴리머의 산 촉매 탈보호 및/또는 친수성 폴리머를 많은 소수성 폴리머에의 재배열을 용이하게 할 수도 있다. 이와 같이, 산 농도는 방사선 민감성 재료의 층(302)에 있어서 탈보호된 및/또는 재배열된 폴리머의 화학적 농도에 비례할 수 있다. 이에 따라, 다른 실시형태에서, 응답 프로파일(306)은 방사선 민감성 재료의 층(302)에 있어서 탈보호 및/또는 재배열된 폴리머의 화학적 농도를 나타낼 수도 있고, 이는 방사선 노광 프로파일(305)에 대략 비례하는 것이다.
일 실시형태에서, 상위 한계값(308)은 제1 현상 케미스트리가 적용되는 경우에, 방사선 민감성 재료의 층(302)의 용해도의 제1 한계값에 대응한다. 일 실시형태에서, 하위 한계값(309)은 제2 현상 케미스트리가 적용되는 경우에, 방사선 민감성 재료의 층(302)의 용해도의 제2 한계값에 대응한다.
일 실시형태에서, 마스크(303)의 투명 영역(304)에 대응하며 방사선 노광 프로파일(305)에 있어서 고 방사선 노광량을 갖는 방사선 민감성 재료의 층(302)의 제1 영역(312)은, 제1 현상 케미스트리를 이용하여 기판(301)으로부터 선택적으로 제거된다. 방사선 노광 프로파일(305)에 있어서 저 방사선 노광량을 갖는 방사선 민감성 재료의 층(302)의 제2 영역(313)은, 제1 현상 케미스트리에 대한 노출에는 선택적으로 영향을 받지 않거나 또는 최소한도로 영향을 받을 수 있다. 불투명 영역(310)의 양측 가장자리에 대략 대응하며 방사선 노광 프로파일(305)에 있어서 중간 노광량[즉, 상위 한계값(308)과 하위 한계값(309) 사이의 방사선 노광량]을 갖는 제3 영역(314)은 기판(301) 상에 잔류할 수 있지만, 노광, 보호 및/또는 재배열의 상대적 레벨에 비례하는 제1 현상 케미스트리에 대한 저항의 선택도를 보일 수 있다.
이와 반대로, 방사선 노광 프로파일(305)에 있어서 저 방사선 노광량을 갖는 방사선 민감성 재료의 층(302)의 제2 영역(313)은, 제2 현상 케미스트리에 대한 노출에 의해 선택적으로 제거될 수 있다. 투명 영역(304)에 대응하며 방사선 노광 프로파일(305)에 있어서 고 방사선 노광량을 갖는 방사선 민감성 재료의 층(302)의 제1 영역(312)은, 제2 현상 케미스트리에 대한 노출에는 선택적으로 영향을 받지 않거나 또는 최소한도로 영향을 받을 수 있다. 불투명 영역(310)의 양측 가장자리에 대략 대응하며 방사선 노광 프로파일(305)에 있어서 중간 노광량[즉, 상위 한계값(308)과 하위 한계값(309) 사이의 방사선 노광량]을 갖는 제3 영역(314)은 기판(301) 상에 잔류할 수 있지만, 노광, 보호 및/또는 재배열의 상대적 레벨에 비례하는 제2 현상 케미스트리에 대한 저항을 보인다.
일 실시형태에서, 제1 영역(312)에 대해, 응답 프로파일(306)은 방사선 민감성 재료의 층(302)에 있어서 산 농도의 상위 한계값(308)보다 높은 산 농도를 포함한다. 일 실시형태에서, 상위 한계값(308)은 방사선 민감성 재료의 층(302)에 있어서 산 레벨 용해도 한계값을 나타낸다. 예를 들어, 방사선 민감성 재료의 층(302)에서의 산 농도가 산 농도의 상위 한계값(308)보다 높으면, 제1 현상 케미스트리에 있어서, 방사선 민감성 재료의 층(302)은 용해될 수 있다.
일 실시형태에서, 제2 영역(313)에 대해, 응답 프로파일(306)은 방사선 민감성 재료의 층(302)에 있어서 산 농도의 하위 한계값(309)보다 낮은 산 농도를 포함한다. 일 실시형태에서, 하위 한계값(309)은 방사선 민감성 재료의 층(302)에 있어서 또 다른 산 레벨 용해도 한계값을 나타낸다. 예를 들어, 방사선 민감성 재료의 층(302)에서의 산 농도가 산 농도의 하위 한계값(309)보다 낮으면, 제2 현상 케미스트리에 있어서, 방사선 민감성 재료의 층(302)은 용해될 수 있다.
일 실시형태에서, 산 농도의 상위 한계값(308)은 클리어 필드 산 레벨의 약 30% 내지 약 60%의 범위이고, 산 농도의 하위 한계값(309)은 클리어 필드 산 농도의 약 10% 내지 약 25%의 범위이다. 일 실시형태에서, 클리어 필드 산 농도는 방사선에 완전히 노광된 방사선 민감성 재료의 산 레벨로서 규정된다. 다른 실시형태에서, 클리어 필드 산 농도는 실질적으로 모든 산 발생제 재료가 산성 종을 생성하도록 방사선(307)과 반응한 경우나, 또는 실질적으로 모든 산 발생제가 산성 종을 생성하도록 분해된 경우의 산 농도로서 규정된다.
마스크(303)에 의한 방사선(307)의 회절로 인하여, 중간 방사선 노광량에 대응하는 제3 영역(314)이 형성된다. 일 실시형태에서, 제3 영역(314)은 상위 한계값(308)과 하위 한계값(309) 사이의 산 농도를 포함한다. 고 방사선 노광량에 대응하는 제1 영역(312)은 제1 현상 케미스트리를 이용하여 기판(301)으로부터 선택적으로 제거될 수 있다. 저 방사선 노광량에 대응하는 제2 영역(313)은 제2 현상 케미스트리를 이용하여 기판(301)으로부터 선택적으로 제거될 수 있다. 중간 방사선 노광량에 대응하는 제3 영역(314)은 제1 및/또는 제2 현상 케미스트리 동안에 실질적으로 기판(301)에 잔류할 수 있다.
도 3을 다시 참조해 보면, 제1 영역(312)은 제1 한계 치수(320)에 의해 특징지워질 수 있다. 예를 들어, 제1 한계 치수는 포지티브톤의 현상 이후의 포지티브톤 한계 치수와 관련될 수도 있다. 추가적으로, 제2 영역(313)은 제2 한계 치수(322)에 의해 특징지워질 수 있다. 예를 들어, 제2 한계 치수(322)는 네거티브톤의 현상 이후의 네거티브톤 한계 치수와 관련될 수도 있다.
제3 한계 치수(324)는 결상된 방사선 민감성 재료의 포지티브톤 현상과 관련될 수도 있다. 제3 한계 치수(324)는 제2 영역(313)과 인접하는 제3 영역(314)을 포함한다. 제4 한계 치수(326)는 결상된 방사선 민감성 재료의 네거티브톤 현상과 관련될 수도 있다. 제4 한계 치수(326)는 제1 영역(312)과 인접하는 제3 영역(314)을 포함한다.
본원에 사용된 바와 같이, 포지티브톤 현상 케미스트리는, 고 방사선 노광량을 갖는 제1 영역(312)을 선택적으로 제거하는 용제 시스템을 지칭한다. 일 실시형태에 따르면, 포지티브톤 현상 케미스트리는 예를 들어 알칼리, 아민 등의 염기를 포함한다. 일례에 있어서, 제1 영역(312)을 선택적으로 제거하는 포지티브톤 현상 케미스트리는 수산화테트라메틸암모늄(TMAH)을 포함한다. TMAH 용액의 통상적이고 상업적으로 입수가능한 형태는 0.26N 용액이고, 이는 원하는 바에 따라 희석될 수도 있다. 또 다른 일례에서, 제1 영역(312)을 선택적으로 제거하는 포지티브톤 현상 케미스트리는 염기, 물 및 선택적 계면활성제를 포함한다. 다른 실시형태에 따르면, 포지티브톤 현상 케미스트리는 유기 용제계 조성을 포함한다. 일례에 있어서, 포지티브톤 현상 케미스트리는 아니솔, n-부틸 아세테이트, 2-헵타논, 에틸-3-에톡시-프로피오네이트 또는 이들의 조합을 포함하며, 부가적인 유기 화합물, 선택적으로 물 및/또는 선택적 계면활성제를 더 포함할 수도 있다.
본원에 사용된 바와 같이, 네거티브톤 현상 화학 케미스트리는, 저 방사선 노광량을 갖는 제2 영역(313)을 선택적으로 제거하는 용제 시스템을 지칭한다. 일 실시형태에 따르면, 네가티브톤 현상 케미스트리는 유기 용제를 포함할 수도 있다. 일례에서 있어서, 네가티브톤 현상 케미스트리는, 아니솔, n-부틸 아세테이트, 2-헵타논, 에틸-3-에톡시-프로피오네이트, 또는 이들의 조합을 포함하며, 부가적인 유기 화합물, 선택적으로 물, 및 선택적 계면활성제를 더 포함할 수도 있다. 다른 실시형태에 따르면, 네가티브톤 현상 케미스트리는 염기 예컨대, 알칼리, 아민 등을 포함한다. 일례에 있어서, 수산화테트라메틸암모늄(TMAH) 또는 수산화칼륨(KOH)을 포함한다. 다른 일례에서, 제1 영역(312)을 선택적으로 제거하는 포지티브톤 현상 케미스트리는 염기, 물, 및 선택적 계면활성제를 포함한다.
결상된 방사선 민감성 재료를 현상하는 데 이용되는 현상 케미스트리의 특성의 이해를 돕기 위해, 이하의 용어를 정의한다. RMIN은 최소 현상률로서 정의된다. RMAX는 최대 현상률로서 정의된다. 현상률은 편의상 초당 나노미터의 단위로 기재된다. 포지티브톤 현상의 경우, RMIN은 저레벨의 탈보호 및/또는 노광에서 관찰되는 반면에, RMAX는 고레벨의 탈보호 및/또는 노광에서 관찰된다. 이에 반해, 네거티브톤 현상의 경우, RMIN은 고레벨의 탈보호 및/또는 노광에서 관찰되는 반면에, RMAX는 저레벨의 탈보호 및/또는 노광에서 관찰된다.
도 4a 내지 도 4e에는 방사선 민감성 재료를 포함하는 예시적인 실시형태가 도시되어 있다. 필름 적층체(400)는, 방사선에 노광되었을 때 산으로 변환 가능한 산 발생제를 포함하는 방사선 민간성 재료의 층(402)으로 피복된 기판(401)을 포함한다. 방사선(407)은 마스크(403)를 통하여 방사선 민감성 재료의 층(402)에 투사된다. 도 4b에 도시된 바와 같이, 방사선 민감성 재료의 층(402)에서의 제1 영역(412)은 마스크(403)에서의 투명 영역(404)에 대응하고, 방사선(407)으로부터 고 방사선 노광량을 받는다. 방사선 민감성 재료의 층(402)에서의 제2 영역(413)은 마스크(403)에서의 불투명 영역(410)에 대응하고, 방사선(407)으로부터 저 방사선 노광량을 받는다. 방사선 민감성 재료의 층(402)에서의 제3 영역(414)은 마스크(403)에서의 불투명 영역(410)의 가장자리에 대략 대응하고, 방사선(407)으로부터 대략 고 방사선 노광량으로부터 대략 저 방사선 노광량까지의 범위의 중간 방사선 노광량을 받는다.
상기 영역(412-414)의 특징을 더 살펴보면, 일 실시형태에서, 제1 영역(412)은 고 방사선 노광량의 결과로서 산 발생제의 산으로의 변환율이 높고, 제2 영역(413)은 저 방사선 노광량의 결과로서 산 발생제의 산으로의 변환율이 낮으며, 제3 영역(414)은 고 방사선 노광량으로부터 저 방사선 노광량까지의 범위의 중간 방사선 노광량의 결과로서 산 발생제의 산으로의 변환율이 대략 높은 변환율로부터 대략 낮은 변환율에 이르는 노광 구배를 가질 수도 있다.
도 4b를 다시 참조해 보면, 제1 영역(412)은 제1 한계 공간 치수(420)에 의해 특징지워질 수 있다. 예를 들어, 제1 한계 공간 치수(420)는 제1 영역(412)을 제거하기 위하여 제1 케미스트리를 이용한 포지티브톤 현상에 관련되거나 또는, 대안적으로, 슬림화에 이어서 제2 영역(423)을 제거하기 위하여 제2 케미스트리를 이용한 네가티브톤 현상에 관련될 수도 있다. 추가적으로, 제2 영역(413)은 제2 한계 공간 치수(422)에 의해 특징지워질 수 있다. 예를 들어, 제2 한계 공간 치수(422)는 제2 영역(413)을 제거하기 위하여 제2 케미스트리를 이용한 네가티브톤 현상에 관련되거나 또는, 대안적으로, 슬림화에 이어서 제1 영역(412)을 제거하기 위하여 제1 케미스트리를 이용한 포지티브톤 현상에 관련될 수도 있다.
또한, 제3 한계 치수(424)는 포지티브톤 현상 이후에 잔류하는 방사선 민감성 재료의 층(402)과 관련되며, 제4 한계 치수(426)는 네거티브톤 현상 이후에 잔류하는 방사선 민감성 재료의 층(402)과 관련될 수도 있다. 예를 들어 제3 한계 치수(424) 및 제4 한계 치수(426)에 의해 피처가 특징지워지는, 현상 이후에 잔류하는 방사선 민감성 재료의 층(420)을, 통상적으로 방사선 민감성 재료 라인으로 지칭한다. 예를 들어, 방사선 민감성 재료가 포토레지스트인 경우, 제3 한계 치수(424) 및 제4 한계 치수(426)에 의해 규정되는 피처를, 통상적으로 포토레지스트 라인으로 지칭한다.
일 실시형태에서, 고 방사선 노광량에 대응하는 제1 영역(412)은 기판(401)에 입사되는 방사선(407)의 약 50% 이상을 받고, 저 방사선 노광량에 대응하는 제2 영역(413)은 기판(401)에 입사되는 방사선(407)의 약 15% 미만을 받으며, 중간 방사선 노광량에 대응하는 제3 영역(414)은 기판(401)에 입사되는 방사선(407)의 약 15% 내지 약 50%를 받는다.
일 실시형태에서, 방사선(407)에 대한 노광량이 크면, 제1 영역(412)에서의 산의 농도가 산 농도의 상위 한계값보다 높은 레벨까지 증가된다. 산 농도의 상위 한계값은, 현상 케미스트리에 대한 방사선 민감성 재료의 층(402)에서의 용해도의 제1 한계값이다. 일 실시형태에서, 제1 영역(412)에서의 산 농도가 제1 현상 케미스트리에 대한 방사선 민감성 재료의 층(402)에서의 용해도의 제1 한계값(예컨대, 산 농도 한계값)보다 높은 레벨까지 증가하면, 제1 현상 케미스트리가 적용되는 경우에, 제1 영역(412)은 용해 가능하게 되고, 및/또는 제2 현상 케미스트리의 적용시에는, 용해되지 않는다.
다른 실시형태에서, 제1 영역(412)에서의 탈보호된 폴리머의 화학적 농도가 제1 현상 케미스트리에 대한 방사선 민감성 재료의 층(402)에서의 용해도의 제1 한계값(예컨대, 산 농도 한계값)보다 높은 레벨까지 증가하면, 제1 영역(412)은 제1 현상 케미스트리가 적용되는 경우에 용해 가능하게 되고, 및/또는 제2 현상 케미스트리의 적용시에는, 용해되지 않는다.
다른 실시형태에서, 제1 영역(412)에서의 산 촉매 재배열된 폴리머의 화학적 농도가 방사선 민감성 재료의 층(402)에서의 용해도의 한계값보다 높은 레벨까지 증가하면, 제1 영역(412)은 제1 현상 케미스트리에 용해 가능하게 되고, 및/또는 제2 현상 케미스트리에는 용해되지 않는다.
저 방사선 노광량에 대응하는 제2 영역(413)에서는, 산의 농도 및/또는 탈보호되거나 또는 재배열된 폴리머의 화학적 농도가 제1 현상 케미스트리에 대한 방사선 민감성 재료의 층(402)에서의 용해도의 하위 한계값(예컨대, 산 농도 한계값)보다 낮다. 제2 영역(413)은 제2 현상 케미스트리에 용해 가능하게 되고, 및/또는 제1 현상 케미스트리에는 용해되지 않는다.
통상적으로, 제1 용해도 한계값과 제2 용해도 한계값은 방사선 민감성 재료의 층(402)의 재료 특성에 의해 결정된다. 중간 방사선 노광량에 대응하는 제3 영역(414)은, 산의 농도가 대략 제1 용해도 한계값과 제2 용해도 한계값 사이의 범위에 있는 노광 구배를 갖는다. 즉, 제1 현상 케미스트리 또는 제2 현상 케미스트리가 방사선 민감성 재료의 층(402)에 적용될 때, 제3 영역(414)은 쉽게 용해되지 않게 된다.
방사선 민감성 재료의 층(402)을 EM 방사선(407)에 노광한 후, 노광된 방사선 민감성 재료의 층(402)을 제1 노광후 베이킹(PEB)에서 열처리할 수 있다. 예를 들어, 약 30초 내지 약 180초의 기간 동안, 기판의 온도를 약 50℃ 내지 약 200℃로 상승시킬 수 있다. PEB는 트랙 시스템의 모듈에서 수행될 수도 있다.
이제 도 4c를 참조해 보면, 고 방사선 노광량에 대응하는 제1 영역(412)은 제1 현상 케미스트리를 이용하고 방사선 민감성 재료의 층(402)의 포지티브톤 현상을 이용하여 선택적으로 제거될 수도 있다. 일 실시형태에서, 제1 영역(412)을 선택적으로 제거하는 제1 현상 케미스트리는 염기, 예컨대 알칼리, 아민 등을 포함한다. 일 실시형태에서, 제1 영역(412)을 선택적으로 제거하는 제1 현상 케미스트리는 TMAH 또는 KOH를 포함한다. 다른 실시형태에서, 제1 영역(412)을 선택적으로 제거하는 제1 현상 케미스트리는 염기, 물 및 선택적 계면활성제를 포함한다. 또 다른 실시형태에서, 제1 영역(412)을 선택적으로 제거하는 제1 현상 케미스트리는 유기 용제계 조성이다.
일 실시형태에서, 노광된 방사선 민감성 재료의 층(402)을 갖는 기판(401)을, 제1 현상 케미스트리를 함유하는 현상액과 접촉시켜, 제1 현상 케미스트리에서 용해 가능한 제1 영역(412)을 제거한다. 그 후에, 기판(401)을 건조시킨다. 현상 프로세스는 미리 정해놓은 기간(예컨대, 약 30초 내지 약 180초), 미리 정해놓은 온도(예컨대, 상온) 및 미리 정해놓은 압력(예컨대, 대기압)에서 수행될 수도 있다. 현상 프로세스는 트랙 시스템 예컨대, 전술한 트랙 시스템 등의 현상 시스템에서 기판을 현상액에 노출시키는 것을 포함할 수 있다.
도 4c에 도시된 바와 같이, 제1 한계 치수(420')[제1 영역(412)이 제거된 영역에 대응], 제2 한계 치수(422')[제2 영역(413)에 대응], 제3 한계 치수(424')[양측에 제3 영역(414)을 갖는 제2 영역(413)에 대응], 및 제4 한계 치수(426')[양측에 제3 영역(414)을 갖는 제거된 영역에 대응]는 후술하는 바와 같이 조정, 제어, 및/또는 최적화될 수 있다.
도 4c에 예시된 바와 같이, 제2 영역(413)과 제3 영역(414)은 기판(401) 상에 잔류하여 방사선 민감성 재료 라인을 이룬다.
도 4d를 참조해 보면, 방사선 민감성 재료의 층(402)의 제1 현상 케미스트리 처리를 수행한 후에, 노광된 방사선 민감성 재료의 층(402)을 제4 영역(430)을 형성하는 조건하에 둔다. 제3 영역(414)과 제2 영역(413)은 방사선 노광량, 또는 탈보호량, 극성, 또는 이들의 조합이 실질적으로 균일한 레벨로 변환되어, 제4 영역(430)을 형성한다.
일 실시형태에서, 제4 영역(430)은 산 발생제의 산으로의 변환율이 실질적으로 균일하게 높으며, 이후에 제4 영역은 탈보호된 폴리머가 실질적으로 균일한 영역으로 된다. 제3 영역(414)과 제2 영역(413)에 있어서 산 발생제의 산으로의 변환율을 높여 제4 영역(430)을 형성하는 예시적인 방법으로는, 방사선의 전면(全面) 노광, 산세정 처리, 고온에서의 베이킹 수행 및 이들의 조합 등이 있다. 본 발명의 다른 양태에서, 제4 영역(430)은 탈보호된 폴리머가 실질적으로 균일한 영역이다. 제4 영역(430)에서의 탈보호 레벨이 실질적으로 균일하면, 이후의 케미스트리와의 반응성이 균일화될 수 있으며, 즉 실질적으로 등방성 슬림화가 가능해진다. 따라서, 노광 구배의 제거 이후에, 도 4e에 도시된 바와 같이, 제4 영역(430)으로부터 두께 x를 실질적으로 등방적으로 제거하는 것을 통해, 치수 WO[즉, 기존의 한계 치수(424')]를 원하는 또는 타겟 한계 치수 Wf로 슬림화하여, 원하는 제5 영역(432)을 형성할 수 있다.
도 4e를 참조해 보면, 본 발명의 실시형태에 따르면, 보호된 폴리히드록시스티렌계 레지스트와 같은 통상의 화학적으로 증폭된 포지티브 레지스트를 이용하여, 유기 용제계 조성 케미스트리의 조성 조정, 수성 염기 조성 케미스트리의 농도 조정, 뮤트화층을 마련하도록 방사선 민감성 재료의 층의 조성 조정, 유기 용제계 조성 또는 수성 염기 조성 현상 케미스트리의 적용 기간 조정, 현상 케미스트리의 온도 조정, 또는 이들 중 2이상의 조합을 통해, 방사선 민감성 재료 라인, 즉 제4 영역(430)으로부터 두께 x를 실질적으로 등방적으로 제거하여, 한계 치수 Wf를 갖는 슬림화된 방사선 민감성 재료 라인, 즉 제5 영역(432)을 마련하는 것이 달성될 수 있다. 이와 반대로, 피나콜계 레지스트와 같은 다른 화학적으로 증폭된 레지스트를 이용하는 본 발명의 실시형태에 따르면, 낮은 RMAX를 가진 유기 용제의 이용 및/또는 유기 용제계 조성 케미스트리의 조성 조정, 종래의 수성 염기 조성의 이용, 촉진제를 가진 수성 염기 조성의 조성 조정, 현상 케미스트리의 온도 조정, 또는 이들 중 2 이상의 조합을 통해, 제4 영역(430)으로부터 두께 x를 실질적으로 등방적으로 제거하여, 한계 치수 Wf를 갖는 슬림화된 제5 영역(432)을 마련하는 것이 달성될 수 있다.
이제 도 4b 및 도 4f를 참조해 보면, 저 방사선 노광량에 대응하는 제2 영역(413)은 제1 영역(412) 및 제3 영역(414) 위의 제2 영역(413)의 선택적 제거를 위하여 적절한 케미스트리를 가진 방사선 민감성 재료의 층(402)의 네가티브톤 현상 처리를 이용하여 선택적으로 제거될 수 있다. 보호된 폴리하이드록시스티렌계 레지스트와 같은 통상의 화학적으로 증폭된 레지스트를 이용하는 본 발명의 일 실시형태에서는, 제2 영역(413)을 선택적으로 제거하는 제2 현상 케미스트리는 유기 용제계 조성을 포함한다. 피나콜계 레지스트와 같은 다른 화학적으로 증폭된 레지스트를 이용하는 본 발명의 다른 실시형태에 따르면, 제2 영역(413)은 극성 알코올 용제와 같은 적절한 용제를 이용하여 제거될 수도 있다.
도 4f에 도시된 바와 같이, 제1 한계 치수(420")[제1 영역(412)에 대응], 제2 한계 치수(422")[제2 영역(413)이 제거된 영역에 대응], 제3 한계 치수(424")[양측에 제3 영역(414)을 갖는 제거된 영역에 대응], 및 제4 한계 치수(426")[양측에 제3 영역(414)을 갖는 제1 영역(412)에 대응]는 후술하는 바와 같이 조정, 제어, 및/또는 최적화될 수 있다.
도 4f에 예시된 바와 같이, 제1 영역(412)과 제3 영역(414)은 기판(401) 상에 잔류하여 방사선 민감성 재료 라인을 이룬다.
도 4g를 참조해 보면, 방사선 민감성 재료의 층(402)의 제1 현상 케미스트리 처리를 수행한 후에, 노광된 방사선 민감성 재료의 층(402)을 제4 영역(434)을 형성하는 조건하에 둔다. 제3 영역(414)과 선택적으로 제1 영역(412)은 방사선 노광량, 극성 또는 탈보호량, 또는 이들의 조합이 실질적으로 균일한 레벨로 변환되어, 제1 영역(412) 및 제3 영역(414)의 조합으로부터 제4 영역(430)을 형성한다. 변환을 달성하기 위한 적절한 방법들은 전술한 방법들을 포함한다.
제4 영역(430)에서의 탈보호 레벨이 실질적으로 균일하면, 이후의 케미스트리와의 반응성이 균일화될 수 있으며, 즉 실질적으로 등방성 슬림화가 가능해진다. 따라서, 노광 구배의 제거 이후에, 도 4h에 도시된 바와 같이, 제4 영역(434)으로부터 두께 y를 실질적으로 등방적으로 제거하는 것을 통해, 치수 WO[즉, 기존의 한계 치수(426")]를 원하는 또는 타겟 한계 치수 Wf로 슬림화하여, 원하는 제5 영역(436)을 형성할 수 있다.
이제 도 5를 참조해 보면, 본 발명의 일 실시형태에 따른 기판 패터닝 방법의 흐름도(500)가 나타내어져 있다. 흐름도(500)는, 보호 폴리머와 산 발생제를 포함하는 방사선 민감성 재료의 층을 기판 상에 형성하는 단계 510으로 시작된다. 단계 520에서, 기판 패터닝 방법은, 방사선 민감성 재료의 층에 패턴화된 노광을 수행하는 것을 포함한다. 상기 패턴화된 노광 동안에, 방사선 민감성 재료의 층은 제1 영역, 제2 영역 및 제3 영역을 형성하는 마스크 한계 치수(CD)를 갖는 마스크를 이용하여 전자기(EM) 방사선의 패턴에 노광된다. 마스크의 CD는 마스크의 불투명 영역, 마스크의 투명 영역, 마스크 피치 등을 특정하는 임의의 한계 치수를 포함할 수도 있다. 제1 영역은 고 방사선 노광량을 갖는 것으로 특정될 수 있다. 제2 영역은 저 방사선 노광량을 갖는 것으로 특정될 수 있다. 제3 영역은 중간 방사선 노광량을 갖는 것으로 특정될 수 있다.
단계 530에서는, 노광후 베이킹(PEB)이 행해지며, 이 단계에서 기판의 온도는 노광후 온도로 상승된다. PEB는, 노광후 온도, 기판을 노광후 온도로 승온시키는 시간, 노광후 온도를 달성하기 위한 가열률, 노광후 온도를 낮추기 위한 냉각률, 기판을 노광후 온도로 승온시키는 동안에 기판을 둘러싸는 가스 분위기의 압력, 또는 기판을 노광후 온도로 승온시키는 동안에 기판을 둘러싸는 가스 분위기의 조성, 또는 이들 중 2 이상의 조합을 세팅하는 것을 포함할 수도 있다. 노광후 온도는 경사형 또는 계단형으로 이루어질 수 있다.
노광후 베이킹의 완료 후에, 결상된 포토레지스트층을 현상시키기 위한 2가지 옵션이 존재한다. 단계 540에서는, 방사선 민감성 재료의 층의 포지티브톤 현상이 수행되며, 이 단계에서는 제1 현상 케미스트리를 이용하여 제1 영역이 기판으로부터 제거된다. 제1 영역의 제거는 제1 한계 치수에 의해 특징지워질 수 있다. 포지티브톤 현상 프로세스는, 제1 현상 케미스트리의 조성, 제1 현상 케미스트리를 적용하는 기간, 또는 제1 현상 케미스트리를 적용하는 온도, 또는 이들 중 2 이상의 임의의 조합을 세팅하는 것을 포함할 수도 있다. 일 실시형태에 따르면, 제1 현상 케미스트리는 염기 용액과 같은 극성 조성을 포함할 수도 있다. 제1 현상 케미스트리는 염기 용액, 물 및 선택적 계면활성제를 더 포함할 수도 있다. 다른 실시형태에 따르면, 제1 현상 케미스트리는 유기 용제계 조성과 같은 비극성 조성을 포함할 수도 있다. 이후에 남아있는 것은, 저 방사선 조사량을 갖는 제2 영역과, 이 제2 영역의 바로 옆에 위치하며 중간 노광량을 갖는 제3 영역을 포함하는 방사선 민감성 재료의 층이다. 이 제2 영역과 제3 영역의 조합이 방사선 민감성 재료 라인을 형성하는 것이다.
단계 550에서는, 단계 540의 실시형태에 상호 보완적인 실시형태로서, 방사선 민감성 재료의 층의 네가티브톤 현상이 수행되며, 여기서 제2 영역은 제2 현상 케미스트리를 이용하여 기판으로부터 제거된다. 제2 영역의 제거는 제2 한계 치수에 의해 특징지워질 수 있다. 네가티브톤 현상 처리는 제2 현상 케미스트리의 조성, 제2 현상 케미스트리를 적용하는 기간, 또는 제2 현상 케미스트리를 적용하는 온도, 또는 이들 중 2 이상의 임의의 조합을 세팅하는 것을 포함할 수도 있다. 일 실시형태에 따르면, 제2 현상 케미스트리는 유기 용제계 조성과 같은 비극성 조성을 포함할 수도 있다. 다른 실시형태에 따르면, 제2 현상 케미스트리는 알코올 용제와 같은 극성 조성을 포함할 수도 있다. 이후에 남아있는 것은, 고 방사선 조사량을 갖는 제1 영역과, 이 제1 영역의 바로 옆에 위치하며 중간 노광량을 갖는 제3 영역을 포함하는 방사선 민감성 재료의 층이다. 이 제2 영역과 제3 영역의 조합이 방사선 민감성 재료 라인을 형성하는 것이다.
단계 560에서는, 단계 540을 수행한 이후에 제2 및 제3 영역을 포함하거나, 또는 단계 550을 수행한 이후에 제1 및 제3 영역을 포함하는, 산 발생제의 산으로의 변환율을 높이거나, 폴리머의 탈보호율을 높이거나 또는 친수성 폴리머를 더 많은 소수성 폴리머에 산 촉매 재배열시키는 케미스트리 및/또는 조건에 노출된다. 노광 구배는, 전면 노광후 베이킹이 뒤이어 행해지는 전면 노광, 열분해 베이킹, 또는 산세정후 베이킹이 뒤이어 행해지는 산세정 등의 작업을 수행함으로써 제거될 수 있다. 이러한 노광 구배를 제거하는 방법을 통해, 제2 영역 및 제3 영역의 조합, 또는 제1 영역 및 제3 영역의 조합으로부터 유도되는 제4 영역을 생성한다. 후술되는 바와 같이, 이들 방법은 제4 영역이 대략 균일한 탈보호량 및/또는 극성을 가지게 하고, 방사선에 둔감하게 만든다.
단계 570 및 580에 있어서, 제4 영역의 슬림화가 수행되며, 여기서, 방사선 민감성 재료 라인의 치수는 실질적으로 균일한 방식으로 감소된다. 본 발명의 실시형태에 따르면, 이는 이하에 더 논의되는 바와 같이, 여러 가지 방법에 의해 달성될 수 있다.
도 6을 참조하여, 흐름도(600)는 거의 균일한 탈보호 및/또는 극성을 가진 층을 제공하기 위하여 적어도 방사선 민감성 재료 라인의 제3 영역의 노광 구배를 제거하는 방법 즉, 도 5에 도시된 포지티브톤 현상(540) 또는 네가티브폰 현상(550) 이후에 단계 560에서 노광 구배를 제거하는 단계를 수행하는 방법의 대안적인 일례를 제공한다. 단계 610에서, 본 발명의 일 실시형태에 따르면, 방사선 민감성 재료의 층의 전면 노광을 수행할 수도 있다. 전면 노광 동안에, 방사선 민감성 재료의 층은 비패턴화된 방사선에 노광된다. 전면 노광은 마스크 또는 레티클 없이 기판을 전자기(EM) 방사선에 노광시키는 것을 포함할 수도 있다. EM 방사선은 가시 스펙트럼의 파장, 또는 자외 스펙트럼의 파장, 또는 이들의 조합을 포함할 수도 있다. 추가적으로, 전면 노광은 연속 EM 방사선, 펄스 EM 방사선, 다색 EM 방사선, 단색 EM 방사선, 광대역 EM 방사선, 또는 협대역 방사선, 또는 이들의 조합에 기판을 노광시키는 것을 포함할 수도 있다.
예를 들어, 전면 노광은 436 ㎚의 EM 방사선, 365 ㎚의 EM 방사선, 248 ㎚의 EM 방사선, 193 ㎚의 EM 방사선, 157 ㎚의 EM 방사선, 또는 극자외(DUV) EM 방사선, 또는 이들 중 2 이상의 임의의 조합에 기판을 노광시키는 것을 포함할 수 있다. 추가적으로, 예를 들어, 전면 노광은 방사선 민감성 재료의 층에 산을 생성할 수 있는 파장의 EM 방사선에 기판을 노광시키는 것을 포함할 수도 있다.
전면 노광에 이어서, 전면 노광후 베이킹(PFEB)이 수행되며, 이 단계에서 기판의 온도는 PFEB 온도로 상승된다. 전면 노광후 베이킹은 PFEB 온도, 기판을 PFEB 온도로 승온시키는 시간, PFEB 온도를 달성하기 위한 가열률, PFEB 온도를 낮추기 위한 냉각률, 기판을 PFEB 온도로 승온시키는 동안에 기판을 둘러싸는 가스 분위기의 압력, 또는 기판을 PFEB 온도로 승온시키는 동안에 기판을 둘러싸는 가스 분위기의 조성, 또는 이들 중 2 이상의 조합을 세팅하는 것을 포함할 수도 있다.
단계 620에서, 본 발명의 다른 실시형태에 따르면, 방사선 민감성 재료의 층의 열분해 베이킹(TDB)이 수행될 수도 있다. 열분해 베이킹에 있어서, TDB 온도는 산 발생제가 실질적으로 열분해되어 산을 생성하고 이에 의해 방사선 민감성 재료의 산 촉매 분해, 또는 친수성 폴리머를 더 많은 소수성 플리머에 산 촉매 재배열시키는 것을 용이하게 하거나, 또는 터트-부틸 카보네이트(tBOC) 보호 방사선 민감성 재료 등의 보호 폴리머가 실질적으로 탈보호되는 온도를 포함할 수도 있다. 어느 경우든, 최종 결과는 제3 영역의 노광 구배를 실질적으로 제거하는 것일 뿐만 아니라, 방사선 민감성 재료의 층에 있어서 이전에 노광되지 않은 제2 영역을 실질적으로 탈보호/극성 감소/분해하는 것이다. 베이킹 온도는 방사선 민감성 재료의 층의 글라스 전이 온도(Tg)를 넘지 않아야 함에 주목해야 한다.
단계 630에서, 본 발명의 또 다른 실시형태에 따르면, 방사선 민감성 재료의 층의 산세정이 수행될 수 있다. 산세정은 충분한 온도로 가열되는 경우에 방사선 민감성 재료의 탈보호 또는 열분해를 조장 또는 강화시킬 수 있는 충분한 양의 산을 방사선 민감성 재료의 층의 표면에 제공할 수도 있다. 적절한 산세정은 황산 및 디클로로 아세트산 등의 예시적인 산성 화합물을 포함할 수도 있다. 단계 570 및 580에서, 제4 영역의 슬림화를 수행한다.
도 6을 참조해 보면, 본 발명의 일 실시형태에 따른 기판 패터닝 방법의 흐름도(600)가 나타내어져 있다. 흐름도(600)는 방사선 민감성 재료의 층을 기판 상에 형성하는 단계 610과, 상기 방사선 민감성 재료의 층의 패턴화된 노광을 수행하는 단계 620으로 시작된다. 단계 630에서는, 제1 노광후 베이킹(PEB)이 수행되며, 이 단계에서 기판의 온도는 PEB 온도로 상승된다. 단계 640에서는, 방사선 민감성 재료의 결상된 층의 포지티브톤 현상이 수행되며, 이 단계에서는 제1 현상 케미스트리를 이용하여 제1 영역이 기판으로부터 제거된다. 단계 650에서는, 방사선 민감성 재료의 층의 전면 노광이 수행될 수도 있다. 전면 노광 동안에, 방사선 민감성 재료의 층은 비패턴 방사선에 노광된다. 단계 660에서는, 전면 노광후 베이킹(PFEB)이 수행되며, 이 단계에서 기판의 온도는 PFEB 온도로 상승된다. 단계 670에서는, 상기 방사선 민감성 재료의 슬림화가 수행되며, 이 단계에서 방사선 민감성 재료 라인의 치수가 감소된다.
도 7 및 도 8은 도 5 및 도 6의 단계 570 및 단계 580에서 제4 영역을 슬림화하는 방법의 상호 보완적인 대안예를 제공한다. 본 발명의 실시형태에 따르면, 슬리밍 케미스트리는 현상 케미스트리에의 노광 지속 기간을 제어함으로써 예측가능하고 재생가능한 성능을 간단히 인에이블하는 이방성 용해율을 제공하도록 맞춤화되거나 조정될 수도 있다. 예를 들어, 현상 케미스트리 및/또는 조건은 약 0.1 nm/sec 내지 약 5 nm/sec; 약 0.2 nm/sec 내지 약 4 nm/sec; 약 0.5 내지 약 2 nm/sec, 또는 약 0.1 nm/sec 내지 about 1 nm/sec의 범위의 용해율을 설정하도록 변경될 수 있다. 일례에 있어서, 용해율은 약 1㎚/sec일 수 있다.
도 7을 참조해 보면, 흐름도(700)는 거의 균일한 탈보호 및/또는 극성을 갖는 방사선 민감성 재료 라인의 슬림화 방법의 대안적인 일례를 제공한다. 일 실시형태에 따르면, 보호된 폴리하이드록시스티렌계 레지스트와 같은 통상의 화학적으로 증폭된 포지티브 레지스트를 이용하여, 실질적으로 탈보호된 제4 영역은, 수성 염기 케미스트리가 인가된 경우에 상대적으로 용해 가능하지만, 유기 용제계 조성 케미스트리가 인가된 경우에는 상대적으로 용해되지 않는다.
대안적으로 단계 710에서, 슬림화는 실질적으로 탈보호된 제4 영역(430, 434)을 유기 용제계 조성에 접촉시킴으로써 달성될 수도 있다. 따라서, 유기 용제계 조성은 유기 용제를 포함하고, 하나 이상의 다른 유기 화합물 또는 조용매, 선택적으로 물 및 선택적 계면활성제를 더 포함할 수도 있다. 이에 따라, 실질적으로 탈보호된 방사선 민감성 재료 라인의 슬림화는 그 라인을 RMIN에서 유기 용제계 조성에 접촉시킴으로써 영향받을 수도 있다. 유기 용제계 조성 케미스트리의 최적화는 원하는 용해율을 얻기 위하여 용제 또는 용제 혼합물을 선택함으로써 쉽게 달성될 수 있다.
대안적으로 단계 720 내지 740에서, 슬림화는 수성 염기 케미스트리를 이용함으로써 달성될 수도 있다. 고도로 탈보호된 방사선 민감성 재료 라인을 향하여 일반적으로 선택가능한 수성 염기 케미스트리는, 감소된 슬림화율을 제공하기 위하여 변경된 조건 하에서 여전히 사용될 수도 있다. 수성 염기 케미스트리의 용해율은 방사선 민감성 재료의 층에 뮤팅제를 포함시켜 염기 케미스트리 수용액을 희석시키거나, 또는 수성 염기 케미스트리를 극저온에서 수행하는 등의 방법에 의해 감소될 수도 있다. 전술한 바와 같이, 수성 염기 케미스트리는 일반적으로 예를 들어, 알칼리, 아민 등의 염기; 물; 및 선택적 계면활성제를 포함한다. 한 가지 예시적인 염기가 수산화테트라메틸암모늄(TMAH)이다.
대안적으로 단계 720에서, 슬림화는 실질적으로 탈보호된 제4 영역(430, 434)을 희석된 염기 케미스트리 수용액에 접촉시킴으로써 달성될 수도 있다. 슬림화 및/또는 현상에 유용한 예시적이고 상업적으로 입수가능한 염기 수용액은 0.26N TMAH 용액이며, 이는 슬림화율을 제어하도록 희석될 수도 있다. 예컨대, 용해율은 100, 200, 500 또는 1000의 인자에 의해 수성 염기 케미스트리를 희석시킴으로써 감소될 수도 있다. 이에 따라, 예시적인 희석 수성계 용액은 약 0.02N 미만의 수산화염 농도를 포함한다. 희석된 수성 염기 케미스트리의 최적화는 원하는 분해율을 얻기 위하여 적절한 희석 인자를 선택함으로써 쉽게 달성될 수 있다.
대안적으로 단계 730에서, 슬림화는 실질적으로 탈보호된 제4 영역(430, 434)을 방사선 민감성 재료의 뮤트화된 또는 금지된 층 상의 수성 염기 케미스트리와 접촉시킴으로써 달성될 수도 있다. 예컨대, 필름 적층체를 형성하는 동안에, 방사선 민감성 재료 용액은 뮤팅제를 더 포함할 수도 있다. 이와 같이, 전술한 프로세서는 고레벨의 탈보호에서 RMAX로 또는 이에 근접하여 현상되는 수성 염기 케미스트리를 이용하지만, 뮤팅제가 존재함으로써, 실질적으로 탈보호된 방사선 민감성 재료 라인의 유효 용해율을 원하는 용해율로 감소시킨다. 한 가지 예시적인 뮤팅제는 콜산이다.
대안적으로 단계 740에서, 슬림화는 실질적으로 탈보호된 제4 영역(430, 434)을 극저온에서 수성 염기 케미스트리에 접촉시킴으로써 달성될 수도 있다. 본원에서 사용되는 바와 같이, 극저온은 현상 케미스트리의 응고점보다 높고 상온보다 낮은 온도로서 규정된다. 예컨대, 극저온은 약 0℃ 내지 약 20℃의 범위; 약 0℃ 내지 약 15℃의 범위; 약 5℃ 내지 약 10℃의 범위일 수 있다.
또한, 전술한 슬림화 방법 710-740은 조합될 수도 있다. 슬림화 단계는, 유기 용제계 조성 케미스트리의 조성을 조정, 수성 염기 케미스트리의 농도를 조정, 방사선 민감성 재료의 층의 조성을 조정함으로써; 유기 용제계 조성 또는 수성 염기 케미스트리를 적용하기 위한 기간을 조정함으로써; 슬리밍 케미스트리의 온도를 조정하거나 또는 이들 중 2 이상의 조합에 의해 달성될 수도 있다.
도 8을 참조해 보면, 흐름도(800)는 대략 균일한 탈보호 및/또는 극성을 갖는 방사선 민감성 재료 라인의 슬림화 방법의 대안적인 일례를 나타낸다. 일 실시형태에 따르면, 보호된 피나콜계 레지스트와 같은 화학적으로 증폭된 친수성 폴리머 레지스트를 이용하여, 방사선 민감성 재료 라인은 실질적으로 작은 극성의 제4 영역(430, 434)이며, 유기 용제계 조성 케미스트리가 인가되는 경우에 상대적으로 용해가능하지만, 수성 염기 케미스트리가 인가되는 경우에는 상대적으로 용해되지 않는다.
대안적으로 단계 810에서, 슬림화는 실질적으로 작은 극성의 제4 영역(430, 434)을 극저온에서 유기 용제계 조성에 접촉시킴으로써 달성될 수도 있다. 이에 따라, 유기 용제계 조성은, 유기 용제를 포함하고, 하나 이상의 다른 유기 화합물 또는 조용매, 선택적으로 물 및 선택적 계면활성제를 더 포함할 수도 있다. 본원에서 사용되는 바와 같이, 극저온은 유기 용제계 조성의 응고점보다 더 높고 20℃보다 낮은 온도로서 규정된다. 예컨대, 극저온은 약 0℃ 내지 약 20℃의 범위; 약 0℃ 내지 약 15℃의 범위; 또는 약 5℃ 내지 약 10℃의 범위일 수 있다.
대안적으로 단계 820에서, 슬림화는, 실질적으로 작은 극성의 제4 영역(430, 434)를 낮은 RMAX를 갖는 유기 용제계 조성에 접촉시킴으로써 달성될 수도 있다. 이에 따라서, 유기 용제계 조성은, 유기 용매를 포함하며, 하나 이상의 다른 유기 화합물 또는 조용매, 선택적으로 물 및 선택적 계면활성제를 더 포함할 수도 있다. 이에 따라, 실질적으로 작은 극성의 방사선 민감성 재료 라인의 슬림화는, 그 라인을 낮은 RMAX에서 유기 용제계 조성에 접촉시킴으로써 영향받을 수도 있다. 유기 용제계 조성 케미스트리의 최적화는, 원하는 낮은 RMAX을 가진 용해율을 얻기 위하여 용제 또는 용제 혼합물을 선택함으로써 쉽게 달성될 수 있다.
대안적으로 단계 830-850에서, 슬림화는 수성 염기 케미스트리를 이용함으로써 달성될 수도 있다. 실질적으로 작은 극성의 제4 영역(430, 434)은 수성 염기 케미스트리에 상대적으로 용해되지 않지만, 존재하는 부분적인 용해도는 제4 영역(430, 434)을 슬림화하는데 이용될 수도 있다.
대안적으로 단계 830에서, 슬림화는 제4 영역(430, 434)을 수성 염기 케미스트리 용액에 접촉시킴으로써 달성될 수도 있다. 슬림화 및/또는 현상에 유용하고 예시적이며 상업적으로 입수가능한 염기 수용액은 0.26N TMAH 용액이며, 이는 슬림화율을 제어하도록 희석될 수도 있다. 수성 염기 농도의 최적화는 원하는 용해율을 얻기 위하여 적절한 희석 인자를 선택함으로써 쉽게 달성될 수 있다. 예컨대, 용해율은 100, 200, 500 또는 1000의 인자에 의해 수성 염기 케미스트리를 희석시킴으로써 감소될 수도 있다. 이에 따라, 예시적인 희석된 염기 수용액은 약 0.02N 미만의 수산화염 농도를 포함한다.
대안적으로 단계 840에서, 슬림화는 제4 영역(430, 434)를 촉진제를 갖는 수성 염기 케미스트리에 접촉시킴으로써 달성될 수도 있다. 촉진제는 상승된 RMIN에서 소수성의 제4 영역(430, 434)을 분해시키도록 상승된 수성 염기의 능력을 증대시키기 위하여 초극성 용액 조성을 변경한다. 즉, 촉진제는 작은 극성의 방사선 민감성 재료 라인의 유효 용해율을 원하는 용해율로 증대시키기 위하여, 수성 염기 케미스트리의 극성을 작게할 수도 있다. 용액 조성의 유효 용해율을 증대시킬 수 있는 다른 촉진제는 알칼리 금속을 구비한 염을 포함한다. 예시적인 촉진제는 리튬, 나트륨, 칼륨, 루비듐 또는 세슘을 구비한 염을 포함한다.
대안적으로 단계 850에서, 슬림화는 상승된 온도에서 실질적으로 탈보호된 제4 영역(430, 434)을 수성 염기 케미스트리에 접촉시킴으로써 달성될 수도 있다. 본원에 이용된 바와 같이, 상승된 온도는 약 30°C 보다 더 크고 약 100°C 보다 낮은 온도로서 규정된다. 예컨대, 상승된 온도는 약 30°C 내지 약 80°C의 범위; 약 35°C 내지 약 50°C의 범위; 또는 약 30°C 내지 약 40°C의 범위일 수 있다.
또한, 전술한 슬리밍 방법 810-850은 조합될 수도 있다. 슬림화 단계는 유기 용제계 조성 케미스트리의 조성을 조정하고, 수성 염기 케미스트리의 농도를 조정하고, 수성 염기 케미스트리의 조성을 촉진제를 이용하여 조정함으로써, 유기 용제계 조성 또는 수성 염기 케미스트리를 적용하기 위한 기간을 조정함으로써, 슬림화 케미스트리의 온도를 조정하거나 또는 이들 중 2 이상의 조합에 의해 달성될 수도 있다.
본 발명의 정해진 실시형태들만을 위에서 상세히 설명하였지만, 당업자는 본 발명의 신규한 교시 및 이점을 실질적으로 벗어나지 않고 실시형태들에서 많은 변경이 발생될 수 있음을 쉽게 알 수 있다. 따라서, 모든 이러한 변경은 본 발명의 범위 내에 포함되도록 의도된다.
본 발명은 이것의 하나 이상의 실시형태의 기재에 의해 예시되고, 이들 실시형태가 상당히 구체적으로 설명되었지만, 이들 실시형태는 첨부된 청구범위의 범위를 이러한 세부사항으로 한정하거나 또는 조금이라도 제한하도록 의도되지 않는다. 부가적인 이점 및 변경은 당업자에게 쉽게 나타내어진다. 그러므로, 더 넓은 양태에 있어서의 발명은, 도시되고 설명된 특정 세부사항, 대표적인 장치 및 방법 및 예시적인 일례로 제한되지 않는다. 따라서, 일반적인 발명의 개념의 범위를 벗어나지 않으면서 이러한 세부사항으로부터 벗어날 수도 있다.

Claims (25)

  1. 기판 패터닝 방법으로서,
    기판 상에 방사선 민감성 재료의 층을 형성하는 단계와,
    상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 노광 단계로서, 상기 패턴은,
    고 방사선 노광량을 갖는 제1 영역과,
    저 방사선 노광량을 갖는 제2 영역과,
    상기 고 방사선 노광량으로부터 상기 저 방사선 노광량까지의 범위의 노광 구배를 갖는 제3 영역을 포함하는 것인 노광 단계와,
    상기 노광 단계에 후속하여 노광후 베이킹을 수행하는 단계와,
    상기 기판으로부터 상기 제1 영역을 제거하여 방사선 민감성 재료의 현상층을 제공하도록, 상기 방사선 민감성 재료의 층을 제1 유기 용제계 조성에 접촉시킴으로써 포지티브톤의 현상을 수행하는 단계와,
    상기 제3 영역과 상기 제2 영역의 전체를, 균일한 레벨의 방사선 노광량, 극성, 또는 탈보호량(de-protection), 또는 이들의 조합을 갖는 제4 영역으로 변형시킴으로써, 상기 제3 영역의 상기 노광 구배를 제거하는 단계와,
    상기 제4 영역을 슬림화하는 단계
    를 포함하는 기판 패터닝 방법.
  2. 제1항에 있어서, 상기 방사선 민감성 재료의 층은,
    재료로서,
    상기 방사선 민감성 재료의 층의 노광 및 이 노광에 후속하는 노광후 베이킹,
    상기 방사선 민감성 재료의 층의 산세정 및 산세정후 베이킹,
    상기 방사선 민감성 재료의 층을 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 가열하는 것, 또는
    이들 중 2 이상의 임의의 조합
    을 수행하였을 때에 극성을 감소시키는 것인, 재료; 또는
    친수성 폴리머로서,
    상기 방사선 민감성 재료의 층의 노광 및 이 노광에 후속하는 노광후 베이킹,
    상기 방사선 민감성 재료의 층의 산세정 및 산세정후 베이킹,
    상기 방사선 민감성 재료의 층을 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 가열하는 것, 또는
    이들 중 2 이상의 임의의 조합
    을 수행하였을 때에 더 많은 소수성 폴리머로의 산 촉매(acid-catalyzed) 재배열을 겪는(undergo) 친수성 폴리머를 포함하는 것인 기판 패터닝 방법.
  3. 제1항에 있어서, 상기 노광 구배를 제거하는 단계는,
    상기 방사선 민감성 재료의 현상층의 전면(flood) 노광 및 전면 노광후 베이킹을 수행하는 단계와,
    상기 방사선 민감성 재료의 현상층의 산세정 및 산세정후 베이킹을 수행하는 단계와, 또는
    상기 방사선 민감성 재료의 현상층을 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 가열하는 단계를 포함하는 것인 기판 패터닝 방법.
  4. 제3항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 극저온에서 상기 제4 영역을 제2 유기 용제계 조성에 접촉시키는 단계를 포함하며, 상기 극저온은 25℃보다 낮고 상기 제2 유기 용제계 조성의 응고점보다 높은 것인 기판 패터닝 방법.
  5. 제3항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 상기 제4 영역을 제2 유기 용제계 조성에 접촉시키는 단계를 포함하며, 상기 제2 유기 용제계 조성은, 0.1 nm/sec 내지 5 nm/sec의 범위의 용해율을 달성하는 것인 기판 패터닝 방법.
  6. 제3항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 상기 제4 영역을 염기 수용액에 접촉시키는 단계를 포함하는 것인 기판 패터닝 방법.
  7. 제3항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 상기 제4 영역을 촉진제를 포함하는 수용액에 접촉시키는 단계를 포함하는 것인 기판 패터닝 방법.
  8. 제7항에 있어서, 상기 촉진제는 알칼리 금속을 포함하는 염인 것인 기판 패터닝 방법.
  9. 제3항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 30℃로부터 염기 수용액의 끓는점까지의 범위의 온도에서 상기 제4 영역을 염기 수용액에 접촉시키는 단계를 포함하는 것인 기판 패터닝 방법.
  10. 기판 패터닝 방법으로서,
    기판 상에 방사선 민감성 재료의 층을 형성하는 단계와,
    상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 노광 단계로서, 상기 패턴은,
    고 방사선 노광량을 갖는 제1 영역과,
    저 방사선 노광량을 갖는 제2 영역과,
    상기 고 방사선 노광량으로부터 상기 저 방사선 노광량까지의 범위의 노광 구배를 갖는 제3 영역을 포함하는 것인, 노광 단계와,
    상기 노광 단계에 후속하여 노광후 베이킹을 수행하는 단계와,
    상기 기판으로부터 상기 제2 영역을 제거하여 방사선 민감성 재료의 현상층을 제공하도록, 상기 방사선 민감성 재료의 층을 제1 염기 수용액에 접촉시킴으로써 상기 방사선 민감성 재료의 층에 네가티브톤의 현상을 수행하는 단계와,
    상기 제3 영역과, 선택적으로 상기 제1 영역을, 제4 영역으로 변형시킴으로써 - 상기 제4 영역은 균일한 레벨의 방사선 노광량, 극성 또는 탈보호량 또는 이들의 조합을 가지며 상기 제3 영역 및 제1 영역 전체로부터 형성됨 - 상기 제3 영역 전체의 상기 노광 구배를 제거하는 단계와,
    상기 제4 영역을 슬림화하는 단계를 포함하며,
    상기 방사선 민감성 재료의 층은,
    재료로서,
    상기 방사선 민감성 재료의 층의 노광 및 이 노광에 후속하는 노광후 베이킹,
    상기 방사선 민감성 재료의 층의 산세정 및 산세정후 베이킹,
    상기 방사선 민감성 재료의 층을 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 가열하는 것, 또는
    이들 중 2 이상의 임의의 조합
    을 수행하였을 때에 극성을 감소시키는 것인, 재료; 또는
    산 발생제; 또는
    보호 폴리머로서, 상기 보호 폴리머의 열분해 온도 이상의 온도로 가열하였을 때 탈보호되는 것인, 보호 폴리머
    를 포함하는 것인 기판 패터닝 방법.
  11. 제10항에 있어서, 상기 노광 구배를 제거하는 단계는,
    상기 방사선 민감성 재료의 현상층의 전면 노광 및 전면 노광후 베이킹을 수행하는 단계;
    상기 방사선 민감성 재료의 현상층의 산세정 및 산세정후 베이킹을 수행하는 단계; 또는
    상기 방사선 민감성 재료의 현상층을 상기 방사선 민감성 재료의 상기 열분해 온도 이상의 온도로 가열하는 단계를 포함하는 것인 기판 패터닝 방법.
  12. 제11항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 극저온에서 상기 제4 영역을 유기 용제계 조성에 접촉시키는 단계를 포함하며, 상기 극저온은 25℃보다 낮고 상기 유기 용제계 조성의 응고점보다 높은 것인 기판 패터닝 방법.
  13. 제11항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 상기 제4 영역을 유기 용제계 조성에 접촉시키는 단계를 포함하며, 상기 유기 용제계 조성은 0.1 nm/sec 내지 5 nm/sec의 범위의 용해율을 달성하는 것인 기판 패터닝 방법.
  14. 제11항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 상기 제4 영역을 제2 염기 수용액에 접촉시키는 단계를 포함하는 것인 기판 패터닝 방법.
  15. 제11항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 상기 제4 영역의 일부분을 제거하기 위하여, 상기 제4 영역을 촉진제를 포함하는 제2 수용액에 접촉시키는 단계를 포함하는 것인 기판 패터닝 방법.
  16. 제15항에 있어서, 상기 촉진제는 알칼리 금속을 포함하는 염인 것인 기판 패터닝 방법.
  17. 제11항에 있어서, 상기 제4 영역을 슬림화하는 단계는, 30℃로부터 염기 수용액의 끓는점까지의 범위의 온도에서 상기 제4 영역을 제2 염기 수용액에 접촉시키는 단계를 포함하는 것인 기판 패터닝 방법.
  18. 기판 패터닝 방법으로서,
    기판 상에 방사선 민감성 재료의 층을 형성하는 단계와,
    상기 방사선 민감성 재료의 층을 방사선의 패턴에 노광시키는 노광 단계로서, 상기 패턴은,
    고 방사선 노광량을 갖는 제1 영역과,
    저 방사선 노광량을 갖는 제2 영역과,
    상기 고 방사선 노광량으로부터 상기 저 방사선 노광량까지의 범위의 노광 구배를 갖는 제3 영역을 포함하는 것인 노광 단계와,
    상기 노광 단계에 후속하여 노광후 베이킹을 수행하는 단계와,
    상기 기판으로부터 상기 제2 영역을 제거하여 방사선 민감성 재료의 현상층을 제공하도록, 상기 방사선 민감성 재료의 층을 제1 유기 용제계 조성에 접촉시킴으로써 상기 방사선 민감성 재료의 층에 네가티브톤의 현상을 수행하는 단계와,
    상기 제3 영역과, 선택적으로 상기 제1 영역을, 제4 영역으로 변형시킴으로써 - 상기 제4 영역은 균일한 레벨의 방사선 노광량, 극성 또는 탈보호량 또는 이들의 조합을 가지며 상기 제3 영역 및 제1 영역 전체로부터 형성됨 - 상기 제3 영역 전체의 상기 노광 구배를 제거하는 단계로서,
    상기 방사선 민감성 재료의 현상층의 전면 노광 및 전면 노광후 베이킹을 수행하는 단계;
    상기 방사선 민감성 재료의 현상층의 산세정 및 산세정후 베이킹을 수행하는 단계; 또는
    상기 방사선 민감성 재료의 현상층을 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 가열하는 단계를 포함하는 것인, 상기 노광 구배를 제거하는 단계와,
    상기 제4 영역을 제2 유기 용제계 조성에 접촉시킴으로써 상기 제4 영역을 슬림화하는 단계
    를 포함하며,
    상기 방사선 민감성 재료의 층은,
    재료로서,
    상기 방사선 민감성 재료의 층의 노광 및 이 노광에 후속하는 노광후 베이킹,
    상기 방사선 민감성 재료의 층의 산세정 및 산세정후 베이킹,
    상기 방사선 민감성 재료의 층을 상기 방사선 민감성 재료의 열분해 온도 이상의 온도로 가열하는 것, 또는
    이들 중 2 이상의 임의의 조합
    을 수행하였을 때에 극성을 증가시키는 것인, 재료; 또는
    산 발생제; 또는
    보호 폴리머로서, 상기 보호 폴리머의 열분해 온도 이상의 온도로 가열하였을 때 탈보호되는 것인, 보호 폴리머
    를 포함하는 것인 기판 패터닝 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
KR1020137028955A 2011-03-31 2012-03-21 리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법 KR101938905B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/077,833 US8435728B2 (en) 2010-03-31 2011-03-31 Method of slimming radiation-sensitive material lines in lithographic applications
US13/077,833 2011-03-31
PCT/US2012/029905 WO2012134910A1 (en) 2011-03-31 2012-03-21 Method of slimming radiation-sensitive material lines in lithographic applications

Publications (2)

Publication Number Publication Date
KR20140031884A KR20140031884A (ko) 2014-03-13
KR101938905B1 true KR101938905B1 (ko) 2019-01-15

Family

ID=45929039

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137028955A KR101938905B1 (ko) 2011-03-31 2012-03-21 리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법

Country Status (6)

Country Link
US (1) US8435728B2 (ko)
JP (1) JP5944484B2 (ko)
KR (1) KR101938905B1 (ko)
CN (1) CN103547968B (ko)
TW (1) TWI560527B (ko)
WO (1) WO2012134910A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8980651B2 (en) 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US9086631B2 (en) 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP2015082046A (ja) * 2013-10-23 2015-04-27 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
JP6784670B2 (ja) 2014-10-23 2020-11-11 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法
US9612536B2 (en) * 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
EP3391148B1 (en) 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2017068281A (ja) * 2016-12-27 2017-04-06 Hoya株式会社 フォトマスクの製造方法、パターン転写方法及び表示装置の製造方法
KR102513167B1 (ko) * 2018-06-15 2023-03-23 매슨 테크놀로지 인크 워크피스의 노광 후 베이크 공정을 위한 방법 및 장치
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP2022526031A (ja) * 2019-04-12 2022-05-20 インプリア・コーポレイション 有機金属フォトレジスト現像剤組成物及び処理方法
KR20220147617A (ko) 2020-03-02 2022-11-03 인프리아 코포레이션 무기 레지스트 패터닝을 위한 공정 환경
CN113845082B (zh) * 2021-09-08 2022-10-18 清华大学 辐射热流调控器件及其应用

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267879A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07117751B2 (ja) 1987-12-14 1995-12-18 株式会社日立製作所 感光剤
US5741624A (en) 1996-02-13 1998-04-21 Micron Technology, Inc. Method for reducing photolithographic steps in a semiconductor interconnect process
JPH09251210A (ja) 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
US5914202A (en) 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
JP2000035672A (ja) * 1998-03-09 2000-02-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP4689082B2 (ja) * 2001-06-06 2011-05-25 富士通株式会社 ネガ型レジスト組成物
US7364839B2 (en) 2002-07-24 2008-04-29 Kabushiki Kaisha Toshiba Method for forming a pattern and substrate-processing apparatus
US7642145B2 (en) * 2002-07-30 2010-01-05 Hitachi, Ltd. Method for producing electronic device
JP2004086203A (ja) * 2002-08-07 2004-03-18 Renesas Technology Corp 微細パターン形成材料および電子デバイスの製造方法
US6740473B1 (en) * 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US20040166448A1 (en) 2003-02-26 2004-08-26 United Microelectronics Corp. Method for shrinking the image of photoresist
JP4040515B2 (ja) 2003-03-26 2008-01-30 株式会社東芝 マスクのセット、マスクデータ作成方法及びパターン形成方法
US6905811B2 (en) 2003-04-22 2005-06-14 Headway Technologies, Inc. Method to form reduced dimension pattern with good edge roughness
TWI281690B (en) 2003-05-09 2007-05-21 Toshiba Corp Pattern forming method, and manufacturing method for semiconductor using the same
EP1757628A4 (en) 2004-05-06 2008-04-30 Jsr Corp LACTONCOPOLYMER AND RADIATION-SENSITIVE RESIN COMPOSITION
KR100598290B1 (ko) * 2004-05-20 2006-07-07 동부일렉트로닉스 주식회사 리소그래피 공정의 감광막 패턴 형성 방법
EP1769092A4 (en) 2004-06-29 2008-08-06 Europ Nickel Plc IMPROVED LIXIVIATION OF BASE METALS
US7897323B2 (en) * 2004-11-25 2011-03-01 Nxp B.V. Lithographic method
JP4588551B2 (ja) * 2005-06-16 2010-12-01 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
CN100427285C (zh) * 2006-09-11 2008-10-22 东华大学 聚乳酸/ε-聚己内酯嵌段共聚物形成微观结构的方法
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
WO2008140119A1 (ja) 2007-05-15 2008-11-20 Fujifilm Corporation パターン形成方法
US7811923B2 (en) 2007-07-17 2010-10-12 International Business Machines Corporation Integrated wafer processing system for integration of patternable dielectric materials
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
US8257911B2 (en) 2008-08-26 2012-09-04 Tokyo Electron Limited Method of process optimization for dual tone development
JP4671065B2 (ja) 2008-09-05 2011-04-13 信越化学工業株式会社 ダブルパターン形成方法
US8283111B2 (en) 2008-09-17 2012-10-09 Tokyo Electron Limited Method for creating gray-scale features for dual tone development processes
JP4779028B2 (ja) * 2009-02-27 2011-09-21 パナソニック株式会社 パターン形成方法
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8338086B2 (en) 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267879A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法

Also Published As

Publication number Publication date
TW201303520A (zh) 2013-01-16
CN103547968A (zh) 2014-01-29
WO2012134910A1 (en) 2012-10-04
JP5944484B2 (ja) 2016-07-05
TWI560527B (en) 2016-12-01
JP2014510954A (ja) 2014-05-01
US8435728B2 (en) 2013-05-07
CN103547968B (zh) 2016-03-23
KR20140031884A (ko) 2014-03-13
US20110244403A1 (en) 2011-10-06

Similar Documents

Publication Publication Date Title
KR101938905B1 (ko) 리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
KR101764945B1 (ko) 리소그래픽 도포에서 감방사선성 재료 라인을 슬림화하는 방법
US7829269B1 (en) Dual tone development with plural photo-acid generators in lithographic applications
US8053368B2 (en) Method for removing residues from a patterned substrate
TWI662369B (zh) 半導體元件的形成方法
US8574810B2 (en) Dual tone development with a photo-activated acid enhancement component in lithographic applications
TWI830691B (zh) 微影圖案化的方法
US10520821B2 (en) Lithography process with enhanced etch selectivity
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
US8257911B2 (en) Method of process optimization for dual tone development
US20080020324A1 (en) Immersion lithography defect reduction with top coater removal
US20100055624A1 (en) Method of patterning a substrate using dual tone development
CN110941148B (zh) 半导体装置的制造方法
WO2010025198A1 (en) Method of patterning a substrate using dual tone development
US8026183B2 (en) Method of forming a resist pattern
US8778602B2 (en) Single photoresist approach for high challenge photo process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant