WO2015125788A1 - 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート - Google Patents
光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート Download PDFInfo
- Publication number
- WO2015125788A1 WO2015125788A1 PCT/JP2015/054325 JP2015054325W WO2015125788A1 WO 2015125788 A1 WO2015125788 A1 WO 2015125788A1 JP 2015054325 W JP2015054325 W JP 2015054325W WO 2015125788 A1 WO2015125788 A1 WO 2015125788A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- group
- carbon atoms
- substituted
- branched
- resist material
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims abstract description 308
- 238000000034 method Methods 0.000 title claims abstract description 160
- 238000001459 lithography Methods 0.000 title claims abstract description 51
- 238000003199 nucleic acid amplification method Methods 0.000 title claims abstract description 6
- 239000004065 semiconductor Substances 0.000 title claims description 20
- 208000017983 photosensitivity disease Diseases 0.000 title abstract description 23
- 231100000434 photosensitization Toxicity 0.000 title abstract description 23
- 239000003504 photosensitizing agent Substances 0.000 claims abstract description 250
- 239000002253 acid Substances 0.000 claims abstract description 240
- 230000008569 process Effects 0.000 claims abstract description 104
- 239000002243 precursor Substances 0.000 claims abstract description 78
- 125000004432 carbon atom Chemical group C* 0.000 claims description 555
- -1 sulfonium salt compounds Chemical class 0.000 claims description 397
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 253
- 125000000217 alkyl group Chemical group 0.000 claims description 242
- 125000003545 alkoxy group Chemical group 0.000 claims description 209
- 150000001875 compounds Chemical class 0.000 claims description 169
- 125000004122 cyclic group Chemical group 0.000 claims description 152
- 229920006395 saturated elastomer Polymers 0.000 claims description 148
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 claims description 146
- 229930195735 unsaturated hydrocarbon Natural products 0.000 claims description 143
- 230000005865 ionizing radiation Effects 0.000 claims description 137
- 229930195734 saturated hydrocarbon Natural products 0.000 claims description 133
- 125000003277 amino group Chemical group 0.000 claims description 130
- 238000006243 chemical reaction Methods 0.000 claims description 127
- 125000003368 amide group Chemical group 0.000 claims description 119
- 125000000951 phenoxy group Chemical group [H]C1=C([H])C([H])=C(O*)C([H])=C1[H] 0.000 claims description 119
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 91
- 239000002516 radical scavenger Substances 0.000 claims description 71
- 229920000642 polymer Polymers 0.000 claims description 61
- 150000001450 anions Chemical class 0.000 claims description 58
- 125000005843 halogen group Chemical group 0.000 claims description 55
- 239000000758 substrate Substances 0.000 claims description 54
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 claims description 50
- DHKHKXVYLBGOIT-UHFFFAOYSA-N acetaldehyde Diethyl Acetal Natural products CCOC(C)OCC DHKHKXVYLBGOIT-UHFFFAOYSA-N 0.000 claims description 36
- 238000010521 absorption reaction Methods 0.000 claims description 33
- 239000012298 atmosphere Substances 0.000 claims description 33
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 30
- 150000001768 cations Chemical class 0.000 claims description 28
- 125000001624 naphthyl group Chemical group 0.000 claims description 26
- 239000000126 substance Substances 0.000 claims description 26
- 238000011161 development Methods 0.000 claims description 25
- 230000018109 developmental process Effects 0.000 claims description 25
- 150000001728 carbonyl compounds Chemical class 0.000 claims description 24
- 206010034972 Photosensitivity reaction Diseases 0.000 claims description 23
- 125000002178 anthracenyl group Chemical group C1(=CC=CC2=CC3=CC=CC=C3C=C12)* 0.000 claims description 23
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 claims description 23
- 125000006239 protecting group Chemical group 0.000 claims description 23
- 239000003795 chemical substances by application Substances 0.000 claims description 22
- 229910052757 nitrogen Inorganic materials 0.000 claims description 22
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 18
- 238000010438 heat treatment Methods 0.000 claims description 17
- 229910052799 carbon Inorganic materials 0.000 claims description 16
- 229910052731 fluorine Inorganic materials 0.000 claims description 16
- 125000003118 aryl group Chemical group 0.000 claims description 15
- 125000001153 fluoro group Chemical group F* 0.000 claims description 15
- 230000007261 regionalization Effects 0.000 claims description 15
- 230000001678 irradiating effect Effects 0.000 claims description 13
- 230000001681 protective effect Effects 0.000 claims description 13
- 239000007788 liquid Substances 0.000 claims description 12
- 239000003431 cross linking reagent Substances 0.000 claims description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 10
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 10
- 150000002596 lactones Chemical group 0.000 claims description 10
- 150000007514 bases Chemical group 0.000 claims description 8
- 238000000671 immersion lithography Methods 0.000 claims description 8
- 239000011342 resin composition Substances 0.000 claims description 8
- 150000002484 inorganic compounds Chemical group 0.000 claims description 7
- 229910010272 inorganic material Inorganic materials 0.000 claims description 7
- 125000000843 phenylene group Chemical group C1(=C(C=CC=C1)*)* 0.000 claims description 7
- FZEYVTFCMJSGMP-UHFFFAOYSA-N acridone Chemical class C1=CC=C2C(=O)C3=CC=CC=C3NC2=C1 FZEYVTFCMJSGMP-UHFFFAOYSA-N 0.000 claims description 6
- 125000004414 alkyl thio group Chemical group 0.000 claims description 6
- 125000002947 alkylene group Chemical group 0.000 claims description 6
- 125000004093 cyano group Chemical group *C#N 0.000 claims description 6
- 125000004957 naphthylene group Chemical group 0.000 claims description 6
- 229920002120 photoresistant polymer Polymers 0.000 claims description 6
- 150000008053 sultones Chemical group 0.000 claims description 6
- 150000001408 amides Chemical class 0.000 claims description 5
- 229910052786 argon Inorganic materials 0.000 claims description 5
- 230000008878 coupling Effects 0.000 claims description 5
- 238000010168 coupling process Methods 0.000 claims description 5
- 238000005859 coupling reaction Methods 0.000 claims description 5
- YRHRIQCWCFGUEQ-UHFFFAOYSA-N thioxanthen-9-one Chemical class C1=CC=C2C(=O)C3=CC=CC=C3SC2=C1 YRHRIQCWCFGUEQ-UHFFFAOYSA-N 0.000 claims description 5
- 230000003321 amplification Effects 0.000 claims description 4
- 125000004429 atom Chemical group 0.000 claims description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 4
- 125000005577 anthracene group Chemical group 0.000 claims description 3
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 claims description 3
- 150000001454 anthracenes Chemical class 0.000 claims description 2
- 150000008366 benzophenones Chemical class 0.000 claims description 2
- CSYSRRCOBYEGPI-UHFFFAOYSA-N diazo(sulfonyl)methane Chemical compound [N-]=[N+]=C=S(=O)=O CSYSRRCOBYEGPI-UHFFFAOYSA-N 0.000 claims description 2
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 claims description 2
- 150000002790 naphthalenes Chemical class 0.000 claims description 2
- 150000007964 xanthones Chemical class 0.000 claims description 2
- 125000001183 hydrocarbyl group Chemical group 0.000 claims 64
- CFNMUZCFSDMZPQ-GHXNOFRVSA-N 7-[(z)-3-methyl-4-(4-methyl-5-oxo-2h-furan-2-yl)but-2-enoxy]chromen-2-one Chemical compound C=1C=C2C=CC(=O)OC2=CC=1OC/C=C(/C)CC1OC(=O)C(C)=C1 CFNMUZCFSDMZPQ-GHXNOFRVSA-N 0.000 claims 1
- JDSHMPZPIAZGSV-UHFFFAOYSA-N melamine Chemical group NC1=NC(N)=NC(N)=N1 JDSHMPZPIAZGSV-UHFFFAOYSA-N 0.000 claims 1
- 239000004615 ingredient Substances 0.000 abstract description 4
- 239000002585 base Substances 0.000 description 112
- 230000035945 sensitivity Effects 0.000 description 110
- 150000002430 hydrocarbons Chemical group 0.000 description 83
- 230000000052 comparative effect Effects 0.000 description 71
- 230000007246 mechanism Effects 0.000 description 56
- 230000005855 radiation Effects 0.000 description 56
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 36
- 230000006870 function Effects 0.000 description 31
- 238000003860 storage Methods 0.000 description 30
- 238000010511 deprotection reaction Methods 0.000 description 25
- 230000005281 excited state Effects 0.000 description 20
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 18
- 238000010894 electron beam technology Methods 0.000 description 18
- 238000002156 mixing Methods 0.000 description 18
- 230000027756 respiratory electron transport chain Effects 0.000 description 18
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 17
- 230000001476 alcoholic effect Effects 0.000 description 16
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 15
- 238000009826 distribution Methods 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 14
- 150000001412 amines Chemical class 0.000 description 14
- 238000011156 evaluation Methods 0.000 description 14
- 125000000962 organic group Chemical group 0.000 description 14
- 229910052710 silicon Inorganic materials 0.000 description 14
- 239000010703 silicon Substances 0.000 description 14
- 239000003377 acid catalyst Substances 0.000 description 12
- 238000009792 diffusion process Methods 0.000 description 12
- 206010070834 Sensitisation Diseases 0.000 description 11
- XTAZYLNFDRKIHJ-UHFFFAOYSA-N n,n-dioctyloctan-1-amine Chemical compound CCCCCCCCN(CCCCCCCC)CCCCCCCC XTAZYLNFDRKIHJ-UHFFFAOYSA-N 0.000 description 11
- 230000008313 sensitization Effects 0.000 description 11
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 10
- 239000003963 antioxidant agent Substances 0.000 description 10
- 235000006708 antioxidants Nutrition 0.000 description 10
- 230000015572 biosynthetic process Effects 0.000 description 10
- 238000006555 catalytic reaction Methods 0.000 description 10
- 230000008859 change Effects 0.000 description 10
- 230000000694 effects Effects 0.000 description 10
- 150000002576 ketones Chemical class 0.000 description 10
- 150000003254 radicals Chemical class 0.000 description 10
- 0 C*C(**)(C1N*1C)C(O**)=O Chemical compound C*C(**)(C1N*1C)C(O**)=O 0.000 description 9
- 238000005530 etching Methods 0.000 description 9
- 239000000203 mixture Substances 0.000 description 9
- 230000009257 reactivity Effects 0.000 description 9
- 239000002904 solvent Substances 0.000 description 9
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 8
- 239000003513 alkali Substances 0.000 description 8
- 239000003054 catalyst Substances 0.000 description 8
- 239000011248 coating agent Substances 0.000 description 8
- 238000000576 coating method Methods 0.000 description 8
- 238000004090 dissolution Methods 0.000 description 8
- 125000003709 fluoroalkyl group Chemical group 0.000 description 8
- 238000001878 scanning electron micrograph Methods 0.000 description 8
- 238000004528 spin coating Methods 0.000 description 8
- 238000005903 acid hydrolysis reaction Methods 0.000 description 7
- ZODAOVNETBTTJX-UHFFFAOYSA-N bis(4-methoxyphenyl)methanol Chemical class C1=CC(OC)=CC=C1C(O)C1=CC=C(OC)C=C1 ZODAOVNETBTTJX-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 239000002245 particle Substances 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- YFSUTJLHUFNCNZ-UHFFFAOYSA-N perfluorooctane-1-sulfonic acid Chemical compound OS(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-N 0.000 description 7
- 238000012545 processing Methods 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- JNELGWHKGNBSMD-UHFFFAOYSA-N xanthone Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3OC2=C1 JNELGWHKGNBSMD-UHFFFAOYSA-N 0.000 description 7
- FEPVMSGRPPGOGM-UHFFFAOYSA-N 1-[dimethoxy-(4-methoxyphenyl)methyl]-4-methoxybenzene Chemical compound COc1ccc(cc1)C(OC)(OC)c1ccc(OC)cc1 FEPVMSGRPPGOGM-UHFFFAOYSA-N 0.000 description 6
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 6
- 239000007983 Tris buffer Substances 0.000 description 6
- 230000001133 acceleration Effects 0.000 description 6
- 230000009471 action Effects 0.000 description 6
- 125000004390 alkyl sulfonyl group Chemical group 0.000 description 6
- 150000001721 carbon Chemical group 0.000 description 6
- 238000000354 decomposition reaction Methods 0.000 description 6
- 239000001257 hydrogen Substances 0.000 description 6
- 229910052739 hydrogen Inorganic materials 0.000 description 6
- 238000010884 ion-beam technique Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- 239000012299 nitrogen atmosphere Substances 0.000 description 6
- 150000002894 organic compounds Chemical class 0.000 description 6
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 description 6
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- 239000000654 additive Substances 0.000 description 5
- 150000001733 carboxylic acid esters Chemical class 0.000 description 5
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 5
- 125000004430 oxygen atom Chemical group O* 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- 229920005989 resin Polymers 0.000 description 5
- 239000011347 resin Substances 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- 239000004094 surface-active agent Substances 0.000 description 5
- 125000003396 thiol group Chemical group [H]S* 0.000 description 5
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 4
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 4
- 239000004925 Acrylic resin Substances 0.000 description 4
- 229920000178 Acrylic resin Polymers 0.000 description 4
- 102100033806 Alpha-protein kinase 3 Human genes 0.000 description 4
- 101710082399 Alpha-protein kinase 3 Proteins 0.000 description 4
- KXDHJXZQYSOELW-UHFFFAOYSA-N Carbamic acid Chemical group NC(O)=O KXDHJXZQYSOELW-UHFFFAOYSA-N 0.000 description 4
- YXHKONLOYHBTNS-UHFFFAOYSA-N Diazomethane Chemical class C=[N+]=[N-] YXHKONLOYHBTNS-UHFFFAOYSA-N 0.000 description 4
- OJGMBLNIHDZDGS-UHFFFAOYSA-N N-Ethylaniline Chemical compound CCNC1=CC=CC=C1 OJGMBLNIHDZDGS-UHFFFAOYSA-N 0.000 description 4
- AFBPFSWMIHJQDM-UHFFFAOYSA-N N-methylaniline Chemical compound CNC1=CC=CC=C1 AFBPFSWMIHJQDM-UHFFFAOYSA-N 0.000 description 4
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 4
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 4
- 108010021119 Trichosanthin Proteins 0.000 description 4
- 150000001299 aldehydes Chemical class 0.000 description 4
- 125000004849 alkoxymethyl group Chemical group 0.000 description 4
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- ISAOCJYIOMOJEB-UHFFFAOYSA-N benzoin Chemical compound C=1C=CC=CC=1C(O)C(=O)C1=CC=CC=C1 ISAOCJYIOMOJEB-UHFFFAOYSA-N 0.000 description 4
- 150000007942 carboxylates Chemical class 0.000 description 4
- ZYGHJZDHTFUPRJ-UHFFFAOYSA-N coumarin Chemical compound C1=CC=C2OC(=O)C=CC2=C1 ZYGHJZDHTFUPRJ-UHFFFAOYSA-N 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 239000003822 epoxy resin Substances 0.000 description 4
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 4
- 230000005284 excitation Effects 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 238000006460 hydrolysis reaction Methods 0.000 description 4
- 125000004029 hydroxymethyl group Chemical group [H]OC([H])([H])* 0.000 description 4
- 239000000543 intermediate Substances 0.000 description 4
- 239000000178 monomer Substances 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 229920000647 polyepoxide Polymers 0.000 description 4
- 125000001424 substituent group Chemical group 0.000 description 4
- 229910052717 sulfur Inorganic materials 0.000 description 4
- 239000011593 sulfur Substances 0.000 description 4
- AZQWKYJCGOJGHM-UHFFFAOYSA-N 1,4-benzoquinone Chemical compound O=C1C=CC(=O)C=C1 AZQWKYJCGOJGHM-UHFFFAOYSA-N 0.000 description 3
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 3
- WJFKNYWRSNBZNX-UHFFFAOYSA-N 10H-phenothiazine Chemical compound C1=CC=C2NC3=CC=CC=C3SC2=C1 WJFKNYWRSNBZNX-UHFFFAOYSA-N 0.000 description 3
- OZAIFHULBGXAKX-UHFFFAOYSA-N 2-(2-cyanopropan-2-yldiazenyl)-2-methylpropanenitrile Chemical compound N#CC(C)(C)N=NC(C)(C)C#N OZAIFHULBGXAKX-UHFFFAOYSA-N 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 3
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 3
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 3
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 3
- RWRDLPDLKQPQOW-UHFFFAOYSA-N Pyrrolidine Chemical compound C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical group [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- 230000004913 activation Effects 0.000 description 3
- 230000000996 additive effect Effects 0.000 description 3
- 229960003767 alanine Drugs 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 125000000129 anionic group Chemical group 0.000 description 3
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 description 3
- 150000004056 anthraquinones Chemical class 0.000 description 3
- 230000003078 antioxidant effect Effects 0.000 description 3
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 3
- 239000012965 benzophenone Substances 0.000 description 3
- 125000002843 carboxylic acid group Chemical group 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 3
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 description 3
- 150000002148 esters Chemical class 0.000 description 3
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 3
- 125000000524 functional group Chemical group 0.000 description 3
- LNEPOXFFQSENCJ-UHFFFAOYSA-N haloperidol Chemical compound C1CC(O)(C=2C=CC(Cl)=CC=2)CCN1CCCC(=O)C1=CC=C(F)C=C1 LNEPOXFFQSENCJ-UHFFFAOYSA-N 0.000 description 3
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 150000007974 melamines Chemical class 0.000 description 3
- LGRLWUINFJPLSH-UHFFFAOYSA-N methanide Chemical compound [CH3-] LGRLWUINFJPLSH-UHFFFAOYSA-N 0.000 description 3
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 3
- OOHAUGDGCWURIT-UHFFFAOYSA-N n,n-dipentylpentan-1-amine Chemical compound CCCCCN(CCCCC)CCCCC OOHAUGDGCWURIT-UHFFFAOYSA-N 0.000 description 3
- 125000004433 nitrogen atom Chemical group N* 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 239000005011 phenolic resin Substances 0.000 description 3
- 229950000688 phenothiazine Drugs 0.000 description 3
- 150000003384 small molecules Chemical class 0.000 description 3
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 3
- 125000005931 tert-butyloxycarbonyl group Chemical group [H]C([H])([H])C(OC(*)=O)(C([H])([H])[H])C([H])([H])[H] 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- PUPZLCDOIYMWBV-UHFFFAOYSA-N (+/-)-1,3-Butanediol Chemical compound CC(O)CCO PUPZLCDOIYMWBV-UHFFFAOYSA-N 0.000 description 2
- ORDQJVKAPNFUJT-UHFFFAOYSA-N (1-methoxycyclohexa-2,4-dien-1-yl)-(4-methoxyphenyl)methanone Chemical compound COC1(C(=O)C2=CC=C(C=C2)OC)CC=CC=C1 ORDQJVKAPNFUJT-UHFFFAOYSA-N 0.000 description 2
- XNUYPROIFFCXAE-UHFFFAOYSA-N (4-cyclohexylphenyl)-diphenylsulfanium Chemical compound C1CCCCC1C1=CC=C([S+](C=2C=CC=CC=2)C=2C=CC=CC=2)C=C1 XNUYPROIFFCXAE-UHFFFAOYSA-N 0.000 description 2
- MWZJGRDWJVHRDV-UHFFFAOYSA-N 1,4-bis(ethenoxy)butane Chemical compound C=COCCCCOC=C MWZJGRDWJVHRDV-UHFFFAOYSA-N 0.000 description 2
- UEIPWOFSKAZYJO-UHFFFAOYSA-N 1-(2-ethenoxyethoxy)-2-[2-(2-ethenoxyethoxy)ethoxy]ethane Chemical compound C=COCCOCCOCCOCCOC=C UEIPWOFSKAZYJO-UHFFFAOYSA-N 0.000 description 2
- OXHNLMTVIGZXSG-UHFFFAOYSA-N 1-Methylpyrrole Chemical compound CN1C=CC=C1 OXHNLMTVIGZXSG-UHFFFAOYSA-N 0.000 description 2
- BDERNNFJNOPAEC-UHFFFAOYSA-N 1-propanol Substances CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 2
- XUVKSPPGPPFPQN-UHFFFAOYSA-N 10-Methyl-9(10H)-acridone Chemical compound C1=CC=C2N(C)C3=CC=CC=C3C(=O)C2=C1 XUVKSPPGPPFPQN-UHFFFAOYSA-N 0.000 description 2
- KWVGIHKZDCUPEU-UHFFFAOYSA-N 2,2-dimethoxy-2-phenylacetophenone Chemical compound C=1C=CC=CC=1C(OC)(OC)C(=O)C1=CC=CC=C1 KWVGIHKZDCUPEU-UHFFFAOYSA-N 0.000 description 2
- HPYNZHMRTTWQTB-UHFFFAOYSA-N 2,3-dimethylpyridine Chemical compound CC1=CC=CN=C1C HPYNZHMRTTWQTB-UHFFFAOYSA-N 0.000 description 2
- QQZOPKMRPOGIEB-UHFFFAOYSA-N 2-Oxohexane Chemical compound CCCCC(C)=O QQZOPKMRPOGIEB-UHFFFAOYSA-N 0.000 description 2
- TXBCBTDQIULDIA-UHFFFAOYSA-N 2-[[3-hydroxy-2,2-bis(hydroxymethyl)propoxy]methyl]-2-(hydroxymethyl)propane-1,3-diol Chemical compound OCC(CO)(CO)COCC(CO)(CO)CO TXBCBTDQIULDIA-UHFFFAOYSA-N 0.000 description 2
- XMLYCEVDHLAQEL-UHFFFAOYSA-N 2-hydroxy-2-methyl-1-phenylpropan-1-one Chemical compound CC(C)(O)C(=O)C1=CC=CC=C1 XMLYCEVDHLAQEL-UHFFFAOYSA-N 0.000 description 2
- LWRBVKNFOYUCNP-UHFFFAOYSA-N 2-methyl-1-(4-methylsulfanylphenyl)-2-morpholin-4-ylpropan-1-one Chemical compound C1=CC(SC)=CC=C1C(=O)C(C)(C)N1CCOCC1 LWRBVKNFOYUCNP-UHFFFAOYSA-N 0.000 description 2
- NJWGQARXZDRHCD-UHFFFAOYSA-N 2-methylanthraquinone Chemical compound C1=CC=C2C(=O)C3=CC(C)=CC=C3C(=O)C2=C1 NJWGQARXZDRHCD-UHFFFAOYSA-N 0.000 description 2
- KDSNLYIMUZNERS-UHFFFAOYSA-N 2-methylpropanamine Chemical compound CC(C)CN KDSNLYIMUZNERS-UHFFFAOYSA-N 0.000 description 2
- ZPVFWPFBNIEHGJ-UHFFFAOYSA-N 2-octanone Chemical compound CCCCCCC(C)=O ZPVFWPFBNIEHGJ-UHFFFAOYSA-N 0.000 description 2
- IKDIJXDZEYHZSD-UHFFFAOYSA-N 2-phenylethyl formate Chemical compound O=COCCC1=CC=CC=C1 IKDIJXDZEYHZSD-UHFFFAOYSA-N 0.000 description 2
- AGIJRRREJXSQJR-UHFFFAOYSA-N 2h-thiazine Chemical compound N1SC=CC=C1 AGIJRRREJXSQJR-UHFFFAOYSA-N 0.000 description 2
- QDFXRVAOBHEBGJ-UHFFFAOYSA-N 3-(cyclononen-1-yl)-4,5,6,7,8,9-hexahydro-1h-diazonine Chemical compound C1CCCCCCC=C1C1=NNCCCCCC1 QDFXRVAOBHEBGJ-UHFFFAOYSA-N 0.000 description 2
- WADSJYLPJPTMLN-UHFFFAOYSA-N 3-(cycloundecen-1-yl)-1,2-diazacycloundec-2-ene Chemical compound C1CCCCCCCCC=C1C1=NNCCCCCCCC1 WADSJYLPJPTMLN-UHFFFAOYSA-N 0.000 description 2
- JJYPMNFTHPTTDI-UHFFFAOYSA-N 3-methylaniline Chemical compound CC1=CC=CC(N)=C1 JJYPMNFTHPTTDI-UHFFFAOYSA-N 0.000 description 2
- VVBLNCFGVYUYGU-UHFFFAOYSA-N 4,4'-Bis(dimethylamino)benzophenone Chemical compound C1=CC(N(C)C)=CC=C1C(=O)C1=CC=C(N(C)C)C=C1 VVBLNCFGVYUYGU-UHFFFAOYSA-N 0.000 description 2
- HCFAJYNVAYBARA-UHFFFAOYSA-N 4-heptanone Chemical compound CCCC(=O)CCC HCFAJYNVAYBARA-UHFFFAOYSA-N 0.000 description 2
- XLSZMDLNRCVEIJ-UHFFFAOYSA-N 4-methylimidazole Chemical compound CC1=CNC=N1 XLSZMDLNRCVEIJ-UHFFFAOYSA-N 0.000 description 2
- DLFVBJFMPXGRIB-UHFFFAOYSA-N Acetamide Chemical compound CC(N)=O DLFVBJFMPXGRIB-UHFFFAOYSA-N 0.000 description 2
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- GDALETGZDYOOGB-UHFFFAOYSA-N Acridone Natural products C1=C(O)C=C2N(C)C3=CC=CC=C3C(=O)C2=C1O GDALETGZDYOOGB-UHFFFAOYSA-N 0.000 description 2
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 description 2
- KXDAEFPNCMNJSK-UHFFFAOYSA-N Benzamide Chemical compound NC(=O)C1=CC=CC=C1 KXDAEFPNCMNJSK-UHFFFAOYSA-N 0.000 description 2
- UYWQUFXKFGHYNT-UHFFFAOYSA-N Benzylformate Chemical compound O=COCC1=CC=CC=C1 UYWQUFXKFGHYNT-UHFFFAOYSA-N 0.000 description 2
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 description 2
- DKPFZGUDAPQIHT-UHFFFAOYSA-N Butyl acetate Natural products CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 2
- WECJUPODCKXNQK-UHFFFAOYSA-N COc1ccc(Cc(cc2)ccc2OC)cc1 Chemical compound COc1ccc(Cc(cc2)ccc2OC)cc1 WECJUPODCKXNQK-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Natural products CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 2
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- ZHNUHDYFZUAESO-UHFFFAOYSA-N Formamide Chemical compound NC=O ZHNUHDYFZUAESO-UHFFFAOYSA-N 0.000 description 2
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 2
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 description 2
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 2
- CRZQGDNQQAALAY-UHFFFAOYSA-N Methyl benzeneacetate Chemical compound COC(=O)CC1=CC=CC=C1 CRZQGDNQQAALAY-UHFFFAOYSA-N 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- JLTDJTHDQAWBAV-UHFFFAOYSA-N N,N-dimethylaniline Chemical compound CN(C)C1=CC=CC=C1 JLTDJTHDQAWBAV-UHFFFAOYSA-N 0.000 description 2
- ATHHXGZTWNVVOU-UHFFFAOYSA-N N-methylformamide Chemical compound CNC=O ATHHXGZTWNVVOU-UHFFFAOYSA-N 0.000 description 2
- PVNIIMVLHYAWGP-UHFFFAOYSA-N Niacin Chemical compound OC(=O)C1=CC=CN=C1 PVNIIMVLHYAWGP-UHFFFAOYSA-N 0.000 description 2
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 2
- SMWDFEZZVXVKRB-UHFFFAOYSA-N Quinoline Chemical compound N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 description 2
- CDBYLPFSWZWCQE-UHFFFAOYSA-L Sodium Carbonate Chemical compound [Na+].[Na+].[O-]C([O-])=O CDBYLPFSWZWCQE-UHFFFAOYSA-L 0.000 description 2
- 244000028419 Styrax benzoin Species 0.000 description 2
- 235000000126 Styrax benzoin Nutrition 0.000 description 2
- 235000008411 Sumatra benzointree Nutrition 0.000 description 2
- BGNXCDMCOKJUMV-UHFFFAOYSA-N Tert-Butylhydroquinone Chemical compound CC(C)(C)C1=CC(O)=CC=C1O BGNXCDMCOKJUMV-UHFFFAOYSA-N 0.000 description 2
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical group C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 2
- DZBUGLKDJFMEHC-UHFFFAOYSA-N acridine Chemical compound C1=CC=CC2=CC3=CC=CC=C3N=C21 DZBUGLKDJFMEHC-UHFFFAOYSA-N 0.000 description 2
- 125000003647 acryloyl group Chemical group O=C([*])C([H])=C([H])[H] 0.000 description 2
- HOPRXXXSABQWAV-UHFFFAOYSA-N anhydrous collidine Natural products CC1=CC=NC(C)=C1C HOPRXXXSABQWAV-UHFFFAOYSA-N 0.000 description 2
- 150000004982 aromatic amines Chemical class 0.000 description 2
- HYGWNUKOUCZBND-UHFFFAOYSA-N azanide Chemical compound [NH2-] HYGWNUKOUCZBND-UHFFFAOYSA-N 0.000 description 2
- CUFNKYGDVFVPHO-UHFFFAOYSA-N azulene Chemical compound C1=CC=CC2=CC=CC2=C1 CUFNKYGDVFVPHO-UHFFFAOYSA-N 0.000 description 2
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 2
- 229960002130 benzoin Drugs 0.000 description 2
- IOJUPLGTWVMSFF-UHFFFAOYSA-N benzothiazole Chemical compound C1=CC=C2SC=NC2=C1 IOJUPLGTWVMSFF-UHFFFAOYSA-N 0.000 description 2
- QUKGYYKBILRGFE-UHFFFAOYSA-N benzyl acetate Chemical compound CC(=O)OCC1=CC=CC=C1 QUKGYYKBILRGFE-UHFFFAOYSA-N 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- DNFSNYQTQMVTOK-UHFFFAOYSA-N bis(4-tert-butylphenyl)iodanium Chemical compound C1=CC(C(C)(C)C)=CC=C1[I+]C1=CC=C(C(C)(C)C)C=C1 DNFSNYQTQMVTOK-UHFFFAOYSA-N 0.000 description 2
- 239000000872 buffer Substances 0.000 description 2
- WERYXYBDKMZEQL-UHFFFAOYSA-N butane-1,4-diol Chemical compound OCCCCO WERYXYBDKMZEQL-UHFFFAOYSA-N 0.000 description 2
- NMJJFJNHVMGPGM-UHFFFAOYSA-N butyl formate Chemical compound CCCCOC=O NMJJFJNHVMGPGM-UHFFFAOYSA-N 0.000 description 2
- JHRWWRDRBPCWTF-OLQVQODUSA-N captafol Chemical class C1C=CC[C@H]2C(=O)N(SC(Cl)(Cl)C(Cl)Cl)C(=O)[C@H]21 JHRWWRDRBPCWTF-OLQVQODUSA-N 0.000 description 2
- 150000001723 carbon free-radicals Chemical class 0.000 description 2
- 150000001735 carboxylic acids Chemical class 0.000 description 2
- 239000003086 colorant Substances 0.000 description 2
- VPUGDVKSAQVFFS-UHFFFAOYSA-N coronene Chemical compound C1=C(C2=C34)C=CC3=CC=C(C=C3)C4=C4C3=CC=C(C=C3)C4=C2C3=C1 VPUGDVKSAQVFFS-UHFFFAOYSA-N 0.000 description 2
- 229960000956 coumarin Drugs 0.000 description 2
- 235000001671 coumarin Nutrition 0.000 description 2
- NZNMSOFKMUBTKW-UHFFFAOYSA-N cyclohexanecarboxylic acid Chemical compound OC(=O)C1CCCCC1 NZNMSOFKMUBTKW-UHFFFAOYSA-N 0.000 description 2
- PAFZNILMFXTMIY-UHFFFAOYSA-N cyclohexylamine Chemical compound NC1CCCCC1 PAFZNILMFXTMIY-UHFFFAOYSA-N 0.000 description 2
- NISGSNTVMOOSJQ-UHFFFAOYSA-N cyclopentanamine Chemical compound NC1CCCC1 NISGSNTVMOOSJQ-UHFFFAOYSA-N 0.000 description 2
- 230000009849 deactivation Effects 0.000 description 2
- 238000006356 dehydrogenation reaction Methods 0.000 description 2
- JQVDAXLFBXTEQA-UHFFFAOYSA-N dibutylamine Chemical compound CCCCNCCCC JQVDAXLFBXTEQA-UHFFFAOYSA-N 0.000 description 2
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 2
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 description 2
- DMBHHRLKUKUOEG-UHFFFAOYSA-N diphenylamine Chemical compound C=1C=CC=CC=1NC1=CC=CC=C1 DMBHHRLKUKUOEG-UHFFFAOYSA-N 0.000 description 2
- OZLBDYMWFAHSOQ-UHFFFAOYSA-N diphenyliodanium Chemical compound C=1C=CC=CC=1[I+]C1=CC=CC=C1 OZLBDYMWFAHSOQ-UHFFFAOYSA-N 0.000 description 2
- 239000000975 dye Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 125000003700 epoxy group Chemical group 0.000 description 2
- MTZQAGJQAFMTAQ-UHFFFAOYSA-N ethyl benzoate Chemical compound CCOC(=O)C1=CC=CC=C1 MTZQAGJQAFMTAQ-UHFFFAOYSA-N 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 229940116333 ethyl lactate Drugs 0.000 description 2
- FKRCODPIKNYEAC-UHFFFAOYSA-N ethyl propionate Chemical compound CCOC(=O)CC FKRCODPIKNYEAC-UHFFFAOYSA-N 0.000 description 2
- RMBPEFMHABBEKP-UHFFFAOYSA-N fluorene Chemical compound C1=CC=C2C3=C[CH]C=CC3=CC2=C1 RMBPEFMHABBEKP-UHFFFAOYSA-N 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000005227 gel permeation chromatography Methods 0.000 description 2
- 235000019382 gum benzoic Nutrition 0.000 description 2
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 2
- JFCFIXGDCBSLRW-UHFFFAOYSA-N heptan-2-yl 1,1,2,2-tetrafluoroethanesulfonate Chemical compound CC(CCCCC)OS(=O)(=O)C(C(F)F)(F)F JFCFIXGDCBSLRW-UHFFFAOYSA-N 0.000 description 2
- NGAZZOYFWWSOGK-UHFFFAOYSA-N heptan-3-one Chemical compound CCCCC(=O)CC NGAZZOYFWWSOGK-UHFFFAOYSA-N 0.000 description 2
- FUZZWVXGSFPDMH-UHFFFAOYSA-M hexanoate Chemical compound CCCCCC([O-])=O FUZZWVXGSFPDMH-UHFFFAOYSA-M 0.000 description 2
- 150000004678 hydrides Chemical class 0.000 description 2
- 125000004464 hydroxyphenyl group Chemical group 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- IVYPNXXAYMYVSP-UHFFFAOYSA-N indole-3-methanol Chemical compound C1=CC=C2C(CO)=CNC2=C1 IVYPNXXAYMYVSP-UHFFFAOYSA-N 0.000 description 2
- 239000003112 inhibitor Substances 0.000 description 2
- 150000008040 ionic compounds Chemical class 0.000 description 2
- MLFHJEHSLIIPHL-UHFFFAOYSA-N isoamyl acetate Chemical compound CC(C)CCOC(C)=O MLFHJEHSLIIPHL-UHFFFAOYSA-N 0.000 description 2
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 2
- 230000031700 light absorption Effects 0.000 description 2
- 125000005647 linker group Chemical group 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- RPUSRLKKXPQSGP-UHFFFAOYSA-N methyl 3-phenylpropanoate Chemical compound COC(=O)CCC1=CC=CC=C1 RPUSRLKKXPQSGP-UHFFFAOYSA-N 0.000 description 2
- QPJVMBTYPHYUOC-UHFFFAOYSA-N methyl benzoate Chemical compound COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 description 2
- JDEJGVSZUIJWBM-UHFFFAOYSA-N n,n,2-trimethylaniline Chemical compound CN(C)C1=CC=CC=C1C JDEJGVSZUIJWBM-UHFFFAOYSA-N 0.000 description 2
- HNBDRPTVWVGKBR-UHFFFAOYSA-N n-pentanoic acid methyl ester Natural products CCCCC(=O)OC HNBDRPTVWVGKBR-UHFFFAOYSA-N 0.000 description 2
- CDZOGLJOFWFVOZ-UHFFFAOYSA-N n-propylaniline Chemical compound CCCNC1=CC=CC=C1 CDZOGLJOFWFVOZ-UHFFFAOYSA-N 0.000 description 2
- 239000002105 nanoparticle Substances 0.000 description 2
- VKCYHJWLYTUGCC-UHFFFAOYSA-N nonan-2-one Chemical compound CCCCCCCC(C)=O VKCYHJWLYTUGCC-UHFFFAOYSA-N 0.000 description 2
- NIHNNTQXNPWCJQ-UHFFFAOYSA-N o-biphenylenemethane Natural products C1=CC=C2CC3=CC=CC=C3C2=C1 NIHNNTQXNPWCJQ-UHFFFAOYSA-N 0.000 description 2
- RNVCVTLRINQCPJ-UHFFFAOYSA-N o-toluidine Chemical compound CC1=CC=CC=C1N RNVCVTLRINQCPJ-UHFFFAOYSA-N 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- RZXMPPFPUUCRFN-UHFFFAOYSA-N p-toluidine Chemical compound CC1=CC=C(N)C=C1 RZXMPPFPUUCRFN-UHFFFAOYSA-N 0.000 description 2
- WXZMFSXDPGVJKK-UHFFFAOYSA-N pentaerythritol Chemical compound OCC(CO)(CO)CO WXZMFSXDPGVJKK-UHFFFAOYSA-N 0.000 description 2
- DPBLXKKOBLCELK-UHFFFAOYSA-N pentan-1-amine Chemical compound CCCCCN DPBLXKKOBLCELK-UHFFFAOYSA-N 0.000 description 2
- YNPNZTXNASCQKK-UHFFFAOYSA-N phenanthrene Chemical compound C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 description 2
- MDHYEMXUFSJLGV-UHFFFAOYSA-N phenethyl acetate Chemical compound CC(=O)OCCC1=CC=CC=C1 MDHYEMXUFSJLGV-UHFFFAOYSA-N 0.000 description 2
- 239000004014 plasticizer Substances 0.000 description 2
- 239000003505 polymerization initiator Substances 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- BWHMMNNQKKPAPP-UHFFFAOYSA-L potassium carbonate Chemical compound [K+].[K+].[O-]C([O-])=O BWHMMNNQKKPAPP-UHFFFAOYSA-L 0.000 description 2
- KRIOVPPHQSLHCZ-UHFFFAOYSA-N propiophenone Chemical compound CCC(=O)C1=CC=CC=C1 KRIOVPPHQSLHCZ-UHFFFAOYSA-N 0.000 description 2
- WGYKZJWCGVVSQN-UHFFFAOYSA-N propylamine Chemical compound CCCN WGYKZJWCGVVSQN-UHFFFAOYSA-N 0.000 description 2
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 2
- BBEAQIROQSPTKN-UHFFFAOYSA-N pyrene Chemical compound C1=CC=C2C=CC3=CC=CC4=CC=C1C2=C43 BBEAQIROQSPTKN-UHFFFAOYSA-N 0.000 description 2
- UBQKCCHYAOITMY-UHFFFAOYSA-N pyridin-2-ol Chemical compound OC1=CC=CC=N1 UBQKCCHYAOITMY-UHFFFAOYSA-N 0.000 description 2
- 238000010791 quenching Methods 0.000 description 2
- 230000000171 quenching effect Effects 0.000 description 2
- YGSDEFSMJLZEOE-UHFFFAOYSA-N salicylic acid Chemical compound OC(=O)C1=CC=CC=C1O YGSDEFSMJLZEOE-UHFFFAOYSA-N 0.000 description 2
- 150000003839 salts Chemical group 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000003381 stabilizer Substances 0.000 description 2
- KZNICNPSHKQLFF-UHFFFAOYSA-N succinimide Chemical compound O=C1CCC(=O)N1 KZNICNPSHKQLFF-UHFFFAOYSA-N 0.000 description 2
- 150000003871 sulfonates Chemical class 0.000 description 2
- 239000003930 superacid Substances 0.000 description 2
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- LDKDMDVMMCXTMO-GFCCVEGCSA-N tert-butyl n-[(2r)-1-hydroxy-3-phenylpropan-2-yl]carbamate Chemical compound CC(C)(C)OC(=O)N[C@@H](CO)CC1=CC=CC=C1 LDKDMDVMMCXTMO-GFCCVEGCSA-N 0.000 description 2
- 150000003510 tertiary aliphatic amines Chemical class 0.000 description 2
- 238000006276 transfer reaction Methods 0.000 description 2
- 125000005591 trimellitate group Chemical group 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- WLOQLWBIJZDHET-UHFFFAOYSA-N triphenylsulfonium Chemical compound C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 WLOQLWBIJZDHET-UHFFFAOYSA-N 0.000 description 2
- 239000012953 triphenylsulfonium Substances 0.000 description 2
- 235000015112 vegetable and seed oil Nutrition 0.000 description 2
- QNODIIQQMGDSEF-UHFFFAOYSA-N (1-hydroxycyclohexyl)-phenylmethanone Chemical compound C=1C=CC=CC=1C(=O)C1(O)CCCCC1 QNODIIQQMGDSEF-UHFFFAOYSA-N 0.000 description 1
- JLLAWIKMLAQZCZ-UHFFFAOYSA-N (2,6-dichlorophenyl)-diphenylphosphorylmethanone Chemical compound ClC1=CC=CC(Cl)=C1C(=O)P(=O)(C=1C=CC=CC=1)C1=CC=CC=C1 JLLAWIKMLAQZCZ-UHFFFAOYSA-N 0.000 description 1
- SUEDCWGEKSLKOM-UHFFFAOYSA-N (2,6-dimethoxyphenyl)-diphenylphosphorylmethanone Chemical compound COC1=CC=CC(OC)=C1C(=O)P(=O)(C=1C=CC=CC=1)C1=CC=CC=C1 SUEDCWGEKSLKOM-UHFFFAOYSA-N 0.000 description 1
- VMHYWKBKHMYRNF-UHFFFAOYSA-N (2-chlorophenyl)-phenylmethanone Chemical compound ClC1=CC=CC=C1C(=O)C1=CC=CC=C1 VMHYWKBKHMYRNF-UHFFFAOYSA-N 0.000 description 1
- CKGKXGQVRVAKEA-UHFFFAOYSA-N (2-methylphenyl)-phenylmethanone Chemical compound CC1=CC=CC=C1C(=O)C1=CC=CC=C1 CKGKXGQVRVAKEA-UHFFFAOYSA-N 0.000 description 1
- SWHAGWLVMRLFKO-UHFFFAOYSA-N (2-nitrophenyl)methyl carbamate Chemical compound NC(=O)OCC1=CC=CC=C1[N+]([O-])=O SWHAGWLVMRLFKO-UHFFFAOYSA-N 0.000 description 1
- JDTOWOURWBDELG-QHCPKHFHSA-N (2r)-2-[(2-methylpropan-2-yl)oxycarbonylamino]-3-tritylsulfanylpropanoic acid Chemical compound C=1C=CC=CC=1C(C=1C=CC=CC=1)(SC[C@H](NC(=O)OC(C)(C)C)C(O)=O)C1=CC=CC=C1 JDTOWOURWBDELG-QHCPKHFHSA-N 0.000 description 1
- ZQEBQGAAWMOMAI-ZETCQYMHSA-N (2s)-1-[(2-methylpropan-2-yl)oxycarbonyl]pyrrolidine-2-carboxylic acid Chemical compound CC(C)(C)OC(=O)N1CCC[C@H]1C(O)=O ZQEBQGAAWMOMAI-ZETCQYMHSA-N 0.000 description 1
- RBGBQMOBKHHECH-VKHMYHEASA-N (2s)-2-(methoxyamino)propanoic acid Chemical compound CON[C@@H](C)C(O)=O RBGBQMOBKHHECH-VKHMYHEASA-N 0.000 description 1
- ZYJPUMXJBDHSIF-NSHDSACASA-N (2s)-2-[(2-methylpropan-2-yl)oxycarbonylamino]-3-phenylpropanoic acid Chemical compound CC(C)(C)OC(=O)N[C@H](C(O)=O)CC1=CC=CC=C1 ZYJPUMXJBDHSIF-NSHDSACASA-N 0.000 description 1
- IMUSLIHRIYOHEV-ZETCQYMHSA-N (2s)-2-[(2-methylpropan-2-yl)oxycarbonylamino]-4-methylsulfanylbutanoic acid Chemical compound CSCC[C@@H](C(O)=O)NC(=O)OC(C)(C)C IMUSLIHRIYOHEV-ZETCQYMHSA-N 0.000 description 1
- SOHLZANWVLCPHK-LBPRGKRZSA-N (2s)-2-[(2-methylpropan-2-yl)oxycarbonylamino]-4-oxo-4-phenylmethoxybutanoic acid Chemical compound CC(C)(C)OC(=O)N[C@H](C(O)=O)CC(=O)OCC1=CC=CC=C1 SOHLZANWVLCPHK-LBPRGKRZSA-N 0.000 description 1
- QVHJQCGUWFKTSE-YFKPBYRVSA-N (2s)-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoic acid Chemical compound OC(=O)[C@H](C)NC(=O)OC(C)(C)C QVHJQCGUWFKTSE-YFKPBYRVSA-N 0.000 description 1
- MSLTZKLJPHUCPU-WNQIDUERSA-M (2s)-2-hydroxypropanoate;tetrabutylazanium Chemical compound C[C@H](O)C([O-])=O.CCCC[N+](CCCC)(CCCC)CCCC MSLTZKLJPHUCPU-WNQIDUERSA-M 0.000 description 1
- CNBUSIJNWNXLQQ-NSHDSACASA-N (2s)-3-(4-hydroxyphenyl)-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoic acid Chemical compound CC(C)(C)OC(=O)N[C@H](C(O)=O)CC1=CC=C(O)C=C1 CNBUSIJNWNXLQQ-NSHDSACASA-N 0.000 description 1
- FHOAKXBXYSJBGX-YFKPBYRVSA-N (2s)-3-hydroxy-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoic acid Chemical compound CC(C)(C)OC(=O)N[C@@H](CO)C(O)=O FHOAKXBXYSJBGX-YFKPBYRVSA-N 0.000 description 1
- SZXBQTSZISFIAO-ZETCQYMHSA-N (2s)-3-methyl-2-[(2-methylpropan-2-yl)oxycarbonylamino]butanoic acid Chemical compound CC(C)[C@@H](C(O)=O)NC(=O)OC(C)(C)C SZXBQTSZISFIAO-ZETCQYMHSA-N 0.000 description 1
- DQUHYEDEGRNAFO-QMMMGPOBSA-N (2s)-6-amino-2-[(2-methylpropan-2-yl)oxycarbonylamino]hexanoic acid Chemical compound CC(C)(C)OC(=O)N[C@H](C(O)=O)CCCCN DQUHYEDEGRNAFO-QMMMGPOBSA-N 0.000 description 1
- WSPTXBWEPQGLGZ-NSHDSACASA-N (2s)-6-amino-2-anilinohexanoic acid Chemical compound NCCCC[C@@H](C(O)=O)NC1=CC=CC=C1 WSPTXBWEPQGLGZ-NSHDSACASA-N 0.000 description 1
- CTXPLTPDOISPTE-YPMHNXCESA-N (2s,3r)-2-[(2-methylpropan-2-yl)oxycarbonylamino]-3-phenylmethoxybutanoic acid Chemical compound CC(C)(C)OC(=O)N[C@H](C(O)=O)[C@@H](C)OCC1=CC=CC=C1 CTXPLTPDOISPTE-YPMHNXCESA-N 0.000 description 1
- LLHOYOCAAURYRL-RITPCOANSA-N (2s,3r)-3-hydroxy-2-[(2-methylpropan-2-yl)oxycarbonylamino]butanoic acid Chemical compound C[C@@H](O)[C@@H](C(O)=O)NC(=O)OC(C)(C)C LLHOYOCAAURYRL-RITPCOANSA-N 0.000 description 1
- QJCNLJWUIOIMMF-YUMQZZPRSA-N (2s,3s)-3-methyl-2-[(2-methylpropan-2-yl)oxycarbonylamino]pentanoic acid Chemical compound CC[C@H](C)[C@@H](C(O)=O)NC(=O)OC(C)(C)C QJCNLJWUIOIMMF-YUMQZZPRSA-N 0.000 description 1
- OOIBFPKQHULHSQ-UHFFFAOYSA-N (3-hydroxy-1-adamantyl) 2-methylprop-2-enoate Chemical compound C1C(C2)CC3CC2(O)CC1(OC(=O)C(=C)C)C3 OOIBFPKQHULHSQ-UHFFFAOYSA-N 0.000 description 1
- URBLVRAVOIVZFJ-UHFFFAOYSA-N (3-methylphenyl)-phenylmethanone Chemical compound CC1=CC=CC(C(=O)C=2C=CC=CC=2)=C1 URBLVRAVOIVZFJ-UHFFFAOYSA-N 0.000 description 1
- KEOLYBMGRQYQTN-UHFFFAOYSA-N (4-bromophenyl)-phenylmethanone Chemical compound C1=CC(Br)=CC=C1C(=O)C1=CC=CC=C1 KEOLYBMGRQYQTN-UHFFFAOYSA-N 0.000 description 1
- UFADEYDBOXASBQ-UHFFFAOYSA-M (4-cyclohexylphenyl)-diphenylsulfanium;1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1CCCCC1C1=CC=C([S+](C=2C=CC=CC=2)C=2C=CC=CC=2)C=C1 UFADEYDBOXASBQ-UHFFFAOYSA-M 0.000 description 1
- QEYKXSMQZGHJSX-UHFFFAOYSA-M (4-cyclohexylphenyl)-diphenylsulfanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1CCCCC1C1=CC=C([S+](C=2C=CC=CC=2)C=2C=CC=CC=2)C=C1 QEYKXSMQZGHJSX-UHFFFAOYSA-M 0.000 description 1
- WXPWZZHELZEVPO-UHFFFAOYSA-N (4-methylphenyl)-phenylmethanone Chemical compound C1=CC(C)=CC=C1C(=O)C1=CC=CC=C1 WXPWZZHELZEVPO-UHFFFAOYSA-N 0.000 description 1
- VKOHBZXAXOVWQU-UHFFFAOYSA-M (4-methylsulfonylphenyl)-diphenylsulfanium;1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC(S(=O)(=O)C)=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 VKOHBZXAXOVWQU-UHFFFAOYSA-M 0.000 description 1
- CFRRWRASBDSKBI-UHFFFAOYSA-M (4-methylsulfonylphenyl)-diphenylsulfanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC(S(=O)(=O)C)=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 CFRRWRASBDSKBI-UHFFFAOYSA-M 0.000 description 1
- QGKMIGUHVLGJBR-UHFFFAOYSA-M (4z)-1-(3-methylbutyl)-4-[[1-(3-methylbutyl)quinolin-1-ium-4-yl]methylidene]quinoline;iodide Chemical compound [I-].C12=CC=CC=C2N(CCC(C)C)C=CC1=CC1=CC=[N+](CCC(C)C)C2=CC=CC=C12 QGKMIGUHVLGJBR-UHFFFAOYSA-M 0.000 description 1
- ULPMRIXXHGUZFA-UHFFFAOYSA-N (R)-4-Methyl-3-hexanone Natural products CCC(C)C(=O)CC ULPMRIXXHGUZFA-UHFFFAOYSA-N 0.000 description 1
- MIOPJNTWMNEORI-GMSGAONNSA-N (S)-camphorsulfonic acid Chemical compound C1C[C@@]2(CS(O)(=O)=O)C(=O)C[C@@H]1C2(C)C MIOPJNTWMNEORI-GMSGAONNSA-N 0.000 description 1
- KFSQJVOLYQRELE-HWKANZROSA-N (e)-2-ethylbut-2-enoic acid Chemical compound CC\C(=C/C)C(O)=O KFSQJVOLYQRELE-HWKANZROSA-N 0.000 description 1
- VLLPVDKADBYKLM-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;triphenylsulfanium Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 VLLPVDKADBYKLM-UHFFFAOYSA-M 0.000 description 1
- YFSUTJLHUFNCNZ-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-heptadecafluorooctane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-M 0.000 description 1
- GPHWXFINOWXMDN-UHFFFAOYSA-N 1,1-bis(ethenoxy)hexane Chemical compound CCCCCC(OC=C)OC=C GPHWXFINOWXMDN-UHFFFAOYSA-N 0.000 description 1
- HIYIGPVBMDKPCR-UHFFFAOYSA-N 1,1-bis(ethenoxymethyl)cyclohexane Chemical compound C=COCC1(COC=C)CCCCC1 HIYIGPVBMDKPCR-UHFFFAOYSA-N 0.000 description 1
- WCBPJVKVIMMEQC-UHFFFAOYSA-N 1,1-diphenyl-2-(2,4,6-trinitrophenyl)hydrazine Chemical group [O-][N+](=O)C1=CC([N+](=O)[O-])=CC([N+]([O-])=O)=C1NN(C=1C=CC=CC=1)C1=CC=CC=C1 WCBPJVKVIMMEQC-UHFFFAOYSA-N 0.000 description 1
- 150000005045 1,10-phenanthrolines Chemical class 0.000 description 1
- CYIGRWUIQAVBFG-UHFFFAOYSA-N 1,2-bis(2-ethenoxyethoxy)ethane Chemical compound C=COCCOCCOCCOC=C CYIGRWUIQAVBFG-UHFFFAOYSA-N 0.000 description 1
- ZXHDVRATSGZISC-UHFFFAOYSA-N 1,2-bis(ethenoxy)ethane Chemical compound C=COCCOC=C ZXHDVRATSGZISC-UHFFFAOYSA-N 0.000 description 1
- LEEANUDEDHYDTG-UHFFFAOYSA-N 1,2-dimethoxypropane Chemical compound COCC(C)OC LEEANUDEDHYDTG-UHFFFAOYSA-N 0.000 description 1
- JLIDRDJNLAWIKT-UHFFFAOYSA-N 1,2-dimethyl-3h-benzo[e]indole Chemical compound C1=CC=CC2=C(C(=C(C)N3)C)C3=CC=C21 JLIDRDJNLAWIKT-UHFFFAOYSA-N 0.000 description 1
- MSAHTMIQULFMRG-UHFFFAOYSA-N 1,2-diphenyl-2-propan-2-yloxyethanone Chemical compound C=1C=CC=CC=1C(OC(C)C)C(=O)C1=CC=CC=C1 MSAHTMIQULFMRG-UHFFFAOYSA-N 0.000 description 1
- QWQFVUQPHUKAMY-UHFFFAOYSA-N 1,2-diphenyl-2-propoxyethanone Chemical compound C=1C=CC=CC=1C(OCCC)C(=O)C1=CC=CC=C1 QWQFVUQPHUKAMY-UHFFFAOYSA-N 0.000 description 1
- GEYOCULIXLDCMW-UHFFFAOYSA-N 1,2-phenylenediamine Chemical compound NC1=CC=CC=C1N GEYOCULIXLDCMW-UHFFFAOYSA-N 0.000 description 1
- BPXVHIRIPLPOPT-UHFFFAOYSA-N 1,3,5-tris(2-hydroxyethyl)-1,3,5-triazinane-2,4,6-trione Chemical compound OCCN1C(=O)N(CCO)C(=O)N(CCO)C1=O BPXVHIRIPLPOPT-UHFFFAOYSA-N 0.000 description 1
- BCMCBBGGLRIHSE-UHFFFAOYSA-N 1,3-benzoxazole Chemical compound C1=CC=C2OC=NC2=C1 BCMCBBGGLRIHSE-UHFFFAOYSA-N 0.000 description 1
- AITKNDQVEUUYHE-UHFFFAOYSA-N 1,3-bis(ethenoxy)-2,2-dimethylpropane Chemical compound C=COCC(C)(C)COC=C AITKNDQVEUUYHE-UHFFFAOYSA-N 0.000 description 1
- VOYADQIFGGIKAT-UHFFFAOYSA-N 1,3-dibutyl-4-hydroxy-2,6-dioxopyrimidine-5-carboximidamide Chemical compound CCCCn1c(O)c(C(N)=N)c(=O)n(CCCC)c1=O VOYADQIFGGIKAT-UHFFFAOYSA-N 0.000 description 1
- ZPQOPVIELGIULI-UHFFFAOYSA-N 1,3-dichlorobenzene Chemical compound ClC1=CC=CC(Cl)=C1 ZPQOPVIELGIULI-UHFFFAOYSA-N 0.000 description 1
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- CGHMMUAOPPRRMX-UHFFFAOYSA-N 1,4-bis(ethenoxy)cyclohexane Chemical compound C=COC1CCC(OC=C)CC1 CGHMMUAOPPRRMX-UHFFFAOYSA-N 0.000 description 1
- DKEGCUDAFWNSSO-UHFFFAOYSA-N 1,8-dibromooctane Chemical compound BrCCCCCCCCBr DKEGCUDAFWNSSO-UHFFFAOYSA-N 0.000 description 1
- WDQFELCEOPFLCZ-UHFFFAOYSA-N 1-(2-hydroxyethyl)pyrrolidin-2-one Chemical compound OCCN1CCCC1=O WDQFELCEOPFLCZ-UHFFFAOYSA-N 0.000 description 1
- CEZIJESLKIMKNL-UHFFFAOYSA-N 1-(4-butoxynaphthalen-1-yl)thiolan-1-ium Chemical compound C12=CC=CC=C2C(OCCCC)=CC=C1[S+]1CCCC1 CEZIJESLKIMKNL-UHFFFAOYSA-N 0.000 description 1
- WNDABSCBNOUSTE-UHFFFAOYSA-M 1-(4-butoxynaphthalen-1-yl)thiolan-1-ium;1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C12=CC=CC=C2C(OCCCC)=CC=C1[S+]1CCCC1 WNDABSCBNOUSTE-UHFFFAOYSA-M 0.000 description 1
- JQIQJUCEFIYYOJ-UHFFFAOYSA-M 1-(4-butoxynaphthalen-1-yl)thiolan-1-ium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C12=CC=CC=C2C(OCCCC)=CC=C1[S+]1CCCC1 JQIQJUCEFIYYOJ-UHFFFAOYSA-M 0.000 description 1
- WXWSNMWMJAFDLG-UHFFFAOYSA-N 1-(6-butoxynaphthalen-2-yl)thiolan-1-ium Chemical compound C1=CC2=CC(OCCCC)=CC=C2C=C1[S+]1CCCC1 WXWSNMWMJAFDLG-UHFFFAOYSA-N 0.000 description 1
- WFZZYWQSLJQVOT-UHFFFAOYSA-M 1-(6-butoxynaphthalen-2-yl)thiolan-1-ium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC2=CC(OCCCC)=CC=C2C=C1[S+]1CCCC1 WFZZYWQSLJQVOT-UHFFFAOYSA-M 0.000 description 1
- FJLUATLTXUNBOT-UHFFFAOYSA-N 1-Hexadecylamine Chemical compound CCCCCCCCCCCCCCCCN FJLUATLTXUNBOT-UHFFFAOYSA-N 0.000 description 1
- HUDYANRNMZDQGA-UHFFFAOYSA-N 1-[4-(dimethylamino)phenyl]ethanone Chemical compound CN(C)C1=CC=C(C(C)=O)C=C1 HUDYANRNMZDQGA-UHFFFAOYSA-N 0.000 description 1
- WNQSKPOIYILBMI-UHFFFAOYSA-N 1-[butylsulfonyl(diazo)methyl]sulfonylbutane Chemical compound CCCCS(=O)(=O)C(=[N+]=[N-])S(=O)(=O)CCCC WNQSKPOIYILBMI-UHFFFAOYSA-N 0.000 description 1
- SARBEXUBWVTNBT-UHFFFAOYSA-N 1-[diazo(naphthalen-1-ylsulfonyl)methyl]sulfonylnaphthalene Chemical compound C1=CC=C2C(S(=O)(=O)C(S(=O)(=O)C=3C4=CC=CC=C4C=CC=3)=[N+]=[N-])=CC=CC2=C1 SARBEXUBWVTNBT-UHFFFAOYSA-N 0.000 description 1
- WUYAQJZXAJBVFT-UHFFFAOYSA-N 1-[diazo(propylsulfonyl)methyl]sulfonylpropane Chemical compound CCCS(=O)(=O)C(=[N+]=[N-])S(=O)(=O)CCC WUYAQJZXAJBVFT-UHFFFAOYSA-N 0.000 description 1
- OESYNCIYSBWEQV-UHFFFAOYSA-N 1-[diazo-(2,4-dimethylphenyl)sulfonylmethyl]sulfonyl-2,4-dimethylbenzene Chemical compound CC1=CC(C)=CC=C1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=C(C)C=C1C OESYNCIYSBWEQV-UHFFFAOYSA-N 0.000 description 1
- GYQQFWWMZYBCIB-UHFFFAOYSA-N 1-[diazo-(4-methylphenyl)sulfonylmethyl]sulfonyl-4-methylbenzene Chemical compound C1=CC(C)=CC=C1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=C(C)C=C1 GYQQFWWMZYBCIB-UHFFFAOYSA-N 0.000 description 1
- ULGCVKBNCOLUAV-UHFFFAOYSA-N 1-chloro-4-[(4-chlorophenyl)sulfonyl-diazomethyl]sulfonylbenzene Chemical compound C1=CC(Cl)=CC=C1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=C(Cl)C=C1 ULGCVKBNCOLUAV-UHFFFAOYSA-N 0.000 description 1
- VKQJCUYEEABXNK-UHFFFAOYSA-N 1-chloro-4-propoxythioxanthen-9-one Chemical compound S1C2=CC=CC=C2C(=O)C2=C1C(OCCC)=CC=C2Cl VKQJCUYEEABXNK-UHFFFAOYSA-N 0.000 description 1
- SAMJGBVVQUEMGC-UHFFFAOYSA-N 1-ethenoxy-2-(2-ethenoxyethoxy)ethane Chemical compound C=COCCOCCOC=C SAMJGBVVQUEMGC-UHFFFAOYSA-N 0.000 description 1
- JOLQKTGDSGKSKJ-UHFFFAOYSA-N 1-ethoxypropan-2-ol Chemical compound CCOCC(C)O JOLQKTGDSGKSKJ-UHFFFAOYSA-N 0.000 description 1
- LIPRQQHINVWJCH-UHFFFAOYSA-N 1-ethoxypropan-2-yl acetate Chemical compound CCOCC(C)OC(C)=O LIPRQQHINVWJCH-UHFFFAOYSA-N 0.000 description 1
- BMVXCPBXGZKUPN-UHFFFAOYSA-N 1-hexanamine Chemical compound CCCCCCN BMVXCPBXGZKUPN-UHFFFAOYSA-N 0.000 description 1
- 239000012956 1-hydroxycyclohexylphenyl-ketone Substances 0.000 description 1
- AVFZOVWCLRSYKC-UHFFFAOYSA-N 1-methylpyrrolidine Chemical compound CN1CCCC1 AVFZOVWCLRSYKC-UHFFFAOYSA-N 0.000 description 1
- RUFPHBVGCFYCNW-UHFFFAOYSA-N 1-naphthylamine Chemical compound C1=CC=C2C(N)=CC=CC2=C1 RUFPHBVGCFYCNW-UHFFFAOYSA-N 0.000 description 1
- 229940044613 1-propanol Drugs 0.000 description 1
- 125000001462 1-pyrrolyl group Chemical group [*]N1C([H])=C([H])C([H])=C1[H] 0.000 description 1
- ZBZAGZCFEOTODC-UHFFFAOYSA-N 1-tert-butyl-4-[(4-tert-butylphenyl)sulfonyl-diazomethyl]sulfonylbenzene Chemical compound C1=CC(C(C)(C)C)=CC=C1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=C(C(C)(C)C)C=C1 ZBZAGZCFEOTODC-UHFFFAOYSA-N 0.000 description 1
- YUBXLROQJAIVDR-UHFFFAOYSA-N 10-butyl-1-chloroacridin-9-one Chemical compound C1=CC=C2N(CCCC)C3=CC=CC=C3C(=O)C2=C1Cl YUBXLROQJAIVDR-UHFFFAOYSA-N 0.000 description 1
- QFDDZIRGHKFRMR-UHFFFAOYSA-N 10-butylacridin-9-one Chemical compound C1=CC=C2N(CCCC)C3=CC=CC=C3C(=O)C2=C1 QFDDZIRGHKFRMR-UHFFFAOYSA-N 0.000 description 1
- BAXOFTOLAUCFNW-UHFFFAOYSA-N 1H-indazole Chemical class C1=CC=C2C=NNC2=C1 BAXOFTOLAUCFNW-UHFFFAOYSA-N 0.000 description 1
- KGRVJHAUYBGFFP-UHFFFAOYSA-N 2,2'-Methylenebis(4-methyl-6-tert-butylphenol) Chemical compound CC(C)(C)C1=CC(C)=CC(CC=2C(=C(C=C(C)C=2)C(C)(C)C)O)=C1O KGRVJHAUYBGFFP-UHFFFAOYSA-N 0.000 description 1
- SUFSXWBMZQUYOC-UHFFFAOYSA-N 2,2-bis(ethenoxymethyl)propane-1,3-diol Chemical compound C=COCC(CO)(CO)COC=C SUFSXWBMZQUYOC-UHFFFAOYSA-N 0.000 description 1
- PIZHFBODNLEQBL-UHFFFAOYSA-N 2,2-diethoxy-1-phenylethanone Chemical compound CCOC(OCC)C(=O)C1=CC=CC=C1 PIZHFBODNLEQBL-UHFFFAOYSA-N 0.000 description 1
- WBDPKZCMVAISAL-UHFFFAOYSA-N 2,3,4-triethylpyridine Chemical compound CCC1=CC=NC(CC)=C1CC WBDPKZCMVAISAL-UHFFFAOYSA-N 0.000 description 1
- QHUHPERZCBUMRK-UHFFFAOYSA-N 2,3-dimethoxypyridine Chemical compound COC1=CC=CN=C1OC QHUHPERZCBUMRK-UHFFFAOYSA-N 0.000 description 1
- WKAXDAMWMOBXMP-UHFFFAOYSA-N 2,3-diphenylpyridine Chemical compound C1=CC=CC=C1C1=CC=CN=C1C1=CC=CC=C1 WKAXDAMWMOBXMP-UHFFFAOYSA-N 0.000 description 1
- BTJPUDCSZVCXFQ-UHFFFAOYSA-N 2,4-diethylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(CC)=CC(CC)=C3SC2=C1 BTJPUDCSZVCXFQ-UHFFFAOYSA-N 0.000 description 1
- MFFMQGGZCLEMCI-UHFFFAOYSA-N 2,4-dimethyl-1h-pyrrole Chemical compound CC1=CNC(C)=C1 MFFMQGGZCLEMCI-UHFFFAOYSA-N 0.000 description 1
- LXQOQPGNCGEELI-UHFFFAOYSA-N 2,4-dinitroaniline Chemical compound NC1=CC=C([N+]([O-])=O)C=C1[N+]([O-])=O LXQOQPGNCGEELI-UHFFFAOYSA-N 0.000 description 1
- WFQNMENFJSZTGD-UHFFFAOYSA-O 2,6-dimethyl-4-(thiolan-1-ium-1-yl)phenol Chemical compound CC1=C(O)C(C)=CC([S+]2CCCC2)=C1 WFQNMENFJSZTGD-UHFFFAOYSA-O 0.000 description 1
- GNZYDSUXCMLOMM-UHFFFAOYSA-N 2,6-dimethyl-4-(thiolan-1-ium-1-yl)phenol;1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound CC1=C(O)C(C)=CC([S+]2CCCC2)=C1.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F GNZYDSUXCMLOMM-UHFFFAOYSA-N 0.000 description 1
- VAOHUAFTTHSCRT-UHFFFAOYSA-N 2,6-dimethyl-4-(thiolan-1-ium-1-yl)phenol;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.CC1=C(O)C(C)=CC([S+]2CCCC2)=C1 VAOHUAFTTHSCRT-UHFFFAOYSA-N 0.000 description 1
- QFUSCYRJMXLNRB-UHFFFAOYSA-N 2,6-dinitroaniline Chemical compound NC1=C([N+]([O-])=O)C=CC=C1[N+]([O-])=O QFUSCYRJMXLNRB-UHFFFAOYSA-N 0.000 description 1
- VYONOYYDEFODAJ-UHFFFAOYSA-N 2-(1-Aziridinyl)ethanol Chemical compound OCCN1CC1 VYONOYYDEFODAJ-UHFFFAOYSA-N 0.000 description 1
- FYVMBPXFPFAECB-UHFFFAOYSA-N 2-(1-methylpyrrolidin-2-yl)ethanol Chemical compound CN1CCCC1CCO FYVMBPXFPFAECB-UHFFFAOYSA-N 0.000 description 1
- MWFLUYFYHANMCM-UHFFFAOYSA-N 2-(2-hydroxyethyl)isoindole-1,3-dione Chemical compound C1=CC=C2C(=O)N(CCO)C(=O)C2=C1 MWFLUYFYHANMCM-UHFFFAOYSA-N 0.000 description 1
- CIEPNGYYAZJVPI-UHFFFAOYSA-M 2-(3-bicyclo[2.2.1]heptanyl)-1,1,2,2-tetrafluoroethanesulfonate;(4-methylsulfonylphenyl)-diphenylsulfanium Chemical compound C1CC2C(C(F)(F)C(F)(F)S(=O)(=O)[O-])CC1C2.C1=CC(S(=O)(=O)C)=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 CIEPNGYYAZJVPI-UHFFFAOYSA-M 0.000 description 1
- CDSOWTBAXZQSFF-UHFFFAOYSA-M 2-(3-bicyclo[2.2.1]heptanyl)-1,1,2,2-tetrafluoroethanesulfonate;1-(4-butoxynaphthalen-1-yl)thiolan-1-ium Chemical compound C1CC2C(C(F)(F)C(F)(F)S(=O)(=O)[O-])CC1C2.C12=CC=CC=C2C(OCCCC)=CC=C1[S+]1CCCC1 CDSOWTBAXZQSFF-UHFFFAOYSA-M 0.000 description 1
- COAPLGOCHZYBCI-UHFFFAOYSA-M 2-(3-bicyclo[2.2.1]heptanyl)-1,1,2,2-tetrafluoroethanesulfonate;1-(6-butoxynaphthalen-2-yl)thiolan-1-ium Chemical compound C1CC2C(C(F)(F)C(F)(F)S(=O)(=O)[O-])CC1C2.C1=CC2=CC(OCCCC)=CC=C2C=C1[S+]1CCCC1 COAPLGOCHZYBCI-UHFFFAOYSA-M 0.000 description 1
- ZSDQQJHSRVEGTJ-UHFFFAOYSA-N 2-(6-amino-1h-indol-3-yl)acetonitrile Chemical compound NC1=CC=C2C(CC#N)=CNC2=C1 ZSDQQJHSRVEGTJ-UHFFFAOYSA-N 0.000 description 1
- PUBNJSZGANKUGX-UHFFFAOYSA-N 2-(dimethylamino)-2-[(4-methylphenyl)methyl]-1-(4-morpholin-4-ylphenyl)butan-1-one Chemical compound C=1C=C(N2CCOCC2)C=CC=1C(=O)C(CC)(N(C)C)CC1=CC=C(C)C=C1 PUBNJSZGANKUGX-UHFFFAOYSA-N 0.000 description 1
- KKFDCBRMNNSAAW-UHFFFAOYSA-N 2-(morpholin-4-yl)ethanol Chemical compound OCCN1CCOCC1 KKFDCBRMNNSAAW-UHFFFAOYSA-N 0.000 description 1
- KZTWONRVIPPDKH-UHFFFAOYSA-N 2-(piperidin-1-yl)ethanol Chemical compound OCCN1CCCCC1 KZTWONRVIPPDKH-UHFFFAOYSA-N 0.000 description 1
- XNWFRZJHXBZDAG-UHFFFAOYSA-N 2-METHOXYETHANOL Chemical compound COCCO XNWFRZJHXBZDAG-UHFFFAOYSA-N 0.000 description 1
- PTTPXKJBFFKCEK-UHFFFAOYSA-N 2-Methyl-4-heptanone Chemical compound CC(C)CC(=O)CC(C)C PTTPXKJBFFKCEK-UHFFFAOYSA-N 0.000 description 1
- AVMSWPWPYJVYKY-UHFFFAOYSA-N 2-Methylpropyl formate Chemical compound CC(C)COC=O AVMSWPWPYJVYKY-UHFFFAOYSA-N 0.000 description 1
- OIALIKXMLIAOSN-UHFFFAOYSA-N 2-Propylpyridine Chemical compound CCCC1=CC=CC=N1 OIALIKXMLIAOSN-UHFFFAOYSA-N 0.000 description 1
- WYGWHHGCAGTUCH-UHFFFAOYSA-N 2-[(2-cyano-4-methylpentan-2-yl)diazenyl]-2,4-dimethylpentanenitrile Chemical compound CC(C)CC(C)(C#N)N=NC(C)(C#N)CC(C)C WYGWHHGCAGTUCH-UHFFFAOYSA-N 0.000 description 1
- ROHFBIREHKPELA-UHFFFAOYSA-N 2-[(3,5-ditert-butyl-4-hydroxyphenyl)methyl]prop-2-enoic acid;methane Chemical compound C.CC(C)(C)C1=CC(CC(=C)C(O)=O)=CC(C(C)(C)C)=C1O.CC(C)(C)C1=CC(CC(=C)C(O)=O)=CC(C(C)(C)C)=C1O.CC(C)(C)C1=CC(CC(=C)C(O)=O)=CC(C(C)(C)C)=C1O.CC(C)(C)C1=CC(CC(=C)C(O)=O)=CC(C(C)(C)C)=C1O ROHFBIREHKPELA-UHFFFAOYSA-N 0.000 description 1
- WMYINDVYGQKYMI-UHFFFAOYSA-N 2-[2,2-bis(hydroxymethyl)butoxymethyl]-2-ethylpropane-1,3-diol Chemical compound CCC(CO)(CO)COCC(CC)(CO)CO WMYINDVYGQKYMI-UHFFFAOYSA-N 0.000 description 1
- DRYBUHKBBRHEAE-UHFFFAOYSA-N 2-[diazo(propan-2-ylsulfonyl)methyl]sulfonylpropane Chemical compound CC(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C(C)C DRYBUHKBBRHEAE-UHFFFAOYSA-N 0.000 description 1
- WJSVJNDMOQTICG-UHFFFAOYSA-N 2-amino-1-[(2-methyl-4-methylidene-5-oxooxolan-2-yl)methyl]-7h-purin-6-one Chemical compound NC1=NC=2N=CNC=2C(=O)N1CC1(C)CC(=C)C(=O)O1 WJSVJNDMOQTICG-UHFFFAOYSA-N 0.000 description 1
- FGTYTUFKXYPTML-UHFFFAOYSA-N 2-benzoylbenzoic acid Chemical compound OC(=O)C1=CC=CC=C1C(=O)C1=CC=CC=C1 FGTYTUFKXYPTML-UHFFFAOYSA-N 0.000 description 1
- UHFFVFAKEGKNAQ-UHFFFAOYSA-N 2-benzyl-2-(dimethylamino)-1-(4-morpholin-4-ylphenyl)butan-1-one Chemical compound C=1C=C(N2CCOCC2)C=CC=1C(=O)C(CC)(N(C)C)CC1=CC=CC=C1 UHFFVFAKEGKNAQ-UHFFFAOYSA-N 0.000 description 1
- PCFUWBOSXMKGIP-UHFFFAOYSA-N 2-benzylpyridine Chemical compound C=1C=CC=NC=1CC1=CC=CC=C1 PCFUWBOSXMKGIP-UHFFFAOYSA-N 0.000 description 1
- OFLSKXBALZCMCX-UHFFFAOYSA-N 2-butoxypyridine Chemical compound CCCCOC1=CC=CC=N1 OFLSKXBALZCMCX-UHFFFAOYSA-N 0.000 description 1
- ADSOSINJPNKUJK-UHFFFAOYSA-N 2-butylpyridine Chemical compound CCCCC1=CC=CC=N1 ADSOSINJPNKUJK-UHFFFAOYSA-N 0.000 description 1
- QGLVWTFUWVTDEQ-UHFFFAOYSA-N 2-chloro-3-methoxyphenol Chemical compound COC1=CC=CC(O)=C1Cl QGLVWTFUWVTDEQ-UHFFFAOYSA-N 0.000 description 1
- BFSVOASYOCHEOV-UHFFFAOYSA-N 2-diethylaminoethanol Chemical compound CCN(CC)CCO BFSVOASYOCHEOV-UHFFFAOYSA-N 0.000 description 1
- KMNCBSZOIQAUFX-UHFFFAOYSA-N 2-ethoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(OCC)C(=O)C1=CC=CC=C1 KMNCBSZOIQAUFX-UHFFFAOYSA-N 0.000 description 1
- ZNQVEEAIQZEUHB-UHFFFAOYSA-N 2-ethoxyethanol Chemical compound CCOCCO ZNQVEEAIQZEUHB-UHFFFAOYSA-N 0.000 description 1
- NRGGMCIBEHEAIL-UHFFFAOYSA-N 2-ethylpyridine Chemical compound CCC1=CC=CC=N1 NRGGMCIBEHEAIL-UHFFFAOYSA-N 0.000 description 1
- PCKZAVNWRLEHIP-UHFFFAOYSA-N 2-hydroxy-1-[4-[[4-(2-hydroxy-2-methylpropanoyl)phenyl]methyl]phenyl]-2-methylpropan-1-one Chemical compound C1=CC(C(=O)C(C)(O)C)=CC=C1CC1=CC=C(C(=O)C(C)(C)O)C=C1 PCKZAVNWRLEHIP-UHFFFAOYSA-N 0.000 description 1
- 239000012957 2-hydroxy-2-methyl-1-phenylpropanone Substances 0.000 description 1
- 125000000954 2-hydroxyethyl group Chemical group [H]C([*])([H])C([H])([H])O[H] 0.000 description 1
- KIZQNNOULOCVDM-UHFFFAOYSA-M 2-hydroxyethyl(trimethyl)azanium;hydroxide Chemical compound [OH-].C[N+](C)(C)CCO KIZQNNOULOCVDM-UHFFFAOYSA-M 0.000 description 1
- BWLBGMIXKSTLSX-UHFFFAOYSA-M 2-hydroxyisobutyrate Chemical compound CC(C)(O)C([O-])=O BWLBGMIXKSTLSX-UHFFFAOYSA-M 0.000 description 1
- BQZJOQXSCSZQPS-UHFFFAOYSA-N 2-methoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(OC)C(=O)C1=CC=CC=C1 BQZJOQXSCSZQPS-UHFFFAOYSA-N 0.000 description 1
- IWTFOFMTUOBLHG-UHFFFAOYSA-N 2-methoxypyridine Chemical compound COC1=CC=CC=N1 IWTFOFMTUOBLHG-UHFFFAOYSA-N 0.000 description 1
- BAWPQHHUILXQGW-UHFFFAOYSA-N 2-methyl-1-(4-prop-1-en-2-ylphenyl)propane-1,2-diol Chemical compound CC(=C)C1=CC=C(C(O)C(C)(C)O)C=C1 BAWPQHHUILXQGW-UHFFFAOYSA-N 0.000 description 1
- CTSZPNIMMLSKDV-UHFFFAOYSA-N 2-methyl-1-pyrroline Chemical compound CC1=NCCC1 CTSZPNIMMLSKDV-UHFFFAOYSA-N 0.000 description 1
- IIFFFBSAXDNJHX-UHFFFAOYSA-N 2-methyl-n,n-bis(2-methylpropyl)propan-1-amine Chemical compound CC(C)CN(CC(C)C)CC(C)C IIFFFBSAXDNJHX-UHFFFAOYSA-N 0.000 description 1
- NJBCRXCAPCODGX-UHFFFAOYSA-N 2-methyl-n-(2-methylpropyl)propan-1-amine Chemical compound CC(C)CNCC(C)C NJBCRXCAPCODGX-UHFFFAOYSA-N 0.000 description 1
- GELMWIVBBPAMIO-UHFFFAOYSA-N 2-methylbutan-2-amine Chemical compound CCC(C)(C)N GELMWIVBBPAMIO-UHFFFAOYSA-N 0.000 description 1
- WBPAQKQBUKYCJS-UHFFFAOYSA-N 2-methylpropyl 2-hydroxypropanoate Chemical compound CC(C)COC(=O)C(C)O WBPAQKQBUKYCJS-UHFFFAOYSA-N 0.000 description 1
- BSKHPKMHTQYZBB-UHFFFAOYSA-N 2-methylpyridine Chemical compound CC1=CC=CC=N1 BSKHPKMHTQYZBB-UHFFFAOYSA-N 0.000 description 1
- DPJCXCZTLWNFOH-UHFFFAOYSA-N 2-nitroaniline Chemical compound NC1=CC=CC=C1[N+]([O-])=O DPJCXCZTLWNFOH-UHFFFAOYSA-N 0.000 description 1
- MWDGNKGKLOBESZ-UHFFFAOYSA-N 2-oxooctanal Chemical compound CCCCCCC(=O)C=O MWDGNKGKLOBESZ-UHFFFAOYSA-N 0.000 description 1
- FTYAXYWEDPWJCJ-UHFFFAOYSA-N 2-pentan-3-ylpyridine Chemical compound CCC(CC)C1=CC=CC=N1 FTYAXYWEDPWJCJ-UHFFFAOYSA-N 0.000 description 1
- AXYQEGMSGMXGGK-UHFFFAOYSA-N 2-phenoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(=O)C(C=1C=CC=CC=1)OC1=CC=CC=C1 AXYQEGMSGMXGGK-UHFFFAOYSA-N 0.000 description 1
- WFCSWCVEJLETKA-UHFFFAOYSA-N 2-piperazin-1-ylethanol Chemical compound OCCN1CCNCC1 WFCSWCVEJLETKA-UHFFFAOYSA-N 0.000 description 1
- KTALPKYXQZGAEG-UHFFFAOYSA-N 2-propan-2-ylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(C(C)C)=CC=C3SC2=C1 KTALPKYXQZGAEG-UHFFFAOYSA-N 0.000 description 1
- BXGYBSJAZFGIPX-UHFFFAOYSA-N 2-pyridin-2-ylethanol Chemical compound OCCC1=CC=CC=N1 BXGYBSJAZFGIPX-UHFFFAOYSA-N 0.000 description 1
- RSEBUVRVKCANEP-UHFFFAOYSA-N 2-pyrroline Chemical compound C1CC=CN1 RSEBUVRVKCANEP-UHFFFAOYSA-N 0.000 description 1
- HXIQYSLFEXIOAV-UHFFFAOYSA-N 2-tert-butyl-4-(5-tert-butyl-4-hydroxy-2-methylphenyl)sulfanyl-5-methylphenol Chemical compound CC1=CC(O)=C(C(C)(C)C)C=C1SC1=CC(C(C)(C)C)=C(O)C=C1C HXIQYSLFEXIOAV-UHFFFAOYSA-N 0.000 description 1
- IMOYOUMVYICGCA-UHFFFAOYSA-N 2-tert-butyl-4-hydroxyanisole Chemical compound COC1=CC=C(O)C=C1C(C)(C)C IMOYOUMVYICGCA-UHFFFAOYSA-N 0.000 description 1
- IKEHOXWJQXIQAG-UHFFFAOYSA-N 2-tert-butyl-4-methylphenol Chemical compound CC1=CC=C(O)C(C(C)(C)C)=C1 IKEHOXWJQXIQAG-UHFFFAOYSA-N 0.000 description 1
- YTPSFXZMJKMUJE-UHFFFAOYSA-N 2-tert-butylanthracene-9,10-dione Chemical compound C1=CC=C2C(=O)C3=CC(C(C)(C)C)=CC=C3C(=O)C2=C1 YTPSFXZMJKMUJE-UHFFFAOYSA-N 0.000 description 1
- JZIBVTUXIVIFGC-UHFFFAOYSA-N 2H-pyrrole Chemical compound C1C=CC=N1 JZIBVTUXIVIFGC-UHFFFAOYSA-N 0.000 description 1
- BCHZICNRHXRCHY-UHFFFAOYSA-N 2h-oxazine Chemical compound N1OC=CC=C1 BCHZICNRHXRCHY-UHFFFAOYSA-N 0.000 description 1
- MPBZUKLDHPOCLS-UHFFFAOYSA-N 3,5-dinitroaniline Chemical compound NC1=CC([N+]([O-])=O)=CC([N+]([O-])=O)=C1 MPBZUKLDHPOCLS-UHFFFAOYSA-N 0.000 description 1
- HCILJBJJZALOAL-UHFFFAOYSA-N 3-(3,5-ditert-butyl-4-hydroxyphenyl)-n'-[3-(3,5-ditert-butyl-4-hydroxyphenyl)propanoyl]propanehydrazide Chemical compound CC(C)(C)C1=C(O)C(C(C)(C)C)=CC(CCC(=O)NNC(=O)CCC=2C=C(C(O)=C(C=2)C(C)(C)C)C(C)(C)C)=C1 HCILJBJJZALOAL-UHFFFAOYSA-N 0.000 description 1
- FLROJJGKUKLCAE-UHFFFAOYSA-N 3-amino-2-methylphenol Chemical compound CC1=C(N)C=CC=C1O FLROJJGKUKLCAE-UHFFFAOYSA-N 0.000 description 1
- ZAGZIOYVEIDDJA-UHFFFAOYSA-N 3-aminopyrazine-2-carboxylic acid Chemical compound NC1=NC=CN=C1C(O)=O ZAGZIOYVEIDDJA-UHFFFAOYSA-N 0.000 description 1
- JFUOAGBSDGCVES-UHFFFAOYSA-N 3-but-2-enyl-4-methylpyrrolidine-2,5-dione Chemical compound CC=CCC1C(C)C(=O)NC1=O JFUOAGBSDGCVES-UHFFFAOYSA-N 0.000 description 1
- ILRVMZXWYVQUMN-UHFFFAOYSA-N 3-ethenoxy-2,2-bis(ethenoxymethyl)propan-1-ol Chemical compound C=COCC(CO)(COC=C)COC=C ILRVMZXWYVQUMN-UHFFFAOYSA-N 0.000 description 1
- PFCHFHIRKBAQGU-UHFFFAOYSA-N 3-hexanone Chemical compound CCCC(=O)CC PFCHFHIRKBAQGU-UHFFFAOYSA-N 0.000 description 1
- 125000004208 3-hydroxyphenyl group Chemical group [H]OC1=C([H])C([H])=C([H])C(*)=C1[H] 0.000 description 1
- JSGVZVOGOQILFM-UHFFFAOYSA-N 3-methoxy-1-butanol Chemical compound COC(C)CCO JSGVZVOGOQILFM-UHFFFAOYSA-N 0.000 description 1
- MFKRHJVUCZRDTF-UHFFFAOYSA-N 3-methoxy-3-methylbutan-1-ol Chemical compound COC(C)(C)CCO MFKRHJVUCZRDTF-UHFFFAOYSA-N 0.000 description 1
- BJATUPPYBZHEIO-UHFFFAOYSA-N 3-methyl-2-phenylpyridine Chemical compound CC1=CC=CN=C1C1=CC=CC=C1 BJATUPPYBZHEIO-UHFFFAOYSA-N 0.000 description 1
- YYPNJNDODFVZLE-UHFFFAOYSA-N 3-methylbut-2-enoic acid Chemical compound CC(C)=CC(O)=O YYPNJNDODFVZLE-UHFFFAOYSA-N 0.000 description 1
- CRORGGSWAKIXSA-UHFFFAOYSA-N 3-methylbutyl 2-hydroxypropanoate Chemical compound CC(C)CCOC(=O)C(C)O CRORGGSWAKIXSA-UHFFFAOYSA-N 0.000 description 1
- XJCVRTZCHMZPBD-UHFFFAOYSA-N 3-nitroaniline Chemical compound NC1=CC=CC([N+]([O-])=O)=C1 XJCVRTZCHMZPBD-UHFFFAOYSA-N 0.000 description 1
- MECNWXGGNCJFQJ-UHFFFAOYSA-N 3-piperidin-1-ylpropane-1,2-diol Chemical compound OCC(O)CN1CCCCC1 MECNWXGGNCJFQJ-UHFFFAOYSA-N 0.000 description 1
- MFPZRSWYUKWRIQ-UHFFFAOYSA-N 3-pyrrolidin-1-ylpropane-1,2-diol Chemical compound OCC(O)CN1CCCC1 MFPZRSWYUKWRIQ-UHFFFAOYSA-N 0.000 description 1
- MRBKEAMVRSLQPH-UHFFFAOYSA-N 3-tert-butyl-4-hydroxyanisole Chemical compound COC1=CC=C(O)C(C(C)(C)C)=C1 MRBKEAMVRSLQPH-UHFFFAOYSA-N 0.000 description 1
- GPIUUMROPXDNRH-UHFFFAOYSA-N 3647-74-3 Chemical compound C1C2C3C(=O)NC(=O)C3C1C=C2 GPIUUMROPXDNRH-UHFFFAOYSA-N 0.000 description 1
- UITKHKNFVCYWNG-UHFFFAOYSA-N 4-(3,4-dicarboxybenzoyl)phthalic acid Chemical compound C1=C(C(O)=O)C(C(=O)O)=CC=C1C(=O)C1=CC=C(C(O)=O)C(C(O)=O)=C1 UITKHKNFVCYWNG-UHFFFAOYSA-N 0.000 description 1
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- UDBVWWVWSXSLAX-UHFFFAOYSA-N 4-[2,3-bis(5-tert-butyl-4-hydroxy-2-methylphenyl)butyl]-2-tert-butyl-5-methylphenol Chemical compound C=1C(C(C)(C)C)=C(O)C=C(C)C=1C(C)C(C=1C(=CC(O)=C(C=1)C(C)(C)C)C)CC1=CC(C(C)(C)C)=C(O)C=C1C UDBVWWVWSXSLAX-UHFFFAOYSA-N 0.000 description 1
- PRWJPWSKLXYEPD-UHFFFAOYSA-N 4-[4,4-bis(5-tert-butyl-4-hydroxy-2-methylphenyl)butan-2-yl]-2-tert-butyl-5-methylphenol Chemical compound C=1C(C(C)(C)C)=C(O)C=C(C)C=1C(C)CC(C=1C(=CC(O)=C(C=1)C(C)(C)C)C)C1=CC(C(C)(C)C)=C(O)C=C1C PRWJPWSKLXYEPD-UHFFFAOYSA-N 0.000 description 1
- ALYNCZNDIQEVRV-UHFFFAOYSA-N 4-aminobenzoic acid Chemical compound NC1=CC=C(C(O)=O)C=C1 ALYNCZNDIQEVRV-UHFFFAOYSA-N 0.000 description 1
- BLFRQYKZFKYQLO-UHFFFAOYSA-N 4-aminobutan-1-ol Chemical compound NCCCCO BLFRQYKZFKYQLO-UHFFFAOYSA-N 0.000 description 1
- ZAAQJFLUOUQAOG-UHFFFAOYSA-N 4-benzyl-2,6-ditert-butylphenol Chemical compound CC(C)(C)C1=C(O)C(C(C)(C)C)=CC(CC=2C=CC=CC=2)=C1 ZAAQJFLUOUQAOG-UHFFFAOYSA-N 0.000 description 1
- UGVRJVHOJNYEHR-UHFFFAOYSA-N 4-chlorobenzophenone Chemical compound C1=CC(Cl)=CC=C1C(=O)C1=CC=CC=C1 UGVRJVHOJNYEHR-UHFFFAOYSA-N 0.000 description 1
- HDHQZCHIXUUSMK-UHFFFAOYSA-N 4-hydroxy-2-quinolone Chemical compound C1=CC=C2C(O)=CC(=O)NC2=C1 HDHQZCHIXUUSMK-UHFFFAOYSA-N 0.000 description 1
- JTTMYKSFKOOQLP-UHFFFAOYSA-N 4-hydroxydiphenylamine Chemical compound C1=CC(O)=CC=C1NC1=CC=CC=C1 JTTMYKSFKOOQLP-UHFFFAOYSA-N 0.000 description 1
- VGVHNLRUAMRIEW-UHFFFAOYSA-N 4-methylcyclohexan-1-one Chemical compound CC1CCC(=O)CC1 VGVHNLRUAMRIEW-UHFFFAOYSA-N 0.000 description 1
- TYMLOMAKGOJONV-UHFFFAOYSA-N 4-nitroaniline Chemical compound NC1=CC=C([N+]([O-])=O)C=C1 TYMLOMAKGOJONV-UHFFFAOYSA-N 0.000 description 1
- ATCGHKBXRIOBDX-UHFFFAOYSA-N 4-nonan-5-ylpyridine Chemical compound CCCCC(CCCC)C1=CC=NC=C1 ATCGHKBXRIOBDX-UHFFFAOYSA-N 0.000 description 1
- IKVYHNPVKUNCJM-UHFFFAOYSA-N 4-propan-2-ylthioxanthen-9-one Chemical compound S1C2=CC=CC=C2C(=O)C2=C1C(C(C)C)=CC=C2 IKVYHNPVKUNCJM-UHFFFAOYSA-N 0.000 description 1
- RGUKYNXWOWSRET-UHFFFAOYSA-N 4-pyrrolidin-1-ylpyridine Chemical compound C1CCCN1C1=CC=NC=C1 RGUKYNXWOWSRET-UHFFFAOYSA-N 0.000 description 1
- XESZUVZBAMCAEJ-UHFFFAOYSA-N 4-tert-butylcatechol Chemical compound CC(C)(C)C1=CC=C(O)C(O)=C1 XESZUVZBAMCAEJ-UHFFFAOYSA-N 0.000 description 1
- YSHMQTRICHYLGF-UHFFFAOYSA-N 4-tert-butylpyridine Chemical compound CC(C)(C)C1=CC=NC=C1 YSHMQTRICHYLGF-UHFFFAOYSA-N 0.000 description 1
- CDSULTPOCMWJCM-UHFFFAOYSA-N 4h-chromene-2,3-dione Chemical compound C1=CC=C2OC(=O)C(=O)CC2=C1 CDSULTPOCMWJCM-UHFFFAOYSA-N 0.000 description 1
- XKWHHWBOJRTEHX-UHFFFAOYSA-N 5-DOXYL-stearic acid methyl ester Chemical compound CCCCCCCCCCCCCC1(CCCC(=O)OC)OCC(C)(C)N1[O] XKWHHWBOJRTEHX-UHFFFAOYSA-N 0.000 description 1
- FCZOVUJWOBSMSS-UHFFFAOYSA-N 5-[(6-aminopurin-9-yl)methyl]-5-methyl-3-methylideneoxolan-2-one Chemical compound C1=NC2=C(N)N=CN=C2N1CC1(C)CC(=C)C(=O)O1 FCZOVUJWOBSMSS-UHFFFAOYSA-N 0.000 description 1
- TYOXIFXYEIILLY-UHFFFAOYSA-N 5-methyl-2-phenyl-1h-imidazole Chemical compound N1C(C)=CN=C1C1=CC=CC=C1 TYOXIFXYEIILLY-UHFFFAOYSA-N 0.000 description 1
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical group [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- CYHOMWAPJJPNMW-UHFFFAOYSA-N 8-methyl-8-azabicyclo[3.2.1]octan-3-ol Chemical compound C1C(O)CC2CCC1N2C CYHOMWAPJJPNMW-UHFFFAOYSA-N 0.000 description 1
- LPEKGGXMPWTOCB-UHFFFAOYSA-N 8beta-(2,3-epoxy-2-methylbutyryloxy)-14-acetoxytithifolin Natural products COC(=O)C(C)O LPEKGGXMPWTOCB-UHFFFAOYSA-N 0.000 description 1
- YYVYAPXYZVYDHN-UHFFFAOYSA-N 9,10-phenanthroquinone Chemical compound C1=CC=C2C(=O)C(=O)C3=CC=CC=C3C2=C1 YYVYAPXYZVYDHN-UHFFFAOYSA-N 0.000 description 1
- PQJUJGAVDBINPI-UHFFFAOYSA-N 9H-thioxanthene Chemical compound C1=CC=C2CC3=CC=CC=C3SC2=C1 PQJUJGAVDBINPI-UHFFFAOYSA-N 0.000 description 1
- GJCOSYZMQJWQCA-UHFFFAOYSA-N 9H-xanthene Chemical compound C1=CC=C2CC3=CC=CC=C3OC2=C1 GJCOSYZMQJWQCA-UHFFFAOYSA-N 0.000 description 1
- OZAIFHULBGXAKX-VAWYXSNFSA-N AIBN Substances N#CC(C)(C)\N=N\C(C)(C)C#N OZAIFHULBGXAKX-VAWYXSNFSA-N 0.000 description 1
- IKHGUXGNUITLKF-UHFFFAOYSA-N Acetaldehyde Chemical compound CC=O IKHGUXGNUITLKF-UHFFFAOYSA-N 0.000 description 1
- 239000004475 Arginine Substances 0.000 description 1
- 239000005711 Benzoic acid Substances 0.000 description 1
- 239000004342 Benzoyl peroxide Substances 0.000 description 1
- OMPJBNCRMGITSC-UHFFFAOYSA-N Benzoylperoxide Chemical compound C=1C=CC=CC=1C(=O)OOC(=O)C1=CC=CC=C1 OMPJBNCRMGITSC-UHFFFAOYSA-N 0.000 description 1
- 229930185605 Bisphenol Natural products 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical group [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- MRABAEUHTLLEML-UHFFFAOYSA-N Butyl lactate Chemical compound CCCCOC(=O)C(C)O MRABAEUHTLLEML-UHFFFAOYSA-N 0.000 description 1
- NLZUEZXRPGMBCV-UHFFFAOYSA-N Butylhydroxytoluene Chemical compound CC1=CC(C(C)(C)C)=C(O)C(C(C)(C)C)=C1 NLZUEZXRPGMBCV-UHFFFAOYSA-N 0.000 description 1
- FERIUCNNQQJTOY-UHFFFAOYSA-M Butyrate Chemical compound CCCC([O-])=O FERIUCNNQQJTOY-UHFFFAOYSA-M 0.000 description 1
- YJVDNDLFLFLYGJ-UHFFFAOYSA-N C(C)(=O)O.C(C)(C)(C)OC(COCCCC)C Chemical compound C(C)(=O)O.C(C)(C)(C)OC(COCCCC)C YJVDNDLFLFLYGJ-UHFFFAOYSA-N 0.000 description 1
- GGDPLFGGSRICCB-UHFFFAOYSA-N C(C)(=O)ON=C(C1=C(C=CC=C1)C)C=1C=C2C=3C=C(C=CC=3N(C2=CC=1)CC)CC(CC1CCCC1)=O Chemical compound C(C)(=O)ON=C(C1=C(C=CC=C1)C)C=1C=C2C=3C=C(C=CC=3N(C2=CC=1)CC)CC(CC1CCCC1)=O GGDPLFGGSRICCB-UHFFFAOYSA-N 0.000 description 1
- JCTZQAFQCIDMCC-UHFFFAOYSA-O C12C(CC(CC1)C2)C(C(F)F)(F)F.CC=2C=C(C=C(C2O)C)[S+]2CCCC2 Chemical compound C12C(CC(CC1)C2)C(C(F)F)(F)F.CC=2C=C(C=C(C2O)C)[S+]2CCCC2 JCTZQAFQCIDMCC-UHFFFAOYSA-O 0.000 description 1
- SZZCAIFFTWMNTK-UHFFFAOYSA-N C1CC[SH+]C1.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F Chemical compound C1CC[SH+]C1.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F SZZCAIFFTWMNTK-UHFFFAOYSA-N 0.000 description 1
- VDFVNEFVBPFDSB-UHFFFAOYSA-N C1COCOC1 Chemical compound C1COCOC1 VDFVNEFVBPFDSB-UHFFFAOYSA-N 0.000 description 1
- QROSQXLSFLEWNP-UHFFFAOYSA-N CC(C)(C)S.CC(C)(C)S.C=[N+]=[N-] Chemical compound CC(C)(C)S.CC(C)(C)S.C=[N+]=[N-] QROSQXLSFLEWNP-UHFFFAOYSA-N 0.000 description 1
- GYGYLGPQOCOYHH-UHFFFAOYSA-N CC(C)c(cc12)ccc1Oc(cccc1)c1C2=O Chemical compound CC(C)c(cc12)ccc1Oc(cccc1)c1C2=O GYGYLGPQOCOYHH-UHFFFAOYSA-N 0.000 description 1
- WRPNAZPXMCKWRQ-UHFFFAOYSA-N CC(C)c(cc12)ccc1Sc1ccccc1C21OCC(C)(C)CO1 Chemical compound CC(C)c(cc12)ccc1Sc1ccccc1C21OCC(C)(C)CO1 WRPNAZPXMCKWRQ-UHFFFAOYSA-N 0.000 description 1
- ZPPFWTLVRXNZGG-UHFFFAOYSA-N CC(C)c(cc12)ccc1Sc1ccccc1C21OCCO1 Chemical compound CC(C)c(cc12)ccc1Sc1ccccc1C21OCCO1 ZPPFWTLVRXNZGG-UHFFFAOYSA-N 0.000 description 1
- ICEIXCOSZKFQAA-UHFFFAOYSA-N CC(C)c(cccc12)c1Oc(cccc1)c1C2=O Chemical compound CC(C)c(cccc12)c1Oc(cccc1)c1C2=O ICEIXCOSZKFQAA-UHFFFAOYSA-N 0.000 description 1
- HQLJWZGLXGUBLN-UHFFFAOYSA-N CC(CP(=O)C(=O)c1c(C)ccc(C)c1C)CC(C)(C)C Chemical compound CC(CP(=O)C(=O)c1c(C)ccc(C)c1C)CC(C)(C)C HQLJWZGLXGUBLN-UHFFFAOYSA-N 0.000 description 1
- WLQXVWFZHRQUFZ-UHFFFAOYSA-N CC(N)OCCOC Chemical compound CC(N)OCCOC WLQXVWFZHRQUFZ-UHFFFAOYSA-N 0.000 description 1
- VBLUBSFJCGBVNB-UHFFFAOYSA-N CC(N)OCCOC(C)=O Chemical compound CC(N)OCCOC(C)=O VBLUBSFJCGBVNB-UHFFFAOYSA-N 0.000 description 1
- XIJJOKPUGMAYRI-UHFFFAOYSA-N CC1(C)COC(c2ccc(cccc3)c3c2OC)OC1 Chemical compound CC1(C)COC(c2ccc(cccc3)c3c2OC)OC1 XIJJOKPUGMAYRI-UHFFFAOYSA-N 0.000 description 1
- LESSJOKXGCPBTP-UHFFFAOYSA-N CC1(C)COC(c2ccccc2)(c(ccc2c3cccc2)c3OC)OC1 Chemical compound CC1(C)COC(c2ccccc2)(c(ccc2c3cccc2)c3OC)OC1 LESSJOKXGCPBTP-UHFFFAOYSA-N 0.000 description 1
- SPKWKHOSQIUZFQ-UHFFFAOYSA-N CC1(C)COC2(c3cc(OC)ccc3Oc3ccccc23)OC1 Chemical compound CC1(C)COC2(c3cc(OC)ccc3Oc3ccccc23)OC1 SPKWKHOSQIUZFQ-UHFFFAOYSA-N 0.000 description 1
- QDCJIPFNVBDLRH-UHFFFAOYSA-N CC1(C)COCOC1 Chemical compound CC1(C)COCOC1 QDCJIPFNVBDLRH-UHFFFAOYSA-N 0.000 description 1
- UENPUZVDTOXVFH-UHFFFAOYSA-N CC1(C)COCc2cc(OC)ccc2N(C)c2ccccc2COC1 Chemical compound CC1(C)COCc2cc(OC)ccc2N(C)c2ccccc2COC1 UENPUZVDTOXVFH-UHFFFAOYSA-N 0.000 description 1
- OTFAQGCBYAXPCR-UHFFFAOYSA-N CC1=C(C(=O)COP(C2=CC=CC=C2)=O)C(=CC(=C1)C)C Chemical compound CC1=C(C(=O)COP(C2=CC=CC=C2)=O)C(=CC(=C1)C)C OTFAQGCBYAXPCR-UHFFFAOYSA-N 0.000 description 1
- QFXXDQQOASBNQB-UHFFFAOYSA-N CCc(c(CC)c12)ccc1Oc(cccc1)c1C2=O Chemical compound CCc(c(CC)c12)ccc1Oc(cccc1)c1C2=O QFXXDQQOASBNQB-UHFFFAOYSA-N 0.000 description 1
- WJIINRZXFJVGIT-UHFFFAOYSA-N CN(c1ccccc1C1(c2c3)OCCO1)c2ccc3OC Chemical compound CN(c1ccccc1C1(c2c3)OCCO1)c2ccc3OC WJIINRZXFJVGIT-UHFFFAOYSA-N 0.000 description 1
- OQDNGQMIYPNHDN-UHFFFAOYSA-N CN(c1ccccc1C12OCCCO1)c(cc1)c2cc1Oc(cc1C2(c3c4)OCCCCO2)ccc1N(C)c3ccc4OCc1ccc(C(c2cc(OC)ccc2N2C)(OC)OC)c2c1 Chemical compound CN(c1ccccc1C12OCCCO1)c(cc1)c2cc1Oc(cc1C2(c3c4)OCCCCO2)ccc1N(C)c3ccc4OCc1ccc(C(c2cc(OC)ccc2N2C)(OC)OC)c2c1 OQDNGQMIYPNHDN-UHFFFAOYSA-N 0.000 description 1
- SGBIPAZMMYVKAU-UHFFFAOYSA-N COC(c(c(C(c1c2)OC)c3)ccc3OC)c1ccc2OC Chemical compound COC(c(c(C(c1c2)OC)c3)ccc3OC)c1ccc2OC SGBIPAZMMYVKAU-UHFFFAOYSA-N 0.000 description 1
- QAEQIFPWACUMCS-UHFFFAOYSA-N COC(c(c(C1(N)OC)c2)cc(OC)c2OC)c(cc2OC)c1cc2OC Chemical compound COC(c(c(C1(N)OC)c2)cc(OC)c2OC)c(cc2OC)c1cc2OC QAEQIFPWACUMCS-UHFFFAOYSA-N 0.000 description 1
- LIHSAUNRUBOKQF-UHFFFAOYSA-N COC(c(ccc1ccccc11)c1OC)OC Chemical compound COC(c(ccc1ccccc11)c1OC)OC LIHSAUNRUBOKQF-UHFFFAOYSA-N 0.000 description 1
- JTWDPKQWAGJBEI-UHFFFAOYSA-N COC(c1cccc2c1C1(N)O[O]2C)c2c1c(OC)ccc2 Chemical compound COC(c1cccc2c1C1(N)O[O]2C)c2c1c(OC)ccc2 JTWDPKQWAGJBEI-UHFFFAOYSA-N 0.000 description 1
- WOLKKICEZAKTNO-UHFFFAOYSA-N COC(c1ccccc1)(c(ccc1c2cccc1)c2OC)OC Chemical compound COC(c1ccccc1)(c(ccc1c2cccc1)c2OC)OC WOLKKICEZAKTNO-UHFFFAOYSA-N 0.000 description 1
- AYLSCUCLUHECTK-UHFFFAOYSA-N COC(c1ccccc11)c2cc(OC)ccc2C1(N)OC Chemical compound COC(c1ccccc11)c2cc(OC)ccc2C1(N)OC AYLSCUCLUHECTK-UHFFFAOYSA-N 0.000 description 1
- FESOEORIDUAOFP-UHFFFAOYSA-O COC(c1ccccc1C(c1c2)([NH3+])OC)c1ccc2OC Chemical compound COC(c1ccccc1C(c1c2)([NH3+])OC)c1ccc2OC FESOEORIDUAOFP-UHFFFAOYSA-O 0.000 description 1
- LQGVMDKEZMDUKA-UHFFFAOYSA-N COC1(c2cc(OC)ccc2Oc2cc(COc(cc3C4(c5c6)OCCCCO4)ccc3Oc5ccc6Oc(cc3C45OCCCO4)ccc3Oc3c5cccc3)ccc12)OC Chemical compound COC1(c2cc(OC)ccc2Oc2cc(COc(cc3C4(c5c6)OCCCCO4)ccc3Oc5ccc6Oc(cc3C45OCCCO4)ccc3Oc3c5cccc3)ccc12)OC LQGVMDKEZMDUKA-UHFFFAOYSA-N 0.000 description 1
- IQWKIWYWLOQGHP-UHFFFAOYSA-N COC1c2ccccc2C2(N)O[O](C)c3c2c1ccc3 Chemical compound COC1c2ccccc2C2(N)O[O](C)c3c2c1ccc3 IQWKIWYWLOQGHP-UHFFFAOYSA-N 0.000 description 1
- VIGCDJQZMBORNJ-HRAATJIYSA-N CO[C@H](c(cc1OC)c([C@]2(N)O[O]3C)c3c1OC)c(cc1OC)c2c(OC)c1OC Chemical compound CO[C@H](c(cc1OC)c([C@]2(N)O[O]3C)c3c1OC)c(cc1OC)c2c(OC)c1OC VIGCDJQZMBORNJ-HRAATJIYSA-N 0.000 description 1
- XAXSPYAXUNVJBL-UHFFFAOYSA-N COc(cc1)cc(Oc2c3ccc(OC)c2)c1C3=O Chemical compound COc(cc1)cc(Oc2c3ccc(OC)c2)c1C3=O XAXSPYAXUNVJBL-UHFFFAOYSA-N 0.000 description 1
- DVZCOQQFPCMIPO-UHFFFAOYSA-N COc(cc12)ccc1Oc(cccc1)c1C2=O Chemical compound COc(cc12)ccc1Oc(cccc1)c1C2=O DVZCOQQFPCMIPO-UHFFFAOYSA-N 0.000 description 1
- HKTJIOIAULZHBT-UHFFFAOYSA-N COc(cc12)ccc1Oc1ccccc1C21OCCO1 Chemical compound COc(cc12)ccc1Oc1ccccc1C21OCCO1 HKTJIOIAULZHBT-UHFFFAOYSA-N 0.000 description 1
- KOHYNQRGVVZADT-UHFFFAOYSA-N COc1c(C2(c3ccccc3)OCCCCO2)ccc2c1cccc2 Chemical compound COc1c(C2(c3ccccc3)OCCCCO2)ccc2c1cccc2 KOHYNQRGVVZADT-UHFFFAOYSA-N 0.000 description 1
- HAZFMLDOLCKPHD-UHFFFAOYSA-N COc1c(C2(c3ccccc3)OCCCO2)ccc2c1cccc2 Chemical compound COc1c(C2(c3ccccc3)OCCCO2)ccc2c1cccc2 HAZFMLDOLCKPHD-UHFFFAOYSA-N 0.000 description 1
- LBMQYUZONSBXBD-UHFFFAOYSA-N COc1c(C2(c3ccccc3)OCCO2)ccc2c1cccc2 Chemical compound COc1c(C2(c3ccccc3)OCCO2)ccc2c1cccc2 LBMQYUZONSBXBD-UHFFFAOYSA-N 0.000 description 1
- YBVYCPNUYIRJPM-UHFFFAOYSA-N COc1c(C2OCCCO2)ccc2ccccc12 Chemical compound COc1c(C2OCCCO2)ccc2ccccc12 YBVYCPNUYIRJPM-UHFFFAOYSA-N 0.000 description 1
- SLIHUEYNWDPIQC-UHFFFAOYSA-N COc1c(C2OCCO2)ccc2ccccc12 Chemical compound COc1c(C2OCCO2)ccc2ccccc12 SLIHUEYNWDPIQC-UHFFFAOYSA-N 0.000 description 1
- IOUMOHANQFXIBW-UHFFFAOYSA-N COc1c(cccc2)c2ccc1C1OCCCCO1 Chemical compound COc1c(cccc2)c2ccc1C1OCCCCO1 IOUMOHANQFXIBW-UHFFFAOYSA-N 0.000 description 1
- HKKXJKOFFPHTGT-UHFFFAOYSA-N COc1ccc(C2(c(cc3)ccc3OC)OCCCCO2)cc1 Chemical compound COc1ccc(C2(c(cc3)ccc3OC)OCCCCO2)cc1 HKKXJKOFFPHTGT-UHFFFAOYSA-N 0.000 description 1
- AIWGYHHBRPDQLQ-UHFFFAOYSA-N COc1ccc(C2(c(cc3)ccc3OC)OCCO2)cc1 Chemical compound COc1ccc(C2(c(cc3)ccc3OC)OCCO2)cc1 AIWGYHHBRPDQLQ-UHFFFAOYSA-N 0.000 description 1
- KXDHJXZQYSOELW-UHFFFAOYSA-M Carbamate Chemical compound NC([O-])=O KXDHJXZQYSOELW-UHFFFAOYSA-M 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- UWHSVIYYROIHDN-UHFFFAOYSA-N Cc(cc12)ccc1Oc(cccc1)c1C2=O Chemical compound Cc(cc12)ccc1Oc(cccc1)c1C2=O UWHSVIYYROIHDN-UHFFFAOYSA-N 0.000 description 1
- DQFBYFPFKXHELB-UHFFFAOYSA-N Chalcone Natural products C=1C=CC=CC=1C(=O)C=CC1=CC=CC=C1 DQFBYFPFKXHELB-UHFFFAOYSA-N 0.000 description 1
- WZWFHEHEBJMYIV-UHFFFAOYSA-N ClC1=CC=CC=2NC3=CC=CC=C3C(C12)=O.C1=CC=CC=2NC3=CC=CC=C3C(C12)=O Chemical compound ClC1=CC=CC=2NC3=CC=CC=C3C(C12)=O.C1=CC=CC=2NC3=CC=CC=C3C(C12)=O WZWFHEHEBJMYIV-UHFFFAOYSA-N 0.000 description 1
- PAPNRQCYSFBWDI-UHFFFAOYSA-N DMP Natural products CC1=CC=C(C)N1 PAPNRQCYSFBWDI-UHFFFAOYSA-N 0.000 description 1
- MHZGKXUYDGKKIU-UHFFFAOYSA-N Decylamine Chemical compound CCCCCCCCCCN MHZGKXUYDGKKIU-UHFFFAOYSA-N 0.000 description 1
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 1
- XBPCUCUWBYBCDP-UHFFFAOYSA-N Dicyclohexylamine Chemical compound C1CCCCC1NC1CCCCC1 XBPCUCUWBYBCDP-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical class S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- XXRCUYVCPSWGCC-UHFFFAOYSA-N Ethyl pyruvate Chemical compound CCOC(=O)C(C)=O XXRCUYVCPSWGCC-UHFFFAOYSA-N 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- 229940123457 Free radical scavenger Drugs 0.000 description 1
- WHUUTDBJXJRKMK-UHFFFAOYSA-N Glutamic acid Natural products OC(=O)C(N)CCC(O)=O WHUUTDBJXJRKMK-UHFFFAOYSA-N 0.000 description 1
- DKEXFJVMVGETOO-LURJTMIESA-N Gly-Leu Chemical compound CC(C)C[C@@H](C(O)=O)NC(=O)CN DKEXFJVMVGETOO-LURJTMIESA-N 0.000 description 1
- 239000004471 Glycine Substances 0.000 description 1
- NYHBQMYGNKIUIF-UUOKFMHZSA-N Guanosine Chemical class C1=NC=2C(=O)NC(N)=NC=2N1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O NYHBQMYGNKIUIF-UUOKFMHZSA-N 0.000 description 1
- WJYIASZWHGOTOU-UHFFFAOYSA-N Heptylamine Chemical compound CCCCCCCN WJYIASZWHGOTOU-UHFFFAOYSA-N 0.000 description 1
- SIKJAQJRHWYJAI-UHFFFAOYSA-N Indole Chemical compound C1=CC=C2NC=CC2=C1 SIKJAQJRHWYJAI-UHFFFAOYSA-N 0.000 description 1
- HCUARRIEZVDMPT-UHFFFAOYSA-N Indole-2-carboxylic acid Chemical compound C1=CC=C2NC(C(=O)O)=CC2=C1 HCUARRIEZVDMPT-UHFFFAOYSA-N 0.000 description 1
- QNAYBMKLOCPYGJ-REOHCLBHSA-N L-alanine Chemical compound C[C@H](N)C(O)=O QNAYBMKLOCPYGJ-REOHCLBHSA-N 0.000 description 1
- ODKSFYDXXFIFQN-BYPYZUCNSA-P L-argininium(2+) Chemical compound NC(=[NH2+])NCCC[C@H]([NH3+])C(O)=O ODKSFYDXXFIFQN-BYPYZUCNSA-P 0.000 description 1
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 description 1
- WHUUTDBJXJRKMK-VKHMYHEASA-N L-glutamic acid Chemical compound OC(=O)[C@@H](N)CCC(O)=O WHUUTDBJXJRKMK-VKHMYHEASA-N 0.000 description 1
- HNDVDQJCIGZPNO-YFKPBYRVSA-N L-histidine Chemical compound OC(=O)[C@@H](N)CC1=CN=CN1 HNDVDQJCIGZPNO-YFKPBYRVSA-N 0.000 description 1
- AGPKZVBTJJNPAG-WHFBIAKZSA-N L-isoleucine Chemical compound CC[C@H](C)[C@H](N)C(O)=O AGPKZVBTJJNPAG-WHFBIAKZSA-N 0.000 description 1
- ROHFNLRQFUQHCH-YFKPBYRVSA-N L-leucine Chemical compound CC(C)C[C@H](N)C(O)=O ROHFNLRQFUQHCH-YFKPBYRVSA-N 0.000 description 1
- KDXKERNSBIXSRK-YFKPBYRVSA-N L-lysine Chemical compound NCCCC[C@H](N)C(O)=O KDXKERNSBIXSRK-YFKPBYRVSA-N 0.000 description 1
- FFEARJCKVFRZRR-BYPYZUCNSA-N L-methionine Chemical compound CSCC[C@H](N)C(O)=O FFEARJCKVFRZRR-BYPYZUCNSA-N 0.000 description 1
- COLNVLDHVKWLRT-QMMMGPOBSA-N L-phenylalanine Chemical compound OC(=O)[C@@H](N)CC1=CC=CC=C1 COLNVLDHVKWLRT-QMMMGPOBSA-N 0.000 description 1
- AYFVYJQAPQTCCC-GBXIJSLDSA-N L-threonine Chemical compound C[C@@H](O)[C@H](N)C(O)=O AYFVYJQAPQTCCC-GBXIJSLDSA-N 0.000 description 1
- YIVJZNGAASQVEM-UHFFFAOYSA-N Lauroyl peroxide Chemical compound CCCCCCCCCCCC(=O)OOC(=O)CCCCCCCCCCC YIVJZNGAASQVEM-UHFFFAOYSA-N 0.000 description 1
- ROHFNLRQFUQHCH-UHFFFAOYSA-N Leucine Natural products CC(C)CC(N)C(O)=O ROHFNLRQFUQHCH-UHFFFAOYSA-N 0.000 description 1
- KDXKERNSBIXSRK-UHFFFAOYSA-N Lysine Natural products NCCCCC(N)C(O)=O KDXKERNSBIXSRK-UHFFFAOYSA-N 0.000 description 1
- 239000004472 Lysine Substances 0.000 description 1
- PEEHTFAAVSWFBL-UHFFFAOYSA-N Maleimide Chemical compound O=C1NC(=O)C=C1 PEEHTFAAVSWFBL-UHFFFAOYSA-N 0.000 description 1
- 241001446467 Mama Species 0.000 description 1
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 1
- XYVQFUJDGOBPQI-UHFFFAOYSA-N Methyl-2-hydoxyisobutyric acid Chemical compound COC(=O)C(C)(C)O XYVQFUJDGOBPQI-UHFFFAOYSA-N 0.000 description 1
- UTGQNNCQYDRXCH-UHFFFAOYSA-N N,N'-diphenyl-1,4-phenylenediamine Chemical compound C=1C=C(NC=2C=CC=CC=2)C=CC=1NC1=CC=CC=C1 UTGQNNCQYDRXCH-UHFFFAOYSA-N 0.000 description 1
- KWYHDKDOAIKMQN-UHFFFAOYSA-N N,N,N',N'-tetramethylethylenediamine Chemical compound CN(C)CCN(C)C KWYHDKDOAIKMQN-UHFFFAOYSA-N 0.000 description 1
- FXHOOIRPVKKKFG-UHFFFAOYSA-N N,N-Dimethylacetamide Chemical compound CN(C)C(C)=O FXHOOIRPVKKKFG-UHFFFAOYSA-N 0.000 description 1
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 1
- AKNUHUCEWALCOI-UHFFFAOYSA-N N-ethyldiethanolamine Chemical compound OCCN(CC)CCO AKNUHUCEWALCOI-UHFFFAOYSA-N 0.000 description 1
- AHVYPIQETPWLSZ-UHFFFAOYSA-N N-methyl-pyrrolidine Natural products CN1CC=CC1 AHVYPIQETPWLSZ-UHFFFAOYSA-N 0.000 description 1
- OHLUUHNLEMFGTQ-UHFFFAOYSA-N N-methylacetamide Chemical compound CNC(C)=O OHLUUHNLEMFGTQ-UHFFFAOYSA-N 0.000 description 1
- 229930192627 Naphthoquinone Natural products 0.000 description 1
- YEJRWHAVMIAJKC-UHFFFAOYSA-N O=C1OCCC1 Chemical compound O=C1OCCC1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 1
- CELWCAITJAEQNL-UHFFFAOYSA-N OC1OCCCC1 Chemical compound OC1OCCCC1 CELWCAITJAEQNL-UHFFFAOYSA-N 0.000 description 1
- ZCQWOFVYLHDMMC-UHFFFAOYSA-N Oxazole Chemical compound C1=COC=N1 ZCQWOFVYLHDMMC-UHFFFAOYSA-N 0.000 description 1
- 241001147389 Panthera uncia Species 0.000 description 1
- DIQMPQMYFZXDAX-UHFFFAOYSA-N Pentyl formate Chemical compound CCCCCOC=O DIQMPQMYFZXDAX-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000004793 Polystyrene Substances 0.000 description 1
- WUGQZFFCHPXWKQ-UHFFFAOYSA-N Propanolamine Chemical compound NCCCO WUGQZFFCHPXWKQ-UHFFFAOYSA-N 0.000 description 1
- XBDQKXXYIPTUBI-UHFFFAOYSA-M Propionate Chemical compound CCC([O-])=O XBDQKXXYIPTUBI-UHFFFAOYSA-M 0.000 description 1
- KXEMQEGRZWUKJS-UHFFFAOYSA-N Raufloridine Natural products COC1=CC=C2C(CCN3CC4C(C)OC=C(C4CC33)C(=O)OC)=C3NC2=C1 KXEMQEGRZWUKJS-UHFFFAOYSA-N 0.000 description 1
- SEJVCAHWOZEYTM-UHFFFAOYSA-N S(=O)(=O)=[S+](C1=CC=CC=C1)(C1=CC=CC=C1)C1=CC=CC=C1 Chemical compound S(=O)(=O)=[S+](C1=CC=CC=C1)(C1=CC=CC=C1)C1=CC=CC=C1 SEJVCAHWOZEYTM-UHFFFAOYSA-N 0.000 description 1
- 239000004115 Sodium Silicate Substances 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 description 1
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 description 1
- FZWLAAWBMGSTSO-UHFFFAOYSA-N Thiazole Chemical compound C1=CSC=N1 FZWLAAWBMGSTSO-UHFFFAOYSA-N 0.000 description 1
- AYFVYJQAPQTCCC-UHFFFAOYSA-N Threonine Natural products CC(O)C(N)C(O)=O AYFVYJQAPQTCCC-UHFFFAOYSA-N 0.000 description 1
- 239000004473 Threonine Substances 0.000 description 1
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 1
- SLINHMUFWFWBMU-UHFFFAOYSA-N Triisopropanolamine Chemical compound CC(O)CN(CC(C)O)CC(C)O SLINHMUFWFWBMU-UHFFFAOYSA-N 0.000 description 1
- ZJCCRDAZUWHFQH-UHFFFAOYSA-N Trimethylolpropane Chemical group CCC(CO)(CO)CO ZJCCRDAZUWHFQH-UHFFFAOYSA-N 0.000 description 1
- 241000209140 Triticum Species 0.000 description 1
- 235000021307 Triticum Nutrition 0.000 description 1
- ISAKRJDGNUQOIC-UHFFFAOYSA-N Uracil Chemical class O=C1C=CNC(=O)N1 ISAKRJDGNUQOIC-UHFFFAOYSA-N 0.000 description 1
- 229920001807 Urea-formaldehyde Polymers 0.000 description 1
- PRRGXULZOZTZDK-UHFFFAOYSA-N [(2,6-dichlorobenzoyl)-(2,5-dimethylphenyl)phosphoryl]-(2,6-dichlorophenyl)methanone Chemical compound CC1=CC=C(C)C(P(=O)(C(=O)C=2C(=CC=CC=2Cl)Cl)C(=O)C=2C(=CC=CC=2Cl)Cl)=C1 PRRGXULZOZTZDK-UHFFFAOYSA-N 0.000 description 1
- YNJCLWHSZGZEAS-UHFFFAOYSA-N [(2,6-dichlorobenzoyl)-naphthalen-1-ylphosphoryl]-(2,6-dichlorophenyl)methanone Chemical compound ClC1=CC=CC(Cl)=C1C(=O)P(=O)(C=1C2=CC=CC=C2C=CC=1)C(=O)C1=C(Cl)C=CC=C1Cl YNJCLWHSZGZEAS-UHFFFAOYSA-N 0.000 description 1
- DNRISHWSPBDRTH-UHFFFAOYSA-N [(2,6-dichlorobenzoyl)-phenylphosphoryl]-(2,6-dichlorophenyl)methanone Chemical compound ClC1=CC=CC(Cl)=C1C(=O)P(=O)(C=1C=CC=CC=1)C(=O)C1=C(Cl)C=CC=C1Cl DNRISHWSPBDRTH-UHFFFAOYSA-N 0.000 description 1
- LFOXEOLGJPJZAA-UHFFFAOYSA-N [(2,6-dimethoxybenzoyl)-(2,4,4-trimethylpentyl)phosphoryl]-(2,6-dimethoxyphenyl)methanone Chemical compound COC1=CC=CC(OC)=C1C(=O)P(=O)(CC(C)CC(C)(C)C)C(=O)C1=C(OC)C=CC=C1OC LFOXEOLGJPJZAA-UHFFFAOYSA-N 0.000 description 1
- ZTCAGYRXUCWHPV-UHFFFAOYSA-N [(2,6-dimethoxybenzoyl)-(2,5-dimethylphenyl)phosphoryl]-(2,6-dimethoxyphenyl)methanone Chemical compound COC1=CC=CC(OC)=C1C(=O)P(=O)(C=1C(=CC=C(C)C=1)C)C(=O)C1=C(OC)C=CC=C1OC ZTCAGYRXUCWHPV-UHFFFAOYSA-N 0.000 description 1
- QISAYNXDUCNISJ-UHFFFAOYSA-N [(2,6-dimethoxybenzoyl)-phenylphosphoryl]-(2,6-dimethoxyphenyl)methanone Chemical compound COC1=CC=CC(OC)=C1C(=O)P(=O)(C=1C=CC=CC=1)C(=O)C1=C(OC)C=CC=C1OC QISAYNXDUCNISJ-UHFFFAOYSA-N 0.000 description 1
- SEEVRZDUPHZSOX-WPWMEQJKSA-N [(e)-1-[9-ethyl-6-(2-methylbenzoyl)carbazol-3-yl]ethylideneamino] acetate Chemical compound C=1C=C2N(CC)C3=CC=C(C(\C)=N\OC(C)=O)C=C3C2=CC=1C(=O)C1=CC=CC=C1C SEEVRZDUPHZSOX-WPWMEQJKSA-N 0.000 description 1
- NLAMRLZPVVKXTK-SNAWJCMRSA-N [(e)-but-1-enyl] acetate Chemical compound CC\C=C\OC(C)=O NLAMRLZPVVKXTK-SNAWJCMRSA-N 0.000 description 1
- LOCXTTRLSIDGPS-FVDSYPCUSA-N [(z)-[1-oxo-1-(4-phenylsulfanylphenyl)octan-2-ylidene]amino] benzoate Chemical compound C=1C=C(SC=2C=CC=CC=2)C=CC=1C(=O)C(/CCCCCC)=N\OC(=O)C1=CC=CC=C1 LOCXTTRLSIDGPS-FVDSYPCUSA-N 0.000 description 1
- DBHQYYNDKZDVTN-UHFFFAOYSA-N [4-(4-methylphenyl)sulfanylphenyl]-phenylmethanone Chemical compound C1=CC(C)=CC=C1SC1=CC=C(C(=O)C=2C=CC=CC=2)C=C1 DBHQYYNDKZDVTN-UHFFFAOYSA-N 0.000 description 1
- ARNIZPSLPHFDED-UHFFFAOYSA-N [4-(dimethylamino)phenyl]-(4-methoxyphenyl)methanone Chemical compound C1=CC(OC)=CC=C1C(=O)C1=CC=C(N(C)C)C=C1 ARNIZPSLPHFDED-UHFFFAOYSA-N 0.000 description 1
- BEUGBYXJXMVRFO-UHFFFAOYSA-N [4-(dimethylamino)phenyl]-phenylmethanone Chemical compound C1=CC(N(C)C)=CC=C1C(=O)C1=CC=CC=C1 BEUGBYXJXMVRFO-UHFFFAOYSA-N 0.000 description 1
- CIUQDSCDWFSTQR-UHFFFAOYSA-N [C]1=CC=CC=C1 Chemical compound [C]1=CC=CC=C1 CIUQDSCDWFSTQR-UHFFFAOYSA-N 0.000 description 1
- OFUWNULILNEATR-UHFFFAOYSA-N [[3-cyclopentyl-1-oxo-1-(4-phenylsulfanylphenyl)propan-2-ylidene]amino] benzoate Chemical compound O=C(ON=C(CC1CCCC1)C(=O)C1=CC=C(SC2=CC=CC=C2)C=C1)C1=CC=CC=C1 OFUWNULILNEATR-UHFFFAOYSA-N 0.000 description 1
- QFKJMDYQKVPGNM-UHFFFAOYSA-N [benzenesulfonyl(diazo)methyl]sulfonylbenzene Chemical compound C=1C=CC=CC=1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=CC=C1 QFKJMDYQKVPGNM-UHFFFAOYSA-N 0.000 description 1
- GLGXSTXZLFQYKJ-UHFFFAOYSA-N [cyclohexylsulfonyl(diazo)methyl]sulfonylcyclohexane Chemical compound C1CCCCC1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1CCCCC1 GLGXSTXZLFQYKJ-UHFFFAOYSA-N 0.000 description 1
- DUJLILQBTCLTDQ-UHFFFAOYSA-N [cyclopentylsulfonyl(diazo)methyl]sulfonylcyclopentane Chemical compound C1CCCC1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1CCCC1 DUJLILQBTCLTDQ-UHFFFAOYSA-N 0.000 description 1
- GUCYFKSBFREPBC-UHFFFAOYSA-N [phenyl-(2,4,6-trimethylbenzoyl)phosphoryl]-(2,4,6-trimethylphenyl)methanone Chemical compound CC1=CC(C)=CC(C)=C1C(=O)P(=O)(C=1C=CC=CC=1)C(=O)C1=C(C)C=C(C)C=C1C GUCYFKSBFREPBC-UHFFFAOYSA-N 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- 238000000862 absorption spectrum Methods 0.000 description 1
- 239000011354 acetal resin Substances 0.000 description 1
- 235000011054 acetic acid Nutrition 0.000 description 1
- IPBVNPXQWQGGJP-UHFFFAOYSA-N acetic acid phenyl ester Natural products CC(=O)OC1=CC=CC=C1 IPBVNPXQWQGGJP-UHFFFAOYSA-N 0.000 description 1
- KXKVLQRXCPHEJC-UHFFFAOYSA-N acetic acid trimethyl ester Natural products COC(C)=O KXKVLQRXCPHEJC-UHFFFAOYSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 150000001251 acridines Chemical class 0.000 description 1
- QPHBUJJTFKRYHM-UHFFFAOYSA-N adamantane-1-sulfonic acid Chemical compound C1C(C2)CC3CC2CC1(S(=O)(=O)O)C3 QPHBUJJTFKRYHM-UHFFFAOYSA-N 0.000 description 1
- 150000003835 adenosine derivatives Chemical class 0.000 description 1
- 235000004279 alanine Nutrition 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 125000002723 alicyclic group Chemical group 0.000 description 1
- 239000004844 aliphatic epoxy resin Substances 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 229940045714 alkyl sulfonate alkylating agent Drugs 0.000 description 1
- CERQOIWHTDAKMF-UHFFFAOYSA-N alpha-methacrylic acid Natural products CC(=C)C(O)=O CERQOIWHTDAKMF-UHFFFAOYSA-N 0.000 description 1
- 150000003862 amino acid derivatives Chemical class 0.000 description 1
- 229960004050 aminobenzoic acid Drugs 0.000 description 1
- 150000003927 aminopyridines Chemical class 0.000 description 1
- 229940072049 amyl acetate Drugs 0.000 description 1
- PGMYKACGEOXYJE-UHFFFAOYSA-N anhydrous amyl acetate Natural products CCCCCOC(C)=O PGMYKACGEOXYJE-UHFFFAOYSA-N 0.000 description 1
- 150000001448 anilines Chemical class 0.000 description 1
- 150000004303 annulenes Chemical class 0.000 description 1
- 229940054051 antipsychotic indole derivative Drugs 0.000 description 1
- 229940027991 antiseptic and disinfectant quinoline derivative Drugs 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- ODKSFYDXXFIFQN-UHFFFAOYSA-N arginine Natural products OC(=O)C(N)CCCNC(N)=N ODKSFYDXXFIFQN-UHFFFAOYSA-N 0.000 description 1
- 229960003121 arginine Drugs 0.000 description 1
- 235000009697 arginine Nutrition 0.000 description 1
- 125000000732 arylene group Chemical group 0.000 description 1
- 229960005261 aspartic acid Drugs 0.000 description 1
- 235000003704 aspartic acid Nutrition 0.000 description 1
- DULCUDSUACXJJC-UHFFFAOYSA-N benzeneacetic acid ethyl ester Natural products CCOC(=O)CC1=CC=CC=C1 DULCUDSUACXJJC-UHFFFAOYSA-N 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-N benzenesulfonic acid Chemical compound OS(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-N 0.000 description 1
- 229940092714 benzenesulfonic acid Drugs 0.000 description 1
- 235000010233 benzoic acid Nutrition 0.000 description 1
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 description 1
- 239000012964 benzotriazole Substances 0.000 description 1
- 235000019400 benzoyl peroxide Nutrition 0.000 description 1
- 229940007550 benzyl acetate Drugs 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- OQFSQFPPLPISGP-UHFFFAOYSA-N beta-carboxyaspartic acid Natural products OC(=O)C(N)C(C(O)=O)C(O)=O OQFSQFPPLPISGP-UHFFFAOYSA-N 0.000 description 1
- TWXSAALTOGFUCA-UHFFFAOYSA-N bicyclo[2.2.1]heptane-3-sulfonic acid Chemical compound C1CC2C(S(=O)(=O)O)CC1C2 TWXSAALTOGFUCA-UHFFFAOYSA-N 0.000 description 1
- XITRBUPOXXBIJN-UHFFFAOYSA-N bis(2,2,6,6-tetramethylpiperidin-4-yl) decanedioate Chemical compound C1C(C)(C)NC(C)(C)CC1OC(=O)CCCCCCCCC(=O)OC1CC(C)(C)NC(C)(C)C1 XITRBUPOXXBIJN-UHFFFAOYSA-N 0.000 description 1
- RKTGAWJWCNLSFX-UHFFFAOYSA-M bis(2-hydroxyethyl)-dimethylazanium;hydroxide Chemical compound [OH-].OCC[N+](C)(C)CCO RKTGAWJWCNLSFX-UHFFFAOYSA-M 0.000 description 1
- KZYBDOUJLUPBEH-UHFFFAOYSA-N bis(4-ethenoxybutyl) benzene-1,3-dicarboxylate Chemical compound C=COCCCCOC(=O)C1=CC=CC(C(=O)OCCCCOC=C)=C1 KZYBDOUJLUPBEH-UHFFFAOYSA-N 0.000 description 1
- HMNFSPVCKZFHGZ-UHFFFAOYSA-N bis(4-ethenoxybutyl) benzene-1,4-dicarboxylate Chemical compound C=COCCCCOC(=O)C1=CC=C(C(=O)OCCCCOC=C)C=C1 HMNFSPVCKZFHGZ-UHFFFAOYSA-N 0.000 description 1
- RFVHVYKVRGKLNK-UHFFFAOYSA-N bis(4-methoxyphenyl)methanone Chemical compound C1=CC(OC)=CC=C1C(=O)C1=CC=C(OC)C=C1 RFVHVYKVRGKLNK-UHFFFAOYSA-N 0.000 description 1
- DJBAOXYQCAKLPH-UHFFFAOYSA-M bis(4-tert-butylphenyl)iodanium;1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC(C(C)(C)C)=CC=C1[I+]C1=CC=C(C(C)(C)C)C=C1 DJBAOXYQCAKLPH-UHFFFAOYSA-M 0.000 description 1
- VGZKCAUAQHHGDK-UHFFFAOYSA-M bis(4-tert-butylphenyl)iodanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC(C(C)(C)C)=CC=C1[I+]C1=CC=C(C(C)(C)C)C=C1 VGZKCAUAQHHGDK-UHFFFAOYSA-M 0.000 description 1
- JZQAAQZDDMEFGZ-UHFFFAOYSA-N bis(ethenyl) hexanedioate Chemical compound C=COC(=O)CCCCC(=O)OC=C JZQAAQZDDMEFGZ-UHFFFAOYSA-N 0.000 description 1
- MQDJYUACMFCOFT-UHFFFAOYSA-N bis[2-(1-hydroxycyclohexyl)phenyl]methanone Chemical compound C=1C=CC=C(C(=O)C=2C(=CC=CC=2)C2(O)CCCCC2)C=1C1(O)CCCCC1 MQDJYUACMFCOFT-UHFFFAOYSA-N 0.000 description 1
- ARSWOISMYKDULL-UHFFFAOYSA-N bis[4-(2,2-dihydroxyethylamino)phenyl]methanone Chemical compound C1=CC(NCC(O)O)=CC=C1C(=O)C1=CC=C(NCC(O)O)C=C1 ARSWOISMYKDULL-UHFFFAOYSA-N 0.000 description 1
- FGURETDKISOZJH-UHFFFAOYSA-N bis[4-(dicyclohexylamino)phenyl]methanone Chemical compound C=1C=C(N(C2CCCCC2)C2CCCCC2)C=CC=1C(=O)C(C=C1)=CC=C1N(C1CCCCC1)C1CCCCC1 FGURETDKISOZJH-UHFFFAOYSA-N 0.000 description 1
- VYHBFRJRBHMIQZ-UHFFFAOYSA-N bis[4-(diethylamino)phenyl]methanone Chemical compound C1=CC(N(CC)CC)=CC=C1C(=O)C1=CC=C(N(CC)CC)C=C1 VYHBFRJRBHMIQZ-UHFFFAOYSA-N 0.000 description 1
- SDNBHBGJJPWRJG-UHFFFAOYSA-N bis[[4-(ethenoxymethyl)cyclohexyl]methyl] pentanedioate Chemical compound C1CC(COC=C)CCC1COC(=O)CCCC(=O)OCC1CCC(COC=C)CC1 SDNBHBGJJPWRJG-UHFFFAOYSA-N 0.000 description 1
- IISBACLAFKSPIT-UHFFFAOYSA-N bisphenol A Chemical compound C=1C=C(O)C=CC=1C(C)(C)C1=CC=C(O)C=C1 IISBACLAFKSPIT-UHFFFAOYSA-N 0.000 description 1
- 230000000740 bleeding effect Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- HQABUPZFAYXKJW-UHFFFAOYSA-N butan-1-amine Chemical compound CCCCN HQABUPZFAYXKJW-UHFFFAOYSA-N 0.000 description 1
- QDHFHIQKOVNCNC-UHFFFAOYSA-N butane-1-sulfonic acid Chemical compound CCCCS(O)(=O)=O QDHFHIQKOVNCNC-UHFFFAOYSA-N 0.000 description 1
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 description 1
- 239000001191 butyl (2R)-2-hydroxypropanoate Substances 0.000 description 1
- 229940043232 butyl acetate Drugs 0.000 description 1
- 238000011088 calibration curve Methods 0.000 description 1
- VTJUKNSKBAOEHE-UHFFFAOYSA-N calixarene Chemical class COC(=O)COC1=C(CC=2C(=C(CC=3C(=C(C4)C=C(C=3)C(C)(C)C)OCC(=O)OC)C=C(C=2)C(C)(C)C)OCC(=O)OC)C=C(C(C)(C)C)C=C1CC1=C(OCC(=O)OC)C4=CC(C(C)(C)C)=C1 VTJUKNSKBAOEHE-UHFFFAOYSA-N 0.000 description 1
- 150000001716 carbazoles Chemical class 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 150000001244 carboxylic acid anhydrides Chemical class 0.000 description 1
- 125000003262 carboxylic acid ester group Chemical group [H]C([H])([*:2])OC(=O)C([H])([H])[*:1] 0.000 description 1
- 125000002057 carboxymethyl group Chemical group [H]OC(=O)C([H])([H])[*] 0.000 description 1
- 230000003197 catalytic effect Effects 0.000 description 1
- 235000005513 chalcones Nutrition 0.000 description 1
- 239000007806 chemical reaction intermediate Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- OEYIOHPDSNJKLS-UHFFFAOYSA-N choline Chemical compound C[N+](C)(C)CCO OEYIOHPDSNJKLS-UHFFFAOYSA-N 0.000 description 1
- 229960001231 choline Drugs 0.000 description 1
- 125000000259 cinnolinyl group Chemical class N1=NC(=CC2=CC=CC=C12)* 0.000 description 1
- 229910000428 cobalt oxide Inorganic materials 0.000 description 1
- IVMYJDGYRUAWML-UHFFFAOYSA-N cobalt(ii) oxide Chemical compound [Co]=O IVMYJDGYRUAWML-UHFFFAOYSA-N 0.000 description 1
- 238000013329 compounding Methods 0.000 description 1
- 230000021615 conjugation Effects 0.000 description 1
- 150000004775 coumarins Chemical class 0.000 description 1
- 125000006165 cyclic alkyl group Chemical group 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 239000000412 dendrimer Substances 0.000 description 1
- 229920000736 dendritic polymer Polymers 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- JQDCIBMGKCMHQV-UHFFFAOYSA-M diethyl(dimethyl)azanium;hydroxide Chemical compound [OH-].CC[N+](C)(C)CC JQDCIBMGKCMHQV-UHFFFAOYSA-M 0.000 description 1
- MYRLVAHFNOAIAI-UHFFFAOYSA-M diethyl-bis(2-hydroxyethyl)azanium;hydroxide Chemical compound [OH-].OCC[N+](CC)(CC)CCO MYRLVAHFNOAIAI-UHFFFAOYSA-M 0.000 description 1
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 description 1
- SBZXBUIDTXKZTM-UHFFFAOYSA-N diglyme Chemical compound COCCOCCOC SBZXBUIDTXKZTM-UHFFFAOYSA-N 0.000 description 1
- 229940043279 diisopropylamine Drugs 0.000 description 1
- LAWOZCWGWDVVSG-UHFFFAOYSA-N dioctylamine Chemical compound CCCCCCCCNCCCCCCCC LAWOZCWGWDVVSG-UHFFFAOYSA-N 0.000 description 1
- ORPDKMPYOLFUBA-UHFFFAOYSA-M diphenyliodanium;1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound C=1C=CC=CC=1[I+]C1=CC=CC=C1.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F ORPDKMPYOLFUBA-UHFFFAOYSA-M 0.000 description 1
- SBQIJPBUMNWUKN-UHFFFAOYSA-M diphenyliodanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C=1C=CC=CC=1[I+]C1=CC=CC=C1 SBQIJPBUMNWUKN-UHFFFAOYSA-M 0.000 description 1
- MIBMTBXKARQXFP-UHFFFAOYSA-N diphenylphosphoryl-(2,3,5,6-tetramethylphenyl)methanone Chemical compound CC1=CC(C)=C(C)C(C(=O)P(=O)(C=2C=CC=CC=2)C=2C=CC=CC=2)=C1C MIBMTBXKARQXFP-UHFFFAOYSA-N 0.000 description 1
- VFHVQBAGLAREND-UHFFFAOYSA-N diphenylphosphoryl-(2,4,6-trimethylphenyl)methanone Chemical compound CC1=CC(C)=CC(C)=C1C(=O)P(=O)(C=1C=CC=CC=1)C1=CC=CC=C1 VFHVQBAGLAREND-UHFFFAOYSA-N 0.000 description 1
- WEHWNAOGRSTTBQ-UHFFFAOYSA-N dipropylamine Chemical compound CCCNCCC WEHWNAOGRSTTBQ-UHFFFAOYSA-N 0.000 description 1
- SZXQTJUDPRGNJN-UHFFFAOYSA-N dipropylene glycol Chemical compound OCCCOCCCO SZXQTJUDPRGNJN-UHFFFAOYSA-N 0.000 description 1
- JRBPAEWTRLWTQC-UHFFFAOYSA-N dodecylamine Chemical compound CCCCCCCCCCCCN JRBPAEWTRLWTQC-UHFFFAOYSA-N 0.000 description 1
- ODQWQRRAPPTVAG-GZTJUZNOSA-N doxepin Chemical compound C1OC2=CC=CC=C2C(=C/CCN(C)C)/C2=CC=CC=C21 ODQWQRRAPPTVAG-GZTJUZNOSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 239000003480 eluent Substances 0.000 description 1
- XBRDBODLCHKXHI-UHFFFAOYSA-N epolamine Chemical compound OCCN1CCCC1 XBRDBODLCHKXHI-UHFFFAOYSA-N 0.000 description 1
- CCIVGXIOQKPBKL-UHFFFAOYSA-M ethanesulfonate Chemical compound CCS([O-])(=O)=O CCIVGXIOQKPBKL-UHFFFAOYSA-M 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 229940052303 ethers for general anesthesia Drugs 0.000 description 1
- 125000005745 ethoxymethyl group Chemical group [H]C([H])([H])C([H])([H])OC([H])([H])* 0.000 description 1
- CGWFVEFHQWJOKI-UHFFFAOYSA-N ethyl 2-benzoylbenzoate Chemical compound CCOC(=O)C1=CC=CC=C1C(=O)C1=CC=CC=C1 CGWFVEFHQWJOKI-UHFFFAOYSA-N 0.000 description 1
- BHXIWUJLHYHGSJ-UHFFFAOYSA-N ethyl 3-ethoxypropanoate Chemical compound CCOCCC(=O)OCC BHXIWUJLHYHGSJ-UHFFFAOYSA-N 0.000 description 1
- 229940117360 ethyl pyruvate Drugs 0.000 description 1
- KVFVBPYVNUCWJX-UHFFFAOYSA-M ethyl(trimethyl)azanium;hydroxide Chemical compound [OH-].CC[N+](C)(C)C KVFVBPYVNUCWJX-UHFFFAOYSA-M 0.000 description 1
- KGVNNTSVYGJCRV-UHFFFAOYSA-M ethyl-tris(2-hydroxyethyl)azanium;hydroxide Chemical compound [OH-].OCC[N+](CC)(CCO)CCO KGVNNTSVYGJCRV-UHFFFAOYSA-M 0.000 description 1
- GVEPBJHOBDJJJI-UHFFFAOYSA-N fluoranthrene Natural products C1=CC(C2=CC=CC=C22)=C3C2=CC=CC3=C1 GVEPBJHOBDJJJI-UHFFFAOYSA-N 0.000 description 1
- YLQWCDOCJODRMT-UHFFFAOYSA-N fluoren-9-one Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3C2=C1 YLQWCDOCJODRMT-UHFFFAOYSA-N 0.000 description 1
- 238000003682 fluorination reaction Methods 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 150000002244 furazanes Chemical class 0.000 description 1
- ZTHYODDOHIVTJV-UHFFFAOYSA-N gallic acid propyl ester Natural products CCCOC(=O)C1=CC(O)=C(O)C(O)=C1 ZTHYODDOHIVTJV-UHFFFAOYSA-N 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 238000001879 gelation Methods 0.000 description 1
- 235000013922 glutamic acid Nutrition 0.000 description 1
- 239000004220 glutamic acid Substances 0.000 description 1
- 229960002989 glutamic acid Drugs 0.000 description 1
- 235000011187 glycerol Nutrition 0.000 description 1
- 229960002449 glycine Drugs 0.000 description 1
- 108010050848 glycylleucine Proteins 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 230000026030 halogenation Effects 0.000 description 1
- 238000005658 halogenation reaction Methods 0.000 description 1
- 125000006341 heptafluoro n-propyl group Chemical group FC(F)(F)C(F)(F)C(F)(F)* 0.000 description 1
- MNWFXJYAOYHMED-UHFFFAOYSA-M heptanoate Chemical compound CCCCCCC([O-])=O MNWFXJYAOYHMED-UHFFFAOYSA-M 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- XXMIOPMDWAUFGU-UHFFFAOYSA-N hexane-1,6-diol Chemical compound OCCCCCCO XXMIOPMDWAUFGU-UHFFFAOYSA-N 0.000 description 1
- 238000004128 high performance liquid chromatography Methods 0.000 description 1
- HNDVDQJCIGZPNO-UHFFFAOYSA-N histidine Natural products OC(=O)C(N)CC1=CN=CN1 HNDVDQJCIGZPNO-UHFFFAOYSA-N 0.000 description 1
- 229960002885 histidine Drugs 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical class I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 230000007062 hydrolysis Effects 0.000 description 1
- 229960004337 hydroquinone Drugs 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- 150000002460 imidazoles Chemical class 0.000 description 1
- 150000002461 imidazolidines Chemical class 0.000 description 1
- 150000002462 imidazolines Chemical class 0.000 description 1
- 150000002466 imines Chemical class 0.000 description 1
- 150000002475 indoles Chemical class 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000003999 initiator Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 229940079865 intestinal antiinfectives imidazole derivative Drugs 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- GJRQTCIYDGXPES-UHFFFAOYSA-N iso-butyl acetate Natural products CC(C)COC(C)=O GJRQTCIYDGXPES-UHFFFAOYSA-N 0.000 description 1
- 229940117955 isoamyl acetate Drugs 0.000 description 1
- XKYICAQFSCFURC-UHFFFAOYSA-N isoamyl formate Chemical compound CC(C)CCOC=O XKYICAQFSCFURC-UHFFFAOYSA-N 0.000 description 1
- FGKJLKRYENPLQH-UHFFFAOYSA-M isocaproate Chemical compound CC(C)CCC([O-])=O FGKJLKRYENPLQH-UHFFFAOYSA-M 0.000 description 1
- ZFSLODLOARCGLH-UHFFFAOYSA-N isocyanuric acid Chemical compound OC1=NC(O)=NC(O)=N1 ZFSLODLOARCGLH-UHFFFAOYSA-N 0.000 description 1
- 150000002518 isoindoles Chemical class 0.000 description 1
- 229960000310 isoleucine Drugs 0.000 description 1
- AGPKZVBTJJNPAG-UHFFFAOYSA-N isoleucine Natural products CCC(C)C(N)C(O)=O AGPKZVBTJJNPAG-UHFFFAOYSA-N 0.000 description 1
- VFQXVTODMYMSMJ-UHFFFAOYSA-N isonicotinamide Chemical compound NC(=O)C1=CC=NC=C1 VFQXVTODMYMSMJ-UHFFFAOYSA-N 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 1
- 150000002537 isoquinolines Chemical class 0.000 description 1
- ZLTPDFXIESTBQG-UHFFFAOYSA-N isothiazole Chemical compound C=1C=NSC=1 ZLTPDFXIESTBQG-UHFFFAOYSA-N 0.000 description 1
- OQAGVSWESNCJJT-UHFFFAOYSA-N isovaleric acid methyl ester Natural products COC(=O)CC(C)C OQAGVSWESNCJJT-UHFFFAOYSA-N 0.000 description 1
- CTAPFRYPJLPFDF-UHFFFAOYSA-N isoxazole Chemical compound C=1C=NOC=1 CTAPFRYPJLPFDF-UHFFFAOYSA-N 0.000 description 1
- 229960003136 leucine Drugs 0.000 description 1
- QDLAGTHXVHQKRE-UHFFFAOYSA-N lichenxanthone Natural products COC1=CC(O)=C2C(=O)C3=C(C)C=C(OC)C=C3OC2=C1 QDLAGTHXVHQKRE-UHFFFAOYSA-N 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 210000001161 mammalian embryo Anatomy 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- DZVCFNFOPIZQKX-LTHRDKTGSA-M merocyanine Chemical compound [Na+].O=C1N(CCCC)C(=O)N(CCCC)C(=O)C1=C\C=C\C=C/1N(CCCS([O-])(=O)=O)C2=CC=CC=C2O\1 DZVCFNFOPIZQKX-LTHRDKTGSA-M 0.000 description 1
- RTWNYYOXLSILQN-UHFFFAOYSA-N methanediamine Chemical compound NCN RTWNYYOXLSILQN-UHFFFAOYSA-N 0.000 description 1
- 229930182817 methionine Natural products 0.000 description 1
- 229960004452 methionine Drugs 0.000 description 1
- 125000004184 methoxymethyl group Chemical group [H]C([H])([H])OC([H])([H])* 0.000 description 1
- SDSWSVBXRBXPRL-LBPRGKRZSA-N methyl (2s)-2-[(2-methylpropan-2-yl)oxycarbonylamino]-3-phenylpropanoate Chemical compound CC(C)(C)OC(=O)N[C@H](C(=O)OC)CC1=CC=CC=C1 SDSWSVBXRBXPRL-LBPRGKRZSA-N 0.000 description 1
- GJDICGOCZGRDFM-LURJTMIESA-N methyl (2s)-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoate Chemical compound COC(=O)[C@H](C)NC(=O)OC(C)(C)C GJDICGOCZGRDFM-LURJTMIESA-N 0.000 description 1
- NQIFXJSLCUJHBB-LBPRGKRZSA-N methyl (2s)-3-(4-hydroxyphenyl)-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoate Chemical compound CC(C)(C)OC(=O)N[C@H](C(=O)OC)CC1=CC=C(O)C=C1 NQIFXJSLCUJHBB-LBPRGKRZSA-N 0.000 description 1
- FALUXMVPGFKLAM-LBPRGKRZSA-N methyl (2s)-3-cyclohexyl-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoate Chemical compound CC(C)(C)OC(=O)N[C@H](C(=O)OC)CC1CCCCC1 FALUXMVPGFKLAM-LBPRGKRZSA-N 0.000 description 1
- SANNKFASHWONFD-LURJTMIESA-N methyl (2s)-3-hydroxy-2-[(2-methylpropan-2-yl)oxycarbonylamino]propanoate Chemical compound COC(=O)[C@H](CO)NC(=O)OC(C)(C)C SANNKFASHWONFD-LURJTMIESA-N 0.000 description 1
- XCJLIYKAMLUDGN-QMMMGPOBSA-N methyl (2s)-3-methyl-2-[(2-methylpropan-2-yl)oxycarbonylamino]butanoate Chemical compound COC(=O)[C@H](C(C)C)NC(=O)OC(C)(C)C XCJLIYKAMLUDGN-QMMMGPOBSA-N 0.000 description 1
- KLRYBAAAYDFIEQ-IUCAKERBSA-N methyl (2s,3s)-3-methyl-2-[(2-methylpropan-2-yl)oxycarbonylamino]pentanoate Chemical compound CC[C@H](C)[C@@H](C(=O)OC)NC(=O)OC(C)(C)C KLRYBAAAYDFIEQ-IUCAKERBSA-N 0.000 description 1
- ZQMHJBXHRFJKOT-UHFFFAOYSA-N methyl 2-[(1-methoxy-2-methyl-1-oxopropan-2-yl)diazenyl]-2-methylpropanoate Chemical compound COC(=O)C(C)(C)N=NC(C)(C)C(=O)OC ZQMHJBXHRFJKOT-UHFFFAOYSA-N 0.000 description 1
- BDJSOPWXYLFTNW-UHFFFAOYSA-N methyl 3-methoxypropanoate Chemical compound COCCC(=O)OC BDJSOPWXYLFTNW-UHFFFAOYSA-N 0.000 description 1
- 229940095102 methyl benzoate Drugs 0.000 description 1
- 229940057867 methyl lactate Drugs 0.000 description 1
- MBAHGFJTIVZLFB-UHFFFAOYSA-N methyl pent-2-enoate Chemical compound CCC=CC(=O)OC MBAHGFJTIVZLFB-UHFFFAOYSA-N 0.000 description 1
- YLHXLHGIAMFFBU-UHFFFAOYSA-N methyl phenylglyoxalate Chemical compound COC(=O)C(=O)C1=CC=CC=C1 YLHXLHGIAMFFBU-UHFFFAOYSA-N 0.000 description 1
- GDOPTJXRTPNYNR-UHFFFAOYSA-N methyl-cyclopentane Natural products CC1CCCC1 GDOPTJXRTPNYNR-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 150000002780 morpholines Chemical class 0.000 description 1
- HEPOEAALKWFVLE-UHFFFAOYSA-N n -((perfluorooctanesulfonyl)oxy)-5-norbornene-2,3-dicarboximide Chemical compound C1=CC2CC1C1C2C(=O)N(OS(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F)C1=O HEPOEAALKWFVLE-UHFFFAOYSA-N 0.000 description 1
- YCMDNBGUNDHOOD-UHFFFAOYSA-N n -((trifluoromethylsulfonyl)oxy)-5-norbornene-2,3-dicarboximide Chemical compound C1=CC2CC1C1C2C(=O)N(OS(=O)(=O)C(F)(F)F)C1=O YCMDNBGUNDHOOD-UHFFFAOYSA-N 0.000 description 1
- DILRJUIACXKSQE-UHFFFAOYSA-N n',n'-dimethylethane-1,2-diamine Chemical compound CN(C)CCN DILRJUIACXKSQE-UHFFFAOYSA-N 0.000 description 1
- BNUHBJSGYGOLSN-UHFFFAOYSA-N n'-[2-[2-[2-(dimethylamino)ethylamino]ethylamino]ethyl]ethane-1,2-diamine Chemical compound CN(C)CCNCCNCCNCCN BNUHBJSGYGOLSN-UHFFFAOYSA-N 0.000 description 1
- GTIBACHAUHDNPH-WHYMJUELSA-N n,n'-bis[(z)-benzylideneamino]oxamide Chemical compound C=1C=CC=CC=1\C=N/NC(=O)C(=O)N\N=C/C1=CC=CC=C1 GTIBACHAUHDNPH-WHYMJUELSA-N 0.000 description 1
- VGIVLIHKENZQHQ-UHFFFAOYSA-N n,n,n',n'-tetramethylmethanediamine Chemical compound CN(C)CN(C)C VGIVLIHKENZQHQ-UHFFFAOYSA-N 0.000 description 1
- SRLHDBRENZFCIN-UHFFFAOYSA-N n,n-di(butan-2-yl)butan-2-amine Chemical compound CCC(C)N(C(C)CC)C(C)CC SRLHDBRENZFCIN-UHFFFAOYSA-N 0.000 description 1
- ZQJAONQEOXOVNR-UHFFFAOYSA-N n,n-di(nonyl)nonan-1-amine Chemical compound CCCCCCCCCN(CCCCCCCCC)CCCCCCCCC ZQJAONQEOXOVNR-UHFFFAOYSA-N 0.000 description 1
- FRQONEWDWWHIPM-UHFFFAOYSA-N n,n-dicyclohexylcyclohexanamine Chemical compound C1CCCCC1N(C1CCCCC1)C1CCCCC1 FRQONEWDWWHIPM-UHFFFAOYSA-N 0.000 description 1
- CLZGJKHEVKJLLS-UHFFFAOYSA-N n,n-diheptylheptan-1-amine Chemical compound CCCCCCCN(CCCCCCC)CCCCCCC CLZGJKHEVKJLLS-UHFFFAOYSA-N 0.000 description 1
- LYYLWJOKAQADDU-UHFFFAOYSA-N n,n-dihexadecylhexadecan-1-amine Chemical compound CCCCCCCCCCCCCCCCN(CCCCCCCCCCCCCCCC)CCCCCCCCCCCCCCCC LYYLWJOKAQADDU-UHFFFAOYSA-N 0.000 description 1
- DIAIBWNEUYXDNL-UHFFFAOYSA-N n,n-dihexylhexan-1-amine Chemical compound CCCCCCN(CCCCCC)CCCCCC DIAIBWNEUYXDNL-UHFFFAOYSA-N 0.000 description 1
- SJZLOWYUGKIWAK-UHFFFAOYSA-N n-(2-hydroxyethyl)pyridine-3-carboxamide Chemical group OCCNC(=O)C1=CC=CN=C1 SJZLOWYUGKIWAK-UHFFFAOYSA-N 0.000 description 1
- YKYONYBAUNKHLG-UHFFFAOYSA-N n-Propyl acetate Natural products CCCOC(C)=O YKYONYBAUNKHLG-UHFFFAOYSA-N 0.000 description 1
- OBYVIBDTOCAXSN-UHFFFAOYSA-N n-butan-2-ylbutan-2-amine Chemical compound CCC(C)NC(C)CC OBYVIBDTOCAXSN-UHFFFAOYSA-N 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- PZYDAVFRVJXFHS-UHFFFAOYSA-N n-cyclohexyl-2-pyrrolidone Chemical compound O=C1CCCN1C1CCCCC1 PZYDAVFRVJXFHS-UHFFFAOYSA-N 0.000 description 1
- DKYVVNLWACXMDW-UHFFFAOYSA-N n-cyclohexyl-4-methylbenzenesulfonamide Chemical compound C1=CC(C)=CC=C1S(=O)(=O)NC1CCCCC1 DKYVVNLWACXMDW-UHFFFAOYSA-N 0.000 description 1
- FUUUBHCENZGYJA-UHFFFAOYSA-N n-cyclopentylcyclopentanamine Chemical compound C1CCCC1NC1CCCC1 FUUUBHCENZGYJA-UHFFFAOYSA-N 0.000 description 1
- GMTCPFCMAHMEMT-UHFFFAOYSA-N n-decyldecan-1-amine Chemical compound CCCCCCCCCCNCCCCCCCCCC GMTCPFCMAHMEMT-UHFFFAOYSA-N 0.000 description 1
- MJCJUDJQDGGKOX-UHFFFAOYSA-N n-dodecyldodecan-1-amine Chemical compound CCCCCCCCCCCCNCCCCCCCCCCCC MJCJUDJQDGGKOX-UHFFFAOYSA-N 0.000 description 1
- NJWMENBYMFZACG-UHFFFAOYSA-N n-heptylheptan-1-amine Chemical compound CCCCCCCNCCCCCCC NJWMENBYMFZACG-UHFFFAOYSA-N 0.000 description 1
- NQYKSVOHDVVDOR-UHFFFAOYSA-N n-hexadecylhexadecan-1-amine Chemical compound CCCCCCCCCCCCCCCCNCCCCCCCCCCCCCCCC NQYKSVOHDVVDOR-UHFFFAOYSA-N 0.000 description 1
- PXSXRABJBXYMFT-UHFFFAOYSA-N n-hexylhexan-1-amine Chemical compound CCCCCCNCCCCCC PXSXRABJBXYMFT-UHFFFAOYSA-N 0.000 description 1
- DYFFAVRFJWYYQO-UHFFFAOYSA-N n-methyl-n-phenylaniline Chemical compound C=1C=CC=CC=1N(C)C1=CC=CC=C1 DYFFAVRFJWYYQO-UHFFFAOYSA-N 0.000 description 1
- MFHKEJIIHDNPQE-UHFFFAOYSA-N n-nonylnonan-1-amine Chemical compound CCCCCCCCCNCCCCCCCCC MFHKEJIIHDNPQE-UHFFFAOYSA-N 0.000 description 1
- JACMPVXHEARCBO-UHFFFAOYSA-N n-pentylpentan-1-amine Chemical compound CCCCCNCCCCC JACMPVXHEARCBO-UHFFFAOYSA-N 0.000 description 1
- XBXCNNQPRYLIDE-UHFFFAOYSA-M n-tert-butylcarbamate Chemical compound CC(C)(C)NC([O-])=O XBXCNNQPRYLIDE-UHFFFAOYSA-M 0.000 description 1
- NTNWKDHZTDQSST-UHFFFAOYSA-N naphthalene-1,2-diamine Chemical compound C1=CC=CC2=C(N)C(N)=CC=C21 NTNWKDHZTDQSST-UHFFFAOYSA-N 0.000 description 1
- LKKPNUDVOYAOBB-UHFFFAOYSA-N naphthalocyanine Chemical compound N1C(N=C2C3=CC4=CC=CC=C4C=C3C(N=C3C4=CC5=CC=CC=C5C=C4C(=N4)N3)=N2)=C(C=C2C(C=CC=C2)=C2)C2=C1N=C1C2=CC3=CC=CC=C3C=C2C4=N1 LKKPNUDVOYAOBB-UHFFFAOYSA-N 0.000 description 1
- 150000002791 naphthoquinones Chemical class 0.000 description 1
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 230000003472 neutralizing effect Effects 0.000 description 1
- 229960003512 nicotinic acid Drugs 0.000 description 1
- 235000001968 nicotinic acid Nutrition 0.000 description 1
- 239000011664 nicotinic acid Substances 0.000 description 1
- 125000006344 nonafluoro n-butyl group Chemical group FC(F)(F)C(F)(F)C(F)(F)C(F)(F)* 0.000 description 1
- FJDUDHYHRVPMJZ-UHFFFAOYSA-N nonan-1-amine Chemical compound CCCCCCCCCN FJDUDHYHRVPMJZ-UHFFFAOYSA-N 0.000 description 1
- 229920003986 novolac Polymers 0.000 description 1
- CSWFWSPPZMEYAY-UHFFFAOYSA-N octadecyl dihydrogen phosphite Chemical compound CCCCCCCCCCCCCCCCCCOP(O)O CSWFWSPPZMEYAY-UHFFFAOYSA-N 0.000 description 1
- IOQPZZOEVPZRBK-UHFFFAOYSA-N octan-1-amine Chemical compound CCCCCCCCN IOQPZZOEVPZRBK-UHFFFAOYSA-N 0.000 description 1
- WLGDAKIJYPIYLR-UHFFFAOYSA-N octane-1-sulfonic acid Chemical compound CCCCCCCCS(O)(=O)=O WLGDAKIJYPIYLR-UHFFFAOYSA-N 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 150000007978 oxazole derivatives Chemical class 0.000 description 1
- BFYJDHRWCNNYJQ-UHFFFAOYSA-N oxo-(3-oxo-3-phenylpropoxy)-(2,4,6-trimethylphenyl)phosphanium Chemical compound CC1=CC(C)=CC(C)=C1[P+](=O)OCCC(=O)C1=CC=CC=C1 BFYJDHRWCNNYJQ-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- NWVVVBRKAWDGAB-UHFFFAOYSA-N p-methoxyphenol Chemical compound COC1=CC=C(O)C=C1 NWVVVBRKAWDGAB-UHFFFAOYSA-N 0.000 description 1
- FJKROLUGYXJWQN-UHFFFAOYSA-N papa-hydroxy-benzoic acid Natural products OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- SLIUAWYAILUBJU-UHFFFAOYSA-N pentacene Chemical compound C1=CC=CC2=CC3=CC4=CC5=CC=CC=C5C=C4C=C3C=C21 SLIUAWYAILUBJU-UHFFFAOYSA-N 0.000 description 1
- GXOHBWLPQHTYPF-UHFFFAOYSA-N pentyl 2-hydroxypropanoate Chemical compound CCCCCOC(=O)C(C)O GXOHBWLPQHTYPF-UHFFFAOYSA-N 0.000 description 1
- 229940100684 pentylamine Drugs 0.000 description 1
- 229940083254 peripheral vasodilators imidazoline derivative Drugs 0.000 description 1
- 125000002080 perylenyl group Chemical group C1(=CC=C2C=CC=C3C4=CC=CC5=CC=CC(C1=C23)=C45)* 0.000 description 1
- CSHWQDPOILHKBI-UHFFFAOYSA-N peryrene Natural products C1=CC(C2=CC=CC=3C2=C2C=CC=3)=C3C2=CC=CC3=C1 CSHWQDPOILHKBI-UHFFFAOYSA-N 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 150000005053 phenanthridines Chemical class 0.000 description 1
- 150000002988 phenazines Chemical class 0.000 description 1
- WLJVXDMOQOGPHL-UHFFFAOYSA-M phenylacetate Chemical compound [O-]C(=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-UHFFFAOYSA-M 0.000 description 1
- 229940049953 phenylacetate Drugs 0.000 description 1
- 229960005190 phenylalanine Drugs 0.000 description 1
- COLNVLDHVKWLRT-UHFFFAOYSA-N phenylalanine Natural products OC(=O)C(N)CC1=CC=CC=C1 COLNVLDHVKWLRT-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 230000002165 photosensitisation Effects 0.000 description 1
- XKJCHHZQLQNZHY-UHFFFAOYSA-N phthalimide Chemical compound C1=CC=C2C(=O)NC(=O)C2=C1 XKJCHHZQLQNZHY-UHFFFAOYSA-N 0.000 description 1
- IEQIEDJGQAUEQZ-UHFFFAOYSA-N phthalocyanine Chemical compound N1C(N=C2C3=CC=CC=C3C(N=C3C4=CC=CC=C4C(=N4)N3)=N2)=C(C=CC=C2)C2=C1N=C1C2=CC=CC=C2C4=N1 IEQIEDJGQAUEQZ-UHFFFAOYSA-N 0.000 description 1
- 150000004885 piperazines Chemical class 0.000 description 1
- 150000003053 piperidines Chemical class 0.000 description 1
- 229920006122 polyamide resin Polymers 0.000 description 1
- 239000004645 polyester resin Substances 0.000 description 1
- 229920001225 polyester resin Polymers 0.000 description 1
- 229920006324 polyoxymethylene Polymers 0.000 description 1
- 229920002223 polystyrene Polymers 0.000 description 1
- 229920005749 polyurethane resin Polymers 0.000 description 1
- 150000004032 porphyrins Chemical class 0.000 description 1
- 229910000027 potassium carbonate Inorganic materials 0.000 description 1
- 150000003139 primary aliphatic amines Chemical class 0.000 description 1
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 1
- KCXFHTAICRTXLI-UHFFFAOYSA-N propane-1-sulfonic acid Chemical compound CCCS(O)(=O)=O KCXFHTAICRTXLI-UHFFFAOYSA-N 0.000 description 1
- 229960005335 propanol Drugs 0.000 description 1
- QLNJFJADRCOGBJ-UHFFFAOYSA-N propionamide Chemical compound CCC(N)=O QLNJFJADRCOGBJ-UHFFFAOYSA-N 0.000 description 1
- 229940080818 propionamide Drugs 0.000 description 1
- 235000019260 propionic acid Nutrition 0.000 description 1
- ILVGAIQLOCKNQA-UHFFFAOYSA-N propyl 2-hydroxypropanoate Chemical compound CCCOC(=O)C(C)O ILVGAIQLOCKNQA-UHFFFAOYSA-N 0.000 description 1
- 229940090181 propyl acetate Drugs 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 150000003216 pyrazines Chemical class 0.000 description 1
- 150000003217 pyrazoles Chemical class 0.000 description 1
- 150000003218 pyrazolidines Chemical class 0.000 description 1
- 150000003219 pyrazolines Chemical class 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- DVECLMOWYVDJRM-UHFFFAOYSA-N pyridine-3-sulfonic acid Chemical compound OS(=O)(=O)C1=CC=CN=C1 DVECLMOWYVDJRM-UHFFFAOYSA-N 0.000 description 1
- ZDYVRSLAEXCVBX-UHFFFAOYSA-N pyridinium p-toluenesulfonate Chemical compound C1=CC=[NH+]C=C1.CC1=CC=C(S([O-])(=O)=O)C=C1 ZDYVRSLAEXCVBX-UHFFFAOYSA-N 0.000 description 1
- 150000003233 pyrroles Chemical class 0.000 description 1
- HNJBEVLQSNELDL-UHFFFAOYSA-N pyrrolidin-2-one Chemical compound O=C1CCCN1 HNJBEVLQSNELDL-UHFFFAOYSA-N 0.000 description 1
- 150000003235 pyrrolidines Chemical class 0.000 description 1
- ZVJHJDDKYZXRJI-UHFFFAOYSA-N pyrroline Natural products C1CC=NC1 ZVJHJDDKYZXRJI-UHFFFAOYSA-N 0.000 description 1
- 150000003236 pyrrolines Chemical class 0.000 description 1
- WVIICGIFSIBFOG-UHFFFAOYSA-N pyrylium Chemical compound C1=CC=[O+]C=C1 WVIICGIFSIBFOG-UHFFFAOYSA-N 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 125000002294 quinazolinyl group Chemical class N1=C(N=CC2=CC=CC=C12)* 0.000 description 1
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 description 1
- LISFMEBWQUVKPJ-UHFFFAOYSA-N quinolin-2-ol Chemical compound C1=CC=C2NC(=O)C=CC2=C1 LISFMEBWQUVKPJ-UHFFFAOYSA-N 0.000 description 1
- QZZYYBQGTSGDPP-UHFFFAOYSA-N quinoline-3-carbonitrile Chemical compound C1=CC=CC2=CC(C#N)=CN=C21 QZZYYBQGTSGDPP-UHFFFAOYSA-N 0.000 description 1
- 150000003248 quinolines Chemical class 0.000 description 1
- 229930185107 quinolinone Natural products 0.000 description 1
- 150000003252 quinoxalines Chemical class 0.000 description 1
- 238000010526 radical polymerization reaction Methods 0.000 description 1
- 238000007348 radical reaction Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000035484 reaction time Effects 0.000 description 1
- 229960004889 salicylic acid Drugs 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- BHRZNVHARXXAHW-UHFFFAOYSA-N sec-butylamine Chemical compound CCC(C)N BHRZNVHARXXAHW-UHFFFAOYSA-N 0.000 description 1
- 150000005619 secondary aliphatic amines Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910000029 sodium carbonate Inorganic materials 0.000 description 1
- 239000001488 sodium phosphate Substances 0.000 description 1
- 229910000162 sodium phosphate Inorganic materials 0.000 description 1
- NTHWMYGWWRZVTN-UHFFFAOYSA-N sodium silicate Chemical compound [Na+].[Na+].[O-][Si]([O-])=O NTHWMYGWWRZVTN-UHFFFAOYSA-N 0.000 description 1
- 229910052911 sodium silicate Inorganic materials 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- PMJMHCXAGMRGBZ-UHFFFAOYSA-N subphthalocyanine Chemical compound N1C(N=C2C3=CC=CC=C3C(=N3)N2)=C(C=CC=C2)C2=C1N=C1C2=CC=CC=C2C3=N1 PMJMHCXAGMRGBZ-UHFFFAOYSA-N 0.000 description 1
- 229960002317 succinimide Drugs 0.000 description 1
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 1
- 150000003460 sulfonic acids Chemical class 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- 229940066771 systemic antihistamines piperazine derivative Drugs 0.000 description 1
- 239000011975 tartaric acid Substances 0.000 description 1
- 235000002906 tartaric acid Nutrition 0.000 description 1
- 125000004213 tert-butoxy group Chemical group [H]C([H])([H])C(O*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 125000006633 tert-butoxycarbonylamino group Chemical group 0.000 description 1
- UXAWXZDXVOYLII-YUMQZZPRSA-N tert-butyl (1s,4s)-2,5-diazabicyclo[2.2.1]heptane-2-carboxylate Chemical compound C1[C@@H]2N(C(=O)OC(C)(C)C)C[C@H]1NC2 UXAWXZDXVOYLII-YUMQZZPRSA-N 0.000 description 1
- BFFLLBPMZCIGRM-MRVPVSSYSA-N tert-butyl (2r)-2-(hydroxymethyl)pyrrolidine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCC[C@@H]1CO BFFLLBPMZCIGRM-MRVPVSSYSA-N 0.000 description 1
- YDBPZCVWPFMBDH-MRVPVSSYSA-N tert-butyl (2r)-2-formylpyrrolidine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCC[C@@H]1C=O YDBPZCVWPFMBDH-MRVPVSSYSA-N 0.000 description 1
- BFFLLBPMZCIGRM-QMMMGPOBSA-N tert-butyl (2s)-2-(hydroxymethyl)pyrrolidine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCC[C@H]1CO BFFLLBPMZCIGRM-QMMMGPOBSA-N 0.000 description 1
- KPVRHJIGNMLCHG-VIFPVBQESA-N tert-butyl (2s)-2-[methoxy(methyl)carbamoyl]pyrrolidine-1-carboxylate Chemical compound CON(C)C(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C KPVRHJIGNMLCHG-VIFPVBQESA-N 0.000 description 1
- HJJLVATZPPJBNG-JTQLQIEISA-N tert-butyl (2s)-2-tert-butyl-3-methyl-4-oxoimidazolidine-1-carboxylate Chemical compound CN1[C@H](C(C)(C)C)N(C(=O)OC(C)(C)C)CC1=O HJJLVATZPPJBNG-JTQLQIEISA-N 0.000 description 1
- PNJXYVJNOCLJLJ-MRVPVSSYSA-N tert-butyl (4s)-4-formyl-2,2-dimethyl-1,3-oxazolidine-3-carboxylate Chemical compound CC(C)(C)OC(=O)N1[C@H](C=O)COC1(C)C PNJXYVJNOCLJLJ-MRVPVSSYSA-N 0.000 description 1
- HJJLVATZPPJBNG-UHFFFAOYSA-N tert-butyl 2-tert-butyl-3-methyl-4-oxoimidazolidine-1-carboxylate Chemical compound CN1C(C(C)(C)C)N(C(=O)OC(C)(C)C)CC1=O HJJLVATZPPJBNG-UHFFFAOYSA-N 0.000 description 1
- GVHSMUYEAWMYLM-UHFFFAOYSA-N tert-butyl 4-benzylpiperazine-1-carboxylate Chemical compound C1CN(C(=O)OC(C)(C)C)CCN1CC1=CC=CC=C1 GVHSMUYEAWMYLM-UHFFFAOYSA-N 0.000 description 1
- ROUYFJUVMYHXFJ-UHFFFAOYSA-N tert-butyl 4-oxopiperidine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCC(=O)CC1 ROUYFJUVMYHXFJ-UHFFFAOYSA-N 0.000 description 1
- MTBKGWHHOBJMHJ-UHFFFAOYSA-N tert-butyl imidazole-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1C=CN=C1 MTBKGWHHOBJMHJ-UHFFFAOYSA-N 0.000 description 1
- OWAMQHJPVUGZSB-UHFFFAOYSA-N tert-butyl n-(2,3-dihydroxypropyl)carbamate Chemical compound CC(C)(C)OC(=O)NCC(O)CO OWAMQHJPVUGZSB-UHFFFAOYSA-N 0.000 description 1
- AOCSUUGBCMTKJH-UHFFFAOYSA-N tert-butyl n-(2-aminoethyl)carbamate Chemical compound CC(C)(C)OC(=O)NCCN AOCSUUGBCMTKJH-UHFFFAOYSA-N 0.000 description 1
- GPTXCAZYUMDUMN-UHFFFAOYSA-N tert-butyl n-(2-hydroxyethyl)carbamate Chemical compound CC(C)(C)OC(=O)NCCO GPTXCAZYUMDUMN-UHFFFAOYSA-N 0.000 description 1
- IMWMFJMYEKHYKG-UHFFFAOYSA-N tert-butyl n-(2-oxooxolan-3-yl)carbamate Chemical compound CC(C)(C)OC(=O)NC1CCOC1=O IMWMFJMYEKHYKG-UHFFFAOYSA-N 0.000 description 1
- DUTLOVSBVBGNDM-UHFFFAOYSA-N tert-butyl n-(4-methylphenyl)sulfonylcarbamate Chemical compound CC1=CC=C(S(=O)(=O)NC(=O)OC(C)(C)C)C=C1 DUTLOVSBVBGNDM-UHFFFAOYSA-N 0.000 description 1
- RVZPDKXEHIRFPM-UHFFFAOYSA-N tert-butyl n-(6-aminohexyl)carbamate Chemical compound CC(C)(C)OC(=O)NCCCCCCN RVZPDKXEHIRFPM-UHFFFAOYSA-N 0.000 description 1
- OOQRRYDVICNJGC-QMMMGPOBSA-N tert-butyl n-[(2r)-1-hydroxy-3-methylbutan-2-yl]carbamate Chemical compound CC(C)[C@H](CO)NC(=O)OC(C)(C)C OOQRRYDVICNJGC-QMMMGPOBSA-N 0.000 description 1
- PDAFIZPRSXHMCO-ZCFIWIBFSA-N tert-butyl n-[(2r)-1-hydroxypropan-2-yl]carbamate Chemical compound OC[C@@H](C)NC(=O)OC(C)(C)C PDAFIZPRSXHMCO-ZCFIWIBFSA-N 0.000 description 1
- BOJQBBXPSVGTQT-LBPRGKRZSA-N tert-butyl n-[(2s)-1-cyclohexyl-3-hydroxypropan-2-yl]carbamate Chemical compound CC(C)(C)OC(=O)N[C@H](CO)CC1CCCCC1 BOJQBBXPSVGTQT-LBPRGKRZSA-N 0.000 description 1
- OOQRRYDVICNJGC-MRVPVSSYSA-N tert-butyl n-[(2s)-1-hydroxy-3-methylbutan-2-yl]carbamate Chemical compound CC(C)[C@@H](CO)NC(=O)OC(C)(C)C OOQRRYDVICNJGC-MRVPVSSYSA-N 0.000 description 1
- LDKDMDVMMCXTMO-LBPRGKRZSA-N tert-butyl n-[(2s)-1-hydroxy-3-phenylpropan-2-yl]carbamate Chemical compound CC(C)(C)OC(=O)N[C@H](CO)CC1=CC=CC=C1 LDKDMDVMMCXTMO-LBPRGKRZSA-N 0.000 description 1
- CQWFSMWAGKKQJB-VARJHODCSA-N tert-butyl n-[(3r,4r,5s,6r)-2,4,5-trihydroxy-6-(hydroxymethyl)oxan-3-yl]carbamate Chemical compound CC(C)(C)OC(=O)N[C@H]1C(O)O[C@H](CO)[C@@H](O)[C@@H]1O CQWFSMWAGKKQJB-VARJHODCSA-N 0.000 description 1
- IGSFMHYSWZUENI-UHFFFAOYSA-N tert-butyl n-[amino(pyrazol-1-yl)methylidene]carbamate Chemical compound CC(C)(C)OC(=O)N=C(N)N1C=CC=N1 IGSFMHYSWZUENI-UHFFFAOYSA-N 0.000 description 1
- DKACXUFSLUYRFU-UHFFFAOYSA-N tert-butyl n-aminocarbamate Chemical compound CC(C)(C)OC(=O)NN DKACXUFSLUYRFU-UHFFFAOYSA-N 0.000 description 1
- MZNBNPWFHGWAGH-UHFFFAOYSA-N tert-butyl n-phenylmethoxycarbamate Chemical compound CC(C)(C)OC(=O)NOCC1=CC=CC=C1 MZNBNPWFHGWAGH-UHFFFAOYSA-N 0.000 description 1
- JAELLLITIZHOGQ-UHFFFAOYSA-N tert-butyl propanoate Chemical compound CCC(=O)OC(C)(C)C JAELLLITIZHOGQ-UHFFFAOYSA-N 0.000 description 1
- IZPYBIJFRFWRPR-UHFFFAOYSA-N tert-butyl pyrrole-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1C=CC=C1 IZPYBIJFRFWRPR-UHFFFAOYSA-N 0.000 description 1
- LPQZERIRKRYGGM-UHFFFAOYSA-N tert-butyl pyrrolidine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCCC1 LPQZERIRKRYGGM-UHFFFAOYSA-N 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- 235000019281 tert-butylhydroquinone Nutrition 0.000 description 1
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 150000005622 tetraalkylammonium hydroxides Chemical class 0.000 description 1
- IFLREYGFSNHWGE-UHFFFAOYSA-N tetracene Chemical compound C1=CC=CC2=CC3=CC4=CC=CC=C4C=C3C=C21 IFLREYGFSNHWGE-UHFFFAOYSA-N 0.000 description 1
- 229940073455 tetraethylammonium hydroxide Drugs 0.000 description 1
- LRGJRHZIDJQFCL-UHFFFAOYSA-M tetraethylazanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CC LRGJRHZIDJQFCL-UHFFFAOYSA-M 0.000 description 1
- FAGUFWYHJQFNRV-UHFFFAOYSA-N tetraethylenepentamine Chemical compound NCCNCCNCCNCCN FAGUFWYHJQFNRV-UHFFFAOYSA-N 0.000 description 1
- VHLDQAOFSQCOFS-UHFFFAOYSA-M tetrakis(2-hydroxyethyl)azanium;hydroxide Chemical compound [OH-].OCC[N+](CCO)(CCO)CCO VHLDQAOFSQCOFS-UHFFFAOYSA-M 0.000 description 1
- KXEMQEGRZWUKJS-QIYNHLNTSA-N tetraphylline Chemical compound COC1=CC=C2C(CCN3C[C@@H]4[C@H](C)OC=C([C@H]4C[C@H]33)C(=O)OC)=C3NC2=C1 KXEMQEGRZWUKJS-QIYNHLNTSA-N 0.000 description 1
- LPSKDVINWQNWFE-UHFFFAOYSA-M tetrapropylazanium;hydroxide Chemical compound [OH-].CCC[N+](CCC)(CCC)CCC LPSKDVINWQNWFE-UHFFFAOYSA-M 0.000 description 1
- 150000007979 thiazole derivatives Chemical class 0.000 description 1
- OKYDCMQQLGECPI-UHFFFAOYSA-N thiopyrylium Chemical compound C1=CC=[S+]C=C1 OKYDCMQQLGECPI-UHFFFAOYSA-N 0.000 description 1
- 229960002898 threonine Drugs 0.000 description 1
- DQFBYFPFKXHELB-VAWYXSNFSA-N trans-chalcone Chemical compound C=1C=CC=CC=1C(=O)\C=C\C1=CC=CC=C1 DQFBYFPFKXHELB-VAWYXSNFSA-N 0.000 description 1
- IMFACGCPASFAPR-UHFFFAOYSA-N tributylamine Chemical compound CCCCN(CCCC)CCCC IMFACGCPASFAPR-UHFFFAOYSA-N 0.000 description 1
- ABVVEAHYODGCLZ-UHFFFAOYSA-N tridecan-1-amine Chemical compound CCCCCCCCCCCCCN ABVVEAHYODGCLZ-UHFFFAOYSA-N 0.000 description 1
- SWZDQOUHBYYPJD-UHFFFAOYSA-N tridodecylamine Chemical compound CCCCCCCCCCCCN(CCCCCCCCCCCC)CCCCCCCCCCCC SWZDQOUHBYYPJD-UHFFFAOYSA-N 0.000 description 1
- GRNRCQKEBXQLAA-UHFFFAOYSA-M triethyl(2-hydroxyethyl)azanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CCO GRNRCQKEBXQLAA-UHFFFAOYSA-M 0.000 description 1
- JAJRRCSBKZOLPA-UHFFFAOYSA-M triethyl(methyl)azanium;hydroxide Chemical compound [OH-].CC[N+](C)(CC)CC JAJRRCSBKZOLPA-UHFFFAOYSA-M 0.000 description 1
- ZIBGPFATKBEMQZ-UHFFFAOYSA-N triethylene glycol Chemical compound OCCOCCOCCO ZIBGPFATKBEMQZ-UHFFFAOYSA-N 0.000 description 1
- RKBCYCFRFCNLTO-UHFFFAOYSA-N triisopropylamine Chemical compound CC(C)N(C(C)C)C(C)C RKBCYCFRFCNLTO-UHFFFAOYSA-N 0.000 description 1
- QXJQHYBHAIHNGG-UHFFFAOYSA-N trimethylolethane Chemical compound OCC(C)(CO)CO QXJQHYBHAIHNGG-UHFFFAOYSA-N 0.000 description 1
- ODHXBMXNKOYIBV-UHFFFAOYSA-N triphenylamine Chemical compound C1=CC=CC=C1N(C=1C=CC=CC=1)C1=CC=CC=C1 ODHXBMXNKOYIBV-UHFFFAOYSA-N 0.000 description 1
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 description 1
- YFTHZRPMJXBUME-UHFFFAOYSA-N tripropylamine Chemical compound CCCN(CCC)CCC YFTHZRPMJXBUME-UHFFFAOYSA-N 0.000 description 1
- FVJLCPJDDAGIJE-UHFFFAOYSA-N tris(2-hydroxyethyl)-methylazanium Chemical compound OCC[N+](C)(CCO)CCO FVJLCPJDDAGIJE-UHFFFAOYSA-N 0.000 description 1
- RYFMWSXOAZQYPI-UHFFFAOYSA-K trisodium phosphate Chemical compound [Na+].[Na+].[Na+].[O-]P([O-])([O-])=O RYFMWSXOAZQYPI-UHFFFAOYSA-K 0.000 description 1
- SXPSZIHEWFTLEQ-UHFFFAOYSA-N tröger's base Chemical compound C12=CC=C(C)C=C2CN2C3=CC=C(C)C=C3CN1C2 SXPSZIHEWFTLEQ-UHFFFAOYSA-N 0.000 description 1
- 229910021642 ultra pure water Inorganic materials 0.000 description 1
- 239000012498 ultrapure water Substances 0.000 description 1
- 150000003672 ureas Chemical class 0.000 description 1
- NQPDZGIKBAWPEJ-UHFFFAOYSA-N valeric acid Chemical compound CCCCC(O)=O NQPDZGIKBAWPEJ-UHFFFAOYSA-N 0.000 description 1
- 229920002554 vinyl polymer Polymers 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/039—Macromolecular compounds which are photodegradable, e.g. positive electron resists
- G03F7/0392—Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
- G03F7/0397—Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07C—ACYCLIC OR CARBOCYCLIC COMPOUNDS
- C07C303/00—Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides
- C07C303/32—Preparation of esters or amides of sulfuric acids; Preparation of sulfonic acids or of their esters, halides, anhydrides or amides of salts of sulfonic acids
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07C—ACYCLIC OR CARBOCYCLIC COMPOUNDS
- C07C309/00—Sulfonic acids; Halides, esters, or anhydrides thereof
- C07C309/01—Sulfonic acids
- C07C309/02—Sulfonic acids having sulfo groups bound to acyclic carbon atoms
- C07C309/03—Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
- C07C309/07—Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07C—ACYCLIC OR CARBOCYCLIC COMPOUNDS
- C07C381/00—Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
- C07C381/12—Sulfonium compounds
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08F—MACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
- C08F220/00—Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
- C08F220/02—Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
- C08F220/10—Esters
- C08F220/12—Esters of monohydric alcohols or phenols
- C08F220/14—Methyl esters, e.g. methyl (meth)acrylate
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08F—MACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
- C08F220/00—Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
- C08F220/02—Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
- C08F220/10—Esters
- C08F220/22—Esters containing halogen
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08F—MACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
- C08F220/00—Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
- C08F220/02—Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
- C08F220/10—Esters
- C08F220/26—Esters containing oxygen in addition to the carboxy oxygen
- C08F220/28—Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
- C08F220/283—Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/20—Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/26—Phase shift masks [PSM]; PSM blanks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0045—Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/038—Macromolecular compounds which are rendered insoluble or differentially wettable
- G03F7/0382—Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2041—Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
- G03F7/322—Aqueous alkaline compositions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/38—Treatment before imagewise removal, e.g. prebaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70216—Mask projection systems
- G03F7/70308—Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/7055—Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
- G03F7/70575—Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08F—MACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
- C08F220/00—Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
- C08F220/02—Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
- C08F220/10—Esters
- C08F220/38—Esters containing sulfur
- C08F220/382—Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
Definitions
- the present invention relates to a photosensitized chemically amplified resist material, a pattern formation method using the same, a semiconductor device, a lithography mask, and a nanoimprint template.
- EUV (extreme ultraviolet light) lithography has attracted attention as one of the elemental technologies for manufacturing next-generation semiconductor devices.
- EUV lithography is a pattern formation technique that uses EUV light having a wavelength of 13.5 nm as an exposure light source. According to EUV lithography, it has been demonstrated that an extremely fine pattern (for example, 20 nm or less) can be formed in the exposure step of the semiconductor device manufacturing process.
- the present invention provides both high sensitivity and excellent lithography characteristics in a pattern formation technique using ionizing radiation such as EUV, electron beam and ion beam, or non-ionizing radiation such as KrF excimer laser and ArF excimer laser.
- An object of the present invention is to provide a resist material that can be achieved to a certain level.
- Another object of the present invention is to provide a pattern formation method, a semiconductor device, a lithography mask and a nanoimprint template using the resist material.
- the photosensitized chemically amplified resist material according to the present invention irradiates a predetermined portion of a resist material film formed using a photosensitive resin composition with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less.
- the pattern-exposed part is the developer.
- Soluble or includes a base component comprising insoluble, and component for generating (2) a photosensitizer and an acid upon exposure.
- the component (2) contains any two components in the following components (a) to (c) which are the following components (a), or contains all of the following components (a) to (c): To do.
- the photosensitized chemically amplified resist material can achieve both high sensitivity and excellent lithography characteristics at a sufficiently high level. Therefore, a fine pattern can be formed even when a light source with low output is used in the pattern exposure step.
- a pattern forming technique using ionizing radiation such as EUV light, electron beam and ion beam, or non-ionizing radiation having a wavelength of 400 nm or less such as KrF excimer laser and ArF excimer laser
- high sensitivity and A chemically amplified resist material capable of achieving both excellent lithographic properties at a high level is provided.
- the pattern formation method using the said resist material, a semiconductor device, the mask for lithography, and the template for nanoimprint are provided.
- FIG. 1 It is a conceptual diagram which shows the light absorbency of the pattern exposure part of a resist material film, and the light absorbency of an unexposed part as a graph.
- A is a conceptual diagram which shows the acid concentration distribution in the conventional chemically amplified resist material as a graph
- (b) is the photosensitization in the photosensitized chemically amplified resist material which concerns on one Embodiment of this invention.
- (A) is a conceptual diagram which shows the acid concentration distribution in the conventional chemically amplified resist material as a graph
- (b) is the photosensitization in the photosensitized chemically amplified resist material which concerns on one Embodiment of this invention.
- FIG. 3 is a graph showing sensitivity curves of resist materials obtained in Examples 1 and 2 and Comparative Example 1.
- 6 is a graph showing the relationship between sensitivity and storage time in the resist material obtained in Comparative Example 2.
- 6 is an SEM image of a resist pattern obtained in Comparative Example 2.
- 4 is an SEM image of a resist pattern obtained in Example 3.
- 6 is a graph showing the relationship between the sensitivity and the exposure amount for batch exposure in the resist materials obtained in Examples 9 to 12 and Comparative Examples 6 to 10.
- (A) is the SEM image of the resist pattern obtained in Comparative Example 6
- (b) is the SEM image of the resist pattern obtained in Example 9 with the exposure amount of collective exposure being 7.2 J / cm 2. .
- the photosensitized chemically amplified resist material according to this embodiment is used as a photosensitive resin composition in a two-step exposure lithography process.
- the two-stage exposure lithography process includes a pattern exposure process, a batch exposure process, a baking process, and a development process.
- the pattern exposure step is performed by irradiating a predetermined portion of a resist material film formed using the photosensitive resin composition with a first radiation.
- the collective exposure step is performed by irradiating the resist material film after the pattern exposure step with a second radiation having a lower energy than the wavelength of the first radiation. In the collective exposure, a region that is larger than the pattern size of pattern exposure is exposed with a more uniform exposure amount.
- the baking process is performed by heating the resist material film after the batch exposure process.
- the development step is performed by forming a resist pattern by bringing the resist material film after the baking step into contact with a developer.
- ionizing radiation is radiation having sufficient energy to ionize atoms or molecules.
- non-ionizing radiation is radiation that does not have sufficient energy to ionize atoms or molecules.
- Specific examples of the ionizing radiation include gamma rays, X-rays, alpha rays, heavy particle rays, proton rays, beta rays, ion beams, electron beams, and extreme ultraviolet rays.
- the ionizing radiation used for pattern exposure is preferably an electron beam, extreme ultraviolet rays, or an ion beam, and more preferably an electron beam or extreme ultraviolet rays.
- non-ionizing radiation examples include far ultraviolet rays, near ultraviolet rays, visible rays, infrared rays, microwaves, and low frequencies.
- the non-ionizing radiation used for pattern exposure is preferably far ultraviolet rays (wavelength 190 to 300 nm).
- the non-ionizing radiation used for the batch exposure is preferably near ultraviolet (wavelength: 200 to 450 nm).
- the first radiation used for pattern exposure is ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, and the wavelength of the non-ionizing radiation is preferably 250 nm or less, more preferably 200 nm or less. Moreover, it is preferable that it is 150 nm or more, and it is more preferable that it is 190 nm or more.
- the second radiation used for batch exposure has a wavelength longer than the wavelength of the non-ionizing radiation in the first radiation.
- the second radiation is non-ionizing radiation having a wavelength exceeding 200 nm, and preferably non-ionizing radiation having a wavelength exceeding 250 nm.
- the photosensitized chemically amplified resist material according to this embodiment may be a positive resist material or a negative resist material, and is appropriately selected by selecting a base component, a developer, and the like described later. .
- a resist material in which a pattern exposed portion is melted by exposure and a pattern unexposed portion (light-shielding portion) remains is called a positive resist material. This is called negative resist.
- the photosensitized chemically amplified resist material according to this embodiment includes (1) a base component and (2) a component that generates a photosensitizer and an acid upon exposure. Including.
- the (1) base component may be an organic compound or an inorganic compound.
- the organic compound may be a high molecular compound or a low molecular compound. It is desirable that the base component does not excessively absorb the first radiation in pattern exposure and can realize the formation of a resist pattern having a shape with sufficiently high verticality. Further, it is desirable that the base component has a low absorption of the second radiation in the batch exposure and is unlikely to induce an unnecessary sensitization reaction in the unexposed portion during the batch exposure.
- the polymer compound has a weight average molecular weight of 1,000 to 200,000, preferably 2,000 to 50,000, more preferably 2,000 to 20,000.
- the pattern exposure part is soluble or insoluble in the developer.
- the polymer compound examples include a polymer compound having a polar group (for example, an acidic functional group) and a polymer compound in which the polar group is protected with an acid labile group.
- the polymer compound having a polar group is soluble in an alkali developer, but becomes insoluble in an alkali developer by reacting with a crosslinking agent described later in the baking step. In this case, in the developing process, the resist material film in the pattern unexposed portion can be removed with an alkaline developer. Therefore, when the resist material film formed using the polymer compound is developed with an alkaline developer, the resist material functions as a negative resist material.
- the polymer compound in which the polar group is protected with an acid labile group is soluble in an organic developer, but is insoluble or hardly soluble in an alkali developer.
- the acid labile group is removed (deprotection) in the baking step, the polarity is given, and the polymer is soluble in an alkali developer. Becomes insoluble.
- the resist material film in the pattern unexposed portion can be removed by the organic developer, and the pattern exposed portion can be removed by the alkali developer. Accordingly, when a resist material film formed using the above polymer compound is developed with an organic developer, the resist material functions as a negative resist material. On the other hand, when a resist material film formed using the above polymer compound is developed with an alkaline developer, the resist material functions as a positive resist material.
- the polymer compound examples include phenol resin, (meth) acrylic resin, polyvinyl acetal resin, polyurethane resin, polyamide resin, epoxy resin, styrene resin, and polyester resin.
- the polymer compound is preferably a phenol resin, a (meth) acrylic resin, or a styrene resin, and more preferably a (meth) acrylic resin.
- the (meth) acrylic resin is preferably a polymer compound containing at least one of structural units represented by the following formulas (VII) and (VIII).
- R 11 represents a hydrogen atom; a fluorine atom; a methyl group; a trifluoromethyl group; a hydroxyl group, an ether bond, an ester bond, or a lactone ring, which has 1 to 20 carbon atoms.
- R 12 represents a divalent group represented by a methylene group, a phenylene group, a naphthylene group, or —C ( ⁇ O) —O—R 12 ′ —.
- R 12 ′ is a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms which may have any of a hydroxyl group, an ether bond, an ester bond and a lactone ring; a phenylene group; or naphthylene Indicates a group.
- R 13 and R 14 each independently represent a hydrogen atom; a hydroxyl group; a cyano group; a carbonyl group; a carboxyl group; an alkyl group having 1 to 35 carbon atoms; and an ether bond, an ester bond, a sulfonate bond, a carbonate bond,
- a protecting group (acid labile group) having at least one structure selected from the group consisting of a lactone ring, a sultone ring and two dehydrated carboxyl groups is shown.
- the phenol resin is preferably a polymer compound containing a structural unit represented by the following formula (XXV).
- R 15 represents a hydrogen atom; a hydroxyl group; a cyano group; a carbonyl group; a carboxyl group; an alkyl group having 1 to 35 carbon atoms; and an ether bond, an ester bond, a sulfonate bond, a carbonate bond, or a lactone
- a protecting group (acid labile group) having at least one structure selected from the group consisting of a ring, a sultone ring and two dehydrated carboxyl groups is shown.
- R 16 represents a hydrogen atom, an alkyl group having 1 to 35 carbon atoms, or the like.
- R 16 is preferably a methyl group, and preferably bonded to the meta position.
- the styrene-based resin is preferably a polyhydroxystyrene resin, and more preferably a polymer compound including a structural unit represented by the following formula (XXVI).
- R 17 is a hydrogen atom; an alkyl group having 1 to 35 carbon atoms; a hydroxyl group; a cyano group; a carbonyl group; a carboxyl group and an ether bond, an ester bond, a sulfonate ester bond, carbonate bond, a lactone
- a protecting group (acid labile group) having at least one structure selected from the group consisting of a ring, a sultone ring and two dehydrated carboxyl groups is shown.
- R 13 , R 14 , R 15 , and R 17 include, but are not limited to, the groups shown below.
- * is a bonding portion of R 13 , R 14 , R 15 , and R 17 with oxygen.
- the above structural units may be contained alone in one molecule, or may be contained in combination.
- the low molecular weight compound has a molecular weight of 300 to 3000, preferably 500 to 2000, and the pattern exposure part is soluble in the developer in the development process due to the acid-catalyzed reaction in the baking process after batch exposure (see FIG. 4). Or it becomes insoluble.
- low molecular weight compound examples include star-shaped molecules such as torquesen derivatives, calixarene derivatives, Noria and dendrimers.
- the inorganic compound include metal oxides such as cobalt oxide, hafnium oxide, and zirconium oxide, and organometallic compounds such as complexes.
- the metal oxide may be in the form of particles or nanoparticles having a nano-order particle size.
- the metal oxide particles may be coordinated with a carboxylic acid or the like.
- (1) An example of a change in solubility when an inorganic compound is used as the base component is shown below. For example, (1) when metal oxide nanoparticles coordinated with a carboxylic acid are used as the base component, the anion of the acid generated by exposure is coordinated to the metal oxide instead of the carboxylate anion, and the metal oxide By increasing the interaction between product particles, gelation occurs, and dissolution in the development process can be suppressed.
- the above component is a component that generates a photosensitizer and acid upon exposure (radiation irradiation).
- the component contains only the component (a) of the three components (a) acid-photosensitizer generator, (b) photosensitizer precursor, and (c) photoacid generator. Or any two components, or all of the components (a) to (c). That is, in the resist material, the component (2) is blended with the base component (1).
- the acid-photosensitizer generator is produced by irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less. And a photosensitizer that absorbs non-ionizing radiation having a wavelength exceeding 200 nm, preferably exceeding 250 nm.
- the non-ionizing radiation absorbed by the photosensitizer preferably has a longer wavelength than the wavelength absorbed by the acid-photosensitizer generating agent. It is preferable that the acid-photosensitizer generating agent has a sufficiently small absorption of non-ionizing radiation at the wavelength of batch exposure and does not directly generate an acid.
- the acid-photosensitizer generating agent examples include onium salt compounds, diazomethane compounds, and sulfonimide compounds. Moreover, as an onium salt compound, a sulfonium salt compound, a tetrahydrothiophenium salt compound, an iodonium salt compound, etc. are mentioned, for example.
- the acid-photosensitizer generating agent is preferably a sulfonium salt compound or an iodonium salt compound, more preferably an iodonium salt compound, from the viewpoint of a high reduction potential.
- the sulfonium salt compound is composed of a sulfonium cation and an acid anion.
- the sulfonium salt compound is preferably at least one compound selected from the group consisting of compounds represented by the following formulas (I) to (III).
- R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 are each independently a hydrogen atom; Group: naphthyl group; anthracenyl group; phenoxy group; naphthoxy group; anthracenoxy group; amino group; amide group; halogen atom; linear, branched or cyclic having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) A saturated or unsaturated hydrocarbon group (preferably an alkyl group); a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atom
- the hydrogen atom of the hydroxyl group is a phenyl group; a halogen atom; a linear, branched or cyclic saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms). Or an unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably An alkyl group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 , and R 4 are A single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O
- a ring structure is formed by bonding to each other via a bond including —, —NHCO—, —NHC ( ⁇ O) NH—, —CHR e —, —CR e 2 —, —NH— or —NR e —.
- R e represents a phenyl group; a phenoxy group; a halogen atom; a linear, branched, or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms).
- R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 are preferably each independently a phenyl group; a phenoxy group; A phenoxy group substituted with an alkyl group; or a phenyl group substituted with an alkoxy group having 1 to 5 carbon atoms or a hydroxyl group.
- X ⁇ represents an anion of an acid, preferably a strong acid, more preferably a super strong acid.
- the iodonium salt compound is composed of an iodonium cation and an acid anion.
- the iodonium salt compound is preferably at least one compound selected from the group consisting of compounds represented by the following formulas (IV) to (V).
- R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are each independently a hydrogen atom; a phenyl group; a naphthyl group; an anthracenyl group; a phenoxy group; Group: anthracenoxy group; amino group; amide group; halogen atom; linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms, preferably alkyl) Group); a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Linear, branched or cyclic saturated or unsaturated hydrocarbon groups (preferably alkyl groups), alkoxy groups having 1 to 5 carbon atoms, amino groups, amide
- the hydrogen atom of the hydroxyl group is a phenyl group; a halogen atom; a linear, branched or cyclic saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms). Or an unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably An alkyl group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH
- a ring structure may be formed through a bond containing —, —CHR f —, —CR f 2 —, —NH— or —NR f —.
- R f represents a phenyl group; a phenoxy group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably an alkyl group).
- R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are preferably each independently a phenyl group; a phenoxy group; an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or 1 to 5 carbon atoms.
- Y 2 ⁇ represents an anion of an acid, preferably a strong acid, more preferably a super strong acid.
- the groups represented by —C (—OH) R 5 R 6 and —C (—OH) R 5 ′ R 6 ′ are specifically the above formulas ( -C (—OH) R 1 R 2 , —C (—OH) R 1 ′ R 2 ′ , and —C (—OH) R 1 ′′ R 2 ′′ and the like exemplified in I) to (III)
- the same group as the group represented is mentioned.
- Examples of the acid anion of the sulfonium salt compound and the iodonium salt compound include a sulfonic acid anion, a carboxylic acid anion, a bis (alkylsulfonyl) amide anion, and a tris (alkylsulfonyl) methide anion.
- XX an acid anion represented by (XXI) or (XXII), and more preferably an anion of an acid represented by the following general formula (XX).
- R 18 to R 21 each independently represents an organic group.
- the organic group include an alkyl group, an aryl group, and a group in which a plurality of these groups are linked.
- the organic group is preferably an alkyl group substituted at the 1-position with a fluorine atom or a fluoroalkyl group, or a phenyl group substituted with a fluorine atom or a fluoroalkyl group.
- the organic group has a fluorine atom or a fluoroalkyl group, the acidity of the acid generated by exposure increases, and the sensitivity tends to be improved.
- the organic group preferably does not contain a fluorine atom as a substituent at the terminal.
- the acid anion preferably has at least one anion group selected from the group consisting of a sulfonate anion, a carboxylate anion, a sulfonylimide anion, a bis (alkylsulfonyl) imide anion, and a tris (alkylsulfonyl) methide anion.
- anion of the acid specifically, the general formula "R 22 -SO 3 -" (R 22 is substituted or linear also, branched or cyclic alkyl group, a halogenated An anion group represented by an alkyl group, an aryl group, or an alkenyl group.
- the linear or branched alkyl group as R 22 preferably has 1 to 10 carbon atoms.
- acid anions include methane sulfonate, n-propane sulfonate, n-butane sulfonate, n-octane sulfonate, 1-adamantane sulfonate, 2-norbornane sulfonate, and d-camphor-10.
- -Alkyl sulfonates such as sulfonates.
- the halogenated alkyl group as R 22 is one in which part or all of the hydrogen atoms in the alkyl group are substituted with halogen atoms, and the alkyl group is preferably an alkyl group having 1 to 10 carbon atoms, However, a linear or branched alkyl group is more preferable, and a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a tert-butyl group, a tert-pentyl group, or an isopentyl group is further preferable. preferable.
- halogen atom by which a hydrogen atom is substituted, a fluorine atom, a chlorine atom, an iodine atom, a bromine atom, etc. are mentioned.
- the halogenated alkyl group it is preferable that 50 to 100% of the total number of hydrogen atoms of the alkyl group (alkyl group before halogenation) is substituted with halogen atoms, and all of the hydrogen atoms are substituted with halogen atoms. More preferably.
- the halogenated alkyl group is preferably a fluorinated alkyl group.
- the fluorinated alkyl group preferably has 1 to 10 carbon atoms, more preferably 1 to 8 carbon atoms, and most preferably 1 to 4 carbon atoms. Further, the fluorination rate of the fluorinated alkyl group is preferably 10 to 100%, more preferably 50 to 100%. Particularly, when all the hydrogen atoms are substituted with fluorine atoms, the strength of the acid is increased. preferable. Specific examples of such a preferred fluorinated alkyl group include a trifluoromethyl group, a heptafluoro-n-propyl group, and a nonafluoro-n-butyl group.
- R 22 may have a substituent.
- the said substituent contains the bivalent coupling group containing an oxygen atom.
- the linking group include an oxygen atom (ether bond: —O—), an ester bond (—C ( ⁇ O) —O—), an amide bond (—C ( ⁇ O) —NH—), a carbonyl group ( Non-hydrocarbon-based oxygen atom-containing linking groups such as —C ( ⁇ O) —), sulfonyl groups (—SO 2 —) and carbonate bonds (—O—C ( ⁇ O) —O—) can be mentioned.
- acid anion examples include, but are not limited to, anions represented by the following formulae.
- the photosensitizer precursor is greater than 200 nm by irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less, preferably It is a photosensitizer that absorbs non-ionizing radiation having a wavelength exceeding 250 nm, and is different from the component (a). Moreover, it is preferable that the non-ionizing radiation which the said photosensitizer absorbs has a wavelength longer than the wavelength which the said photosensitizer precursor absorbs.
- the photosensitizer that converts the chemical structure of the photosensitizer precursor through a direct or indirect reaction in the pattern exposure step and assists acid generation in the batch exposure step.
- the photosensitizer that converts the chemical structure of the photosensitizer precursor through a direct or indirect reaction in the pattern exposure step and assists acid generation in the batch exposure step.
- the peak shift of the absorption wavelength is large, the contrast of absorption of non-ionizing radiation in the batch exposure process becomes larger.
- the photosensitizer precursor is non-ionizing radiation having a wavelength of more than 200 nm, preferably more than 250 nm, by irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less.
- the compound be a compound having a carbonyl group that absorbs (carbonyl compound). Examples of the carbonyl compound include aldehydes, ketones, carboxylic acids and carboxylic acid esters. Due to the above reaction, the shift of the peak of the absorption wavelength of radiation occurs only in the photosensitizer precursor of the pattern exposure part.
- the photosensitizer precursor is more preferably an alcohol compound represented by the following formula (VI), and may be a secondary alcohol compound.
- the alcohol compound does not mean only a compound having an alcoholic hydroxyl group, but includes a ketal compound, an acetal compound, an orthoester compound, etc., in which a hydrogen atom of the alcoholic hydroxyl group is substituted. May be.
- R 8 , R 9 and R 10 are each independently a hydrogen atom; a phenyl group; a naphthyl group; an anthracenyl group; an alkoxy group having 1 to 5 carbon atoms; an alkylthio group having 1 to 5 carbon atoms; Phenoxy group; naphthoxy group; anthracenoxy group; amino group; amide group; halogen atom; linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (Preferably alkyl group); linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), preferably 1
- the alcohol compound may be a thiol compound in which the alcoholic hydroxyl group (hydroxyl group) in formula (VI) is a thiol group.
- the hydrogen atom of the hydroxyl group or thiol group is a phenyl group; a halogen atom; a straight chain, branched chain or cyclic saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) or An unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 8 , R 9 and R 10 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH—, —CHR g —, —CR g 2 —, —NH—
- a ring structure may be formed through a bond containing —NR g —.
- R g is a phenyl group; a phenoxy group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably an alkyl group).
- R 8 , R 9 and R 10 are preferably each independently substituted with a hydrogen atom; a phenyl group; a phenoxy group; an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms. Or a phenyl group substituted with an alkoxy group having 1 to 5 carbon atoms or a hydroxyl group.
- the ketal compound or acetal compound in which the hydrogen atom of the hydroxyl group in formula (VI) is substituted is preferably a compound represented by the following formula (XXXVI). That is, the photosensitizer precursor may be a compound represented by the following formula (XXXVI).
- the photosensitizer precursor may be a compound represented by the following formula (XXXVI).
- the compound represented by the following formula (XXXVI) is an acetal compound.
- R 9 and R 10 are the same meanings as R 9 and R 10 in formula (VI).
- R 9 and R 10 may form a ring structure in the same manner as described above.
- R 23 and R 24 are each independently a phenyl group; a halogen atom; a linear, branched or cyclic saturated or 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) An unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- R 23 and R 24 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —
- a ring structure is formed through a bond containing C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH—, —CHR g —, —CR g 2 —, —NH— or —NR g —. You may do it.
- R g has the same meaning as R g in the formula (VI).
- the ketal compound or acetal compound may be a thioketal compound or a thioacetal compound in which the oxygen atom bonded to R 23 and / or R 24 in formula (XXXVI) is replaced with sulfur.
- a ketal compound and an acetal compound can be obtained by reacting a carbonyl compound with an alcohol.
- the above reaction can be referred to as a reaction for protecting a carbonyl group contributing to the photosensitization action, and R 23 and R 24 in the above formula (XXXVI) can be referred to as a protecting group for a carbonyl group.
- a reaction in which the photosensitizer precursor becomes a photosensitizer by radiation or the like can be referred to as a deprotection reaction. Examples of the reactivity of the protecting group (ease of deprotection reaction) are shown below. The reactivity of the protecting group increases as it goes to the right and decreases as it goes to the left.
- the reactivity of the deprotection reaction is high, and the deprotection reaction tends to proceed under an acid catalyst even at room temperature.
- the deprotection reaction proceeds at room temperature, it is possible to prevent image blurring, which is advantageous.
- the protecting group can be selected so as to increase the activation energy of the deprotection reaction (decrease the reactivity of the protecting group).
- a cyclic protecting group in which R 23 and R 24 in formula (XXXVI) are bonded to each other to form a ring structure is more preferable.
- examples of the ring structure include a 6-membered ring and a 5-membered ring. From the viewpoint of reducing reactivity, the ring structure is preferably a 5-membered ring.
- the resist material preferably contains a first scavenger described later, and it is desirable to bake the resist material film after pattern exposure and before batch exposure. By baking, unnecessary acid in the unexposed area is neutralized by the scavenger, and the contrast of the latent image can be improved. Further, the baking compensates for the decrease in the reactivity of the protecting group, and the roughness of the latent image of the acid in the resist material film can be reduced by the diffusion of the substance.
- the ketal type photosensitizer precursor may be a compound represented by the following formulas (XXVII) to (XXX).
- R 23 and R 24 are the same meanings as R 23 and R 24 in the formula (XXXVI).
- the hydrogen atom of the aromatic ring may be substituted with an alkoxy group having 1 to 5 carbon atoms or an alkyl group having 1 to 5 carbon atoms, and the aromatic ring is bonded to another aromatic ring Thus, a naphthalene ring or an anthracene ring may be formed.
- R 25 represents an alkyl group having 1 to 5 carbon atoms.
- the orthoester compound in which the hydrogen atom of the hydroxyl group in formula (VI) is substituted is preferably a compound represented by the following formula (XLVI). That is, the photosensitizer precursor may be a compound represented by the following formula (XLVI). Wherein (XLVI), R 9 has the same meaning as R 9 in the formula (VI).
- R 38 to R 40 each independently represent a phenyl group; a halogen atom; a linear, branched or cyclic saturated or saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) An unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- R 38 to R 40 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —
- a ring structure is formed through a bond containing C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH—, —CHR g —, —CR g 2 —, —NH— or —NR g —. You may do it.
- R g has the same meaning as R g in the formula (VI).
- the ortho ester compound is decomposed by a deprotection reaction in pattern exposure, and becomes, for example, a carboxylic acid ester or carboxylic acid containing a carbonyl group.
- the orthoester compound may be formed by, for example, converting the carboxyl group portion of the photosensitizer having a carboxyl group to OBO (for example, 4-methyl 2,6,7-trioxabicyclo [2.2.2] octane-1-yl).
- OBO ester compound represented by the following formula (XLVII) substituted (protected) with is preferable.
- the photosensitizer precursor whose carboxyl group is protected with OBO generates carboxylic acid by an acid catalyst generated during pattern exposure, shifts the absorption wavelength of radiation, and acts as a photosensitizer during batch exposure.
- carboxylic acid is generated from the photosensitizer precursor, the polarity of the resist is changed (for example, from nonpolar to polar) in the pattern exposure portion.
- the ortho ester compound also functions as a dissolution accelerator in the development process, and contributes to an improvement in resist contrast.
- the photosensitizer precursor contains an OBO ester compound, it is possible to simultaneously generate the photosensitizer and cause a polarity change reaction.
- R 41 and R 42 each independently represent a hydrogen atom; a phenyl group; a naphthyl group; an anthracenyl group; a phenoxy group; a naphthoxy group; an anthracenoxy group; an amino group;
- a linear, branched or cyclic saturated or unsaturated hydrocarbon group preferably an alkyl group
- an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group or an amino group
- linear, branched or cyclic saturated or unsaturated carbonization having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms)
- R 41 and R 42 are each independently preferably a hydrogen atom; a phenyl group; a phenoxy group; a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms. Or a phenyl group substituted with an alkoxy group having 1 to 5 carbon atoms or a hydroxyl group;
- the compound etc. which are represented by a following formula are mentioned.
- the following is based on an alcohol compound in which the hydrogen atom of the alcoholic hydroxyl group is not substituted, and is changed to a ketone compound by a reaction during pattern exposure.
- the following compounds are examples of ketal compounds or acetal compounds in which the carbonyl group of the photosensitizer is protected. It becomes a photosensitizer containing a ketone in the pattern exposure part by the catalytic action of the acid generated by pattern exposure.
- the following compounds are examples of orthoester compounds having carbon atoms substituted with three alkoxy groups.
- the ortho ester compound is deprotected by an acid catalyst generated during pattern exposure to produce an ester having a carbonyl group (methyl carboxylate in the following example).
- the following chemical formula protects the carboxyl group part of a photosensitizer having a carboxyl group with OBO (eg, 4-methyl-2,6,7-trioxabicyclo [2.2.2] octane-1-yl). It is an example of the OBO ester compound which is a derivative.
- OBO eg, 4-methyl-2,6,7-trioxabicyclo [2.2.2] octane-1-yl
- the OBO ester compound generates the following carboxylic acid by an acid catalyst generated during pattern exposure.
- the photosensitizer generated from the component (2) can absorb the radiation of the batch exposure and decompose the photoacid generator (PAG).
- PAG photoacid generator
- the photosensitizer satisfies the conditions for causing the electron transfer. That is, it is desirable that the photosensitizer has a sufficiently low oxidation potential and a PAG reduction potential is sufficiently high in order to cause electron transfer at the wavelength of the radiation for batch exposure. As a result, the free energy of the photosensitized electron transfer reaction becomes negative and the reaction is likely to occur.
- the photosensitizer When triplet sensitization reaction from photosensitizer to PAG is used, the photosensitizer can be excited to a singlet excited state at the wavelength of the radiation of batch exposure, and the triplet excited state of the photosensitizer Is preferably higher than the energy level of the triplet excited state of the PAG.
- the photosensitizer generated from the component (2) that is, the component (a) and the component (b) upon exposure include chalcone and derivatives thereof, 1,2-diketone and derivatives thereof, benzoin and derivatives thereof.
- the photosensitizer generated from the component (2) upon exposure preferably contains a carbonyl compound.
- the carbonyl compound preferably contains ketone, aldehyde, carboxylic acid, ester, amide, enone, carboxylic acid chloride, carboxylic acid anhydride and the like as a carbonyl group.
- the carbonyl compound is preferably a compound that absorbs radiation having a long wavelength of 250 nm or more in order to increase the resist contrast by sufficiently separating the wavelength of radiation at the time of batch exposure from the wavelength of radiation at the time of pattern exposure. Examples of the carbonyl compound include benzophenone derivatives, xanthone derivatives, thioxanthone derivatives, coumarin derivatives, and acridone derivatives.
- the carbonyl compound may be a naphthalene derivative or an anthracene derivative or an acridone derivative.
- the aromatic ring hydrogen is preferably substituted with an electron donating group.
- the electron transfer efficiency by the sensitization reaction during batch exposure is improved, and the sensitivity of the resist tends to be improved.
- the difference between the absorption wavelength of the photosensitizer precursor and the absorption wavelength of the photosensitizer radiation can be increased, and the photosensitizer can be excited more selectively during batch exposure.
- the contrast of the latent image of the acid in the resist material to improve.
- the electron donating group include a hydroxyl group, a methoxy group, an alkoxy group, an amino group, an alkylamino group, and an alkyl group.
- benzophenone and derivatives thereof include the following compounds.
- thioxanthone and derivatives thereof include the following compounds.
- xanthone and derivatives thereof include the following compounds.
- acridone and derivatives thereof include the following compounds.
- Examples of coumarin and its derivatives include the following compounds.
- the photosensitizer may contain the following compound.
- the photosensitizer include acetophenone, 2,2-dimethoxy-2-phenylacetophenone, diethoxyacetophenone, 1-hydroxycyclohexyl phenyl ketone, 1,2-hydroxy-2-methyl-1- Phenylpropan-1-one, ⁇ -hydroxycyclohexyl phenyl ketone, 2-hydroxy-2-methyl-1-phenylpropanone, 2-hydroxy-2-methyl-1- (4-isopropylphenyl) propanone, 2-hydroxy- 2-methyl-1- (4-dodecylphenyl) propanone, 2-hydroxy-2-methyl-1-[(2-hydroxyethoxy) phenyl] propanone, benzophenone, 2-methylbenzophenone, 3-methylbenzophenone, 4-methyl Benzophenone, 4-methoxybe Zophenone, 2-chlorobenzophenone, 4-chlorobenzophenone, 4-bromobenzophenone, 2-carboxybenzophenone, 2-ethoxycarbonylbenzophenone
- Examples of (b) a photosensitizer precursor that generates the photosensitizer and the photosensitizer are given below.
- the photoacid generator generates an acid upon irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less. This is different from a).
- the photoacid generator is preferably a salt of a cation and an anion. It is desirable that the photoacid generator has a sufficiently small absorption of radiation at the wavelength of batch exposure and that no acid is directly generated with respect to the radiation at the time of batch exposure. Thereby, in the resist material film, at the time of collective exposure, an acid can be generated by the photosensitization reaction only at the pattern exposure portion.
- the photoacid generator examples include onium salt compounds, diazomethane compounds, and sulfonimide compounds. Moreover, as an onium salt compound, a sulfonium salt compound, a tetrahydrothiophenium salt compound, an iodonium salt compound, etc. are mentioned, for example.
- the photoacid generator has a sufficiently high reduction potential for electron transfer, and can receive electrons from the photosensitizer excited by batch exposure and decompose to generate an acid. In addition, when the energy order of the triplet excited state of the photosensitizer is higher than the energy order of the triplet excited state of the photoacid generator, a triplet sensitization reaction from the photosensitizer to the photoacid generator is likely to occur.
- the photoacid generator preferably contains at least one selected from the group consisting of sulfonium salt compounds, iodonium salt compounds, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate photoacid generators, It is more preferable that it is at least one compound selected from the group consisting of a sulfonium salt compound and an iodonium salt compound, and it is even more preferable that an iodonium salt compound is included.
- sulfonium salt compound examples include triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoro-n-butanesulfonate, triphenylsulfonium perfluoro-n-octanesulfonate, triphenylsulfonium 2-bicyclo [2.2.
- tetrahydrothiophenium salt compound examples include 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium trifluoromethanesulfonate, 1- (4-n-butoxynaphthalen-1-yl) Tetrahydrothiophenium nonafluoro-n-butanesulfonate, 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium perfluoro-n-octanesulfonate, 1- (4-n-butoxynaphthalene-1- Yl) tetrahydrothiophenium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, 1- (6-n-butoxynaphthalen-2-yl) tetrahydro Thiophenium trifluoromethanesulfonate, 1- (6-n-butoxy Phthalen-2-yl)
- iodonium salt compound examples include diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoro-n-butanesulfonate, diphenyliodonium perfluoro-n-octanesulfonate, and diphenyliodonium 2-bicyclo [2.2.1] hept.
- sulfonimide compound examples include N- (trifluoromethanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (nonafluoro-n-butanesulfonyl).
- diazomethane compound examples include bis (n-propylsulfonyl) diazomethane, bis (isopropylsulfonyl) diazomethane, bis (n-butylsulfonyl) diazomethane, bis (tert-butylsulfonium) diazomethane, and bis (cyclopentylsulfonyl) diazomethane.
- the resist material comprises (3) a first scavenger, (4) a second scavenger, (5) a crosslinking agent, (6) an additive, And (7) a solvent may be included as appropriate.
- the first scavenger captures acids and cations and functions as a quencher.
- the acid generated in the resist material can be neutralized, and the chemical contrast of the latent image of the acid between the pattern exposed portion and the pattern unexposed portion can be increased.
- the component (a) has a ketal compound group or an acetal compound group, or the component (b) contains a ketal compound or an acetal compound, a photosensitizer is generated by an acid-catalyzed reaction at room temperature.
- the resist material contains the first scavenger
- an acid that acts as a catalyst for the photosensitizer generation reaction can be captured to increase the contrast of the photosensitizer generated from the acetal compound or the like.
- the pattern exposure unit is selectively used during batch exposure by capturing the cation intermediate. The effect of improving the chemical contrast of the latent image of the acid can be obtained by performing acid multiplication alone.
- the first capture agent can be divided into a capture agent having photoreactivity and a capture agent having no photoreactivity.
- the first scavenger is a scavenger that does not have photoreactivity
- a basic compound is preferable.
- the basic compound include hydroxide compounds, carboxylate compounds, amine compounds, imine compounds, and amide compounds, and more specifically, primary to tertiary aliphatic amines, aromatic amines, complex compounds, and the like.
- a nitrogen-containing compound having a cyclic amine, a carboxyl group, a nitrogen-containing compound having a sulfonyl group, a nitrogen-containing compound having a hydroxyl group, a nitrogen-containing compound having a hydroxyphenyl group, an alcoholic nitrogen-containing compound, a nitrogen-containing compound having a carbamate group examples include amide compounds and imide compounds.
- the basic compound is preferably a nitrogen-containing compound having a carbamate group.
- the basic compound includes a Troger's base; a hindered amine such as diazabicycloundecene (DBU) or diazabicyclononene (DBM); or tetrabutylammonium hydroxide (TBAH) or tetrabutylammonium lactate.
- a hindered amine such as diazabicycloundecene (DBU) or diazabicyclononene (DBM); or tetrabutylammonium hydroxide (TBAH) or tetrabutylammonium lactate.
- DBU diazabicycloundecene
- DBM diazabicyclononene
- TBAH tetrabutylammonium hydroxide
- lactate tetrabutylammonium lactate
- the primary aliphatic amine include ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert-amylamine. , Cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, and tetraethylenepentamine.
- secondary aliphatic amines include dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, dicyclopentylamine.
- tertiary aliphatic amine examples include trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, tripentylamine, tripentylamine, and tripentylamine.
- Cyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, tricetylamine, N, N, N ′, N′-tetramethylmethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, N, N, N ′, N′-tetramethyltetraethylenepentamine and the like can be mentioned.
- aromatic amine and heterocyclic amine include aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3-methylaniline, 4 -Methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5-dinitroaniline, and Aniline derivatives such as N, N-dimethyltoluidine; diphenyl (p-tolyl) amine; methyldiphenylamine; triphenylamine; phenylenediamine; naphthylamine; diaminonaphthalene; pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dimethyl Pyrrole, 2,5-di Pyrrole derivatives such as tilpyrrole and N-methylpyrrole and
- nitrogen-containing compound having a carboxy group examples include aminobenzoic acid; indolecarboxylic acid; nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine, and phenylalanine.
- Amino acid derivatives such as threonine, lysine, 3-aminopyrazine-2-carboxylic acid, and methoxyalanine.
- nitrogen-containing compound having a sulfonyl group examples include 3-pyridinesulfonic acid and pyridinium p-toluenesulfonate.
- nitrogen-containing compounds having a hydroxyl group nitrogen-containing compounds having a hydroxyphenyl group
- alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, and 3-indolemethanol.
- nitrogen-containing compound having a carbamate group examples include N- (tert-butoxycarbonyl) -L-alanine, N- (tert-butoxycarbonyl) -L-alanine methyl ester, (S)-( ⁇ ) -2- (tert-butoxycarbonylamino) -3-cyclohexyl-1-propanol, (R)-(+)-2- (tert-butoxycarbonylamino) -3-methyl-1-butanol, (R)-( +)-2- (tert-butoxycarbonylamino) -3-phenylpropanol, (S)-( ⁇ )-2- (tert-butoxycarbonylamino) -3-phenylpropanol, (R)-(+)-2 -(Tert-butoxycarbonylamino) -3-phenyl-1-propanol, (S)-(-)-2- (tert-butoxy (Rubonylamino) -3
- amide compounds include formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, and 1-cyclohexylpyrrolidone. Can be mentioned.
- imide compound examples include phthalimide, succinimide, and maleimide.
- the photoreactive scavenger may be one that decomposes by a photoreaction and loses its function as a scavenger (photodegradable scavenger), and is generated by a photoreaction to obtain a function as a scavenger. It may be a thing (light generation type scavenger).
- the resist material contains a first scavenger that loses its function as a scavenger by being decomposed by a photoreaction
- the first scavenger is decomposed at the pattern exposed portion after the pattern exposure step and is not decomposed at the pattern unexposed portion. Therefore, the action of capturing the acid and cation is reduced in the pattern exposed area, and the action of capturing the acid and cation is maintained in the unexposed pattern area. For this reason, the chemical contrast of the latent image of the acid can be improved.
- the first scavenger is decomposed by a photoreaction and loses its function as a scavenger, it is preferably a photodegradable cation sulfonate or carboxylate.
- the sulfonic acid in the sulfonate is preferably a weak acid, more preferably has a hydrocarbon group having 1 to 20 carbon atoms, and the hydrocarbon group does not contain fluorine.
- sulfonic acid include sulfonic acids such as alkyl sulfonic acid, benzene sulfonic acid, and 10-camphor sulfonic acid.
- the carboxylic acid in the carboxylate is preferably a weak acid, and more preferably a carboxylic acid having 1 to 20 carbon atoms.
- carboxylic acid examples include carboxylic acids such as formic acid, acetic acid, propionic acid, tartaric acid, succinic acid, cyclohexyl carboxylic acid, benzoic acid, and salicylic acid.
- carboxylic acids such as formic acid, acetic acid, propionic acid, tartaric acid, succinic acid, cyclohexyl carboxylic acid, benzoic acid, and salicylic acid.
- the photodegradable cation in the carboxylate of the photodegradable cation is preferably an onium cation, and specific examples include an iodonium cation and a sulfonium cation.
- the resist material contains a first capturing agent that is generated by a photoreaction and obtains a function as a capturing agent
- the first capturing agent functions as a capturing agent in the pattern exposure part during the pattern exposure process, and the pattern is not Does not occur in the exposed area. Therefore, the action of capturing the acid and cation occurs in the pattern exposed area, and the action of capturing the acid and cation does not occur in the unexposed pattern area.
- the photogenerating scavenger may obtain a function as a scavenger during batch exposure. In this case, the exposure amount at the time of batch exposure is larger than the exposure amount at the time of pattern exposure, and the generation amount of the trapping agent is relatively large at the time of batch exposure.
- the photodegradable cation carboxylate is a compound that generates a base by batch exposure (photobase generator).
- a nitrogen-containing organic compound that generates an amino group is more preferable.
- the carboxylate is preferably a carboxylic acid ester.
- a photogenerated scavenger that generates a base during batch exposure is considered to satisfy both of these requirements.
- the generation of the base at the time of batch exposure may occur by directly absorbing the light of batch exposure, or may be caused by photosensitization. If it occurs by photosensitization, it will also act as an acid or cation scavenger in the photosensitization reaction during batch exposure, and the photosensitization reaction can be suppressed where the pattern exposure is small.
- the contrast of the acid latent image can be further improved.
- Examples of the compound (photobase generator) that generates a base upon collective exposure include JP-A-4-151156, JP-A-4-162040, JP-A-5-197148, JP-A-5-5995, JP-A-6-194434, Examples thereof include compounds described in JP-A-8-146608, JP-A-10-83079, and European Patent No. 622682.
- Examples of the photobase generator include a compound containing a carbamate group (urethane bond), a compound containing an acyloxyimino group, an ionic compound (anion-cation complex), a compound containing a carbamoyloxyimino group, and the like.
- a compound containing a group (urethane bond), a compound containing an acyloxyimino group, or an ionic compound (anion-cation complex) is preferred.
- the photobase generator is preferably a compound having a ring structure in the molecule. Examples of the ring structure include benzene, naphthalene, anthracene, xanthone, thioxanthone, anthraquinone, and fluorene.
- the photobase generator is more preferably a compound represented by the following general formula (XLV) from the viewpoint of photodegradability.
- XLV general formula
- the product having —N (R 26 ) (R 27 ) preferably has a high boiling point.
- R 26 and R 27 are each independently a monovalent hydrocarbon group that may contain a hydrogen atom or a hetero atom, and R 26 and R 27 are bonded to each other to form a ring together with the adjacent nitrogen atom.
- a structure may be formed;
- R 28 is a monovalent photofunctional group.
- photobase generators include 2-nitrobenzyl carbamate, 2,5-dinitrobenzyl cyclohexyl carbamate, N-cyclohexyl-4-methylphenylsulfonamide, and 1,1-dimethyl-2-phenylethyl-N. -Isopropyl carbamate.
- the first scavenger may be one that is generated by a thermal reaction to obtain a function as a scavenger (heat-generating scavenger).
- heat-generating scavenger heat-generating scavenger
- the baking temperature after the batch exposure is preferably higher than the heating temperature after applying the resist material before the pattern exposure and the baking temperature after the pattern exposure and before the batch exposure.
- the resist material contains a first scavenger that is generated by a thermal reaction or a photoreaction at the wavelength of batch exposure to obtain a function as a scavenger
- the acid scavenging force of the first scavenger in the pattern unexposed area and the chemical contrast of the acid latent image can be improved.
- the second scavenger traps free radicals and functions as a free radical scavenger.
- the generation of the photosensitizer via the reaction by radicals in the resist material can be suppressed to a smaller amount at a small pattern exposure amount, and the latent image of the photosensitizer can be reduced.
- the effect of further increasing the contrast is obtained.
- an effect is obtained that the contrast of the latent image of the acid between the pattern exposed portion and the unexposed portion after the batch exposure is increased.
- the second scavenger include phenol-based compounds, quinone-based compounds, and amine-based compounds, such as 2,6-di-t-butyl-p-cresol, 2,2-methylene- Bis (4-methyl-6-tert-butylphenol), 1,1,3-tris (2-methyl-4-hydroxy-5-tert-butylphenyl) butane, 1,3,5-trimethyl-2,4 6-tris (3,5-di-t-butyl-4-hydroxybenzyl) benzene, 1,3,5-tris (3 ′, 5′-di-t-butyl-4-hydroxybenzyl) -S-triazine 2,2,6,6-tetramethyl-1-piperidinyloxy (TEMPO), 2-tert-butyl-4-hydroxyanisole, such as -2,4,6- (1H, 3H, 5H) trione , 3-tert-butyl-4 Hydroxyanisole, propyl ester 3,4,5-trihydroxybenzoic acid, 2- (1,
- Cross-linking agent is used to cause a cross-linking reaction between base components by an acid catalytic reaction during the baking process after batch exposure, increase the molecular weight of the base component, and insolubilize in the developer. Yes, different from the above (1) base component. Since the resist material contains a cross-linking agent, the polar part becomes nonpolar at the same time as the cross-linking and becomes insoluble in the developer, so that a negative resist material can be provided.
- the crosslinking agent is a compound having two or more functional groups.
- the functional group is preferably at least one selected from the group consisting of a (meth) acryloyl group, a hydroxymethyl group, an alkoxymethyl group, an epoxy group, and a vinyl ether group.
- the compound having two or more (meth) acryloyl groups include trimethylolpropane tri (meth) acrylate, ditrimethylolpropane tetra (meth) acrylate, pentaerythritol tri (meth) acrylate, pentaerythritol tetra ( (Meth) acrylate, dipentaerythritol penta (meth) acrylate, dipentaerythritol hexa (meth) acrylate, glycerin tri (meth) acrylate, tris (2-hydroxyethyl) isocyanurate tri (meth) acrylate, ethylene glycol di (meth) Acrylate, 1,3-butanediol di (meth) acrylate, 1,4-butanediol di (meth) acrylate, 1,6-hexanediol di (meth) acrylate, neopentyl Examples include
- the compound having two or more alkoxymethyl groups or hydroxymethyl groups include a hydroxymethyl group-containing phenol compound, an alkoxymethyl group-containing phenol compound, an alkoxymethylated melamine, and an alkoxymethylated urea compound.
- the alkoxy group preferably has 1 to 5 carbon atoms.
- the compound having two or more alkoxymethyl groups or hydroxymethyl groups is preferably a methoxymethyl group-containing phenol compound, an ethoxymethyl group-containing phenol compound, a methoxymethylated melamine or a methoxymethylated urea compound, Or it is more preferable that it is a methoxymethylated urea compound.
- methoxymethylated melamine include compounds represented by the following formulas (IX) to (X).
- Examples of the methylated urea resin include compounds represented by the following formulas (XI) to (XIII).
- the compound having two or more epoxy groups include novolac type epoxy resins, bisphenol type epoxy resins, alicyclic epoxy resins, and aliphatic epoxy resins.
- the compound having two or more vinyl ether groups include bis (4- (vinyloxymethyl) cyclohexylmethyl) glutarate, tri (ethylene glycol) divinyl ether, adipic acid divinyl ester, diethylene glycol divinyl ether, 1, 2,4-tris (4-vinyloxybutyl) trimellitate, 1,3,5-tris (4-vinyloxybutyl) trimellitate, bis (4- (vinyloxy) butyl) terephthalate, bis (4- (vinyloxy) butyl) Isophthalate, ethylene glycol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, tetraethylene glycol divinyl ether, neopentyl glycol divinyl ether, tri Chi trivinyl ether, trimethylol ethane trivinyl ether, hexanediol divinyl ether,
- additives include surfactants, antioxidants, dissolution inhibitors, plasticizers, stabilizers, colorants, antihalation agents, and dyes.
- Known materials can be selected for the surfactant, antioxidant, dissolution inhibitor, plasticizer, stabilizer, colorant, antihalation agent, and dye.
- an ionic or nonionic fluorine-based surfactant and / or a silicon-based surfactant can be used as the surfactant.
- the antioxidant include phenol-based antioxidants, antioxidants composed of organic acid derivatives, sulfur-containing antioxidants, phosphorus-based antioxidants, amine-based antioxidants, and amine-aldehyde condensates. And an antioxidant comprising an amine-ketone condensate.
- the solvent is for dissolving the composition of the resist material and facilitating the formation of the resist material film by a coating machine using a spin coating method or the like.
- the compounds included in the component (b) are excluded from the solvent.
- Specific examples of the solvent include ketones such as cyclohexanone and methyl-2-amyl ketone; 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2 Alcohols such as propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; and propylene glycol monomethyl ether acetate, propylene Glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, buty
- the resist material is a photosensitive resin composition containing the above components.
- the blending ratio of each component may be appropriately set depending on the use of the resist material, the use conditions, and the like.
- the blending amount of the component (a) is preferably 0.005 to 50 parts by mass, more preferably 0.1 to 30 parts by mass with respect to 100 parts by mass of the component (1). When this amount is 0.005 parts by mass or more, sufficient sensitivity is easily obtained, and when it is 50 parts by mass or less, compatibility with the resist is improved and a resist material film is easily formed.
- the blending amount of the component (b) is preferably 0.005 to 50 parts by mass, more preferably 0.1 to 30 parts by mass with respect to 100 parts by mass of the component (1). When this amount is 0.005 parts by mass or more, sufficient sensitivity is easily obtained, and when it is 50 parts by mass or less, a rectangular resist pattern is easily obtained.
- the blending amount of the component (c) is preferably 0.01 to 50 parts by mass, more preferably 0.1 to 30 parts by mass with respect to 100 parts by mass of the component (1).
- this amount is 0.01 parts by mass or more, sufficient sensitivity is easily obtained, and when it is 50 parts by mass or less, a rectangular resist pattern is easily obtained.
- the amount of (3) the first scavenger is preferably 0.001 to 20 parts by mass, more preferably 0.01 to 10 parts by mass with respect to 100 parts by mass of the component. There exists a tendency which can suppress the excessive fall of a sensitivity as this quantity is 20 mass parts or less. By making this amount 0.001 part by mass or more, there is a tendency that the above-described effect is easily obtained by blending the first scavenger.
- the molar ratio is preferably 1.5 or more from the viewpoint of sensitivity and resolution, and is preferably 300 or less from the viewpoint of suppressing changes in resist pattern dimension over time until post-exposure heat treatment.
- the photoacid generator / first scavenger (molar ratio) is more preferably from 5.0 to 200.
- the blending amount of the second scavenger is preferably 10 parts by mass or less, more preferably 0.0005 to 5 parts by mass with respect to 100 parts by mass of the component.
- the amount is 10 parts by mass or less, the production of the photosensitizer tends to be suppressed, and the increase in sensitivity due to the photosensitizer during batch exposure tends to be easily obtained.
- this amount 0.0005 parts by mass or more, the above-mentioned effect due to the blending of the second scavenger tends not to be obtained.
- the blending amount of (5) cross-linking agent is preferably 40 parts by mass or less, more preferably 0.1 to 25 parts by mass.
- the amount is 40 parts by mass or less, there is a tendency that the solubility of the resist material is increased and the contrast of the image can be suppressed from decreasing.
- this amount 0.1 mass part or more there is a tendency that the above-mentioned effect by blending a crosslinking agent is easily obtained.
- the amount of (6) additive is preferably 30 parts by mass or less, more preferably 0.1 to 10 parts by mass.
- the amount is 30 parts by mass or less, the characteristics of the resist material are hardly deteriorated.
- this amount 0.1 mass part or more, there is a tendency that an excellent process window of the resist material can be obtained.
- the amount of the solvent is preferably 200 to 10000 parts by mass, more preferably 300 to 5000 parts by mass.
- the amount is 10000 parts by mass or less, the characteristics of the resist material are hardly deteriorated.
- the amount is 200 parts by mass or more, a resist material film can be easily formed.
- the pattern-exposed portion becomes soluble or insoluble in the developer after the baking step (1 ′ ) Contains base component.
- the (1 ′) base component may be an organic compound or an inorganic compound.
- the organic compound may be a high molecular compound or a low molecular compound.
- the base component (1 ′) is represented by the above (d) among the three groups (d) acid-photosensitizer generating group, (e) precursor group, and (f) photoacid generating group. It has only groups, or any two groups, or all the groups shown in the following (d) to (f). That is, in the present embodiment, the base component is an organic compound or an inorganic compound bound with groups represented by the following (d) to (f).
- the base component may have groups represented by the following (d) to (f) in one molecule (or one particle), or may each have a plurality of molecules (or particles).
- the polymer compound in the base component (1 ′) has a weight average molecular weight of 3000 to 200000, preferably 5000 to 30000, and is developed by an acid-catalyzed reaction in a baking process after batch exposure (see FIG. 4).
- the pattern exposure part is soluble or insoluble in the developer.
- the low molecular weight compound in the component (1 ′) has a molecular weight of 500 to 3000, preferably 1000 to 3000, and is subjected to pattern exposure in the development process by an acid-catalyzed reaction in the baking process after batch exposure (see FIG. 4).
- the part becomes soluble or insoluble in the developer.
- Examples of the organic compound and the inorganic compound in the component (1 ′) are the same as those in the component (1).
- (1 ') the base component will be described in detail by taking a polymer compound as an example.
- the polymer compound in the component (1 ′) is a group represented by the above-mentioned (d) to (f), for example, R 11 to R 13 in the formula (VII) of the polymer compound in the component (1).
- the low molecular compound in the component (1 ′) can have groups represented by the above (d) to (f), for example, in the side chain of the polymer compound.
- the acid-photosensitizer generating group is produced by irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less.
- the non-ionizing radiation absorbed by the photosensitizer preferably has a longer wavelength than the wavelength absorbed by the acid-photosensitizer generating group.
- Examples of the acid-photosensitizer generating group include an onium salt compound group, a diazomethane compound group, and a sulfonimide compound group.
- Examples of the onium salt compound group include a sulfonium salt compound group, an iodonium salt compound group, and a tetrahydrothiophenium salt compound.
- the acid-photosensitizer generating group is preferably a sulfonium salt compound group or an iodonium salt compound group, and more preferably an iodonium salt compound group, from the viewpoint of a high reduction potential.
- the acid-photosensitizer generating group is preferably an anion bound type in which an anion and a (1 ′) base component are bonded. When the acid-photosensitizer generating group is an anion bound type, the generated acid tends to be prevented from diffusing into the unexposed area.
- the sulfonium salt compound group consists of a sulfonium cation and an acid anion.
- the sulfonium salt compound group is preferably at least one group selected from the group consisting of groups represented by the following formulas (XIV) to (XVII).
- the groups represented by the following formulas (XIV) to (XVII) are cation bound types in which a cation and a base component (1 ') are bonded.
- R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 are each independently a hydrogen atom; Group: naphthyl group; anthracenyl group; phenoxy group; naphthoxy group; anthracenoxy group; amino group; amide group; halogen atom; linear, branched or cyclic having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) A saturated or unsaturated hydrocarbon group (preferably an alkyl group); a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to
- the hydrogen atom of the hydroxyl group is a phenyl group; a halogen atom; a linear, branched or cyclic saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms). Or an unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably An alkyl group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 , and R 4 are A single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O
- a ring structure is formed by bonding to each other via a bond including —, —NHCO—, —NHC ( ⁇ O) NH—, —CHR e —, —CR e 2 —, —NH— or —NR e —.
- R e represents a phenyl group; a phenoxy group; a halogen atom; a linear, branched, or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms).
- R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 are preferably each independently a phenyl group; a phenoxy group; A phenoxy group substituted with an alkoxy group, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; or a phenyl group substituted with an alkoxy group having 1 to 5 carbon atoms or a hydroxyl group.
- X ⁇ represents an anion of an acid, and the acid is preferably a strong acid, more preferably a super strong acid.
- R 2 ′ , R 2 ′′ and R 4 are each independently a phenyl group; a naphthyl group; an anthracenyl group Phenoxy group; naphthoxy group; anthracenoxy group; linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); carbon A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; linear, branched or 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) A cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group), an alkoxy group having 1 to 5 carbon atom
- the sulfonium salt compound group is preferably at least one group selected from the group consisting of groups represented by the following formulas (XXXI) to (XXIII).
- the groups represented by the following formulas (XXXI) to (XXXIII) are anion bound types in which an anion and a (1 ′) base component are bonded. Since the anion of the acid is bonded to the (1 ′) base component even after exposure, diffusion of the acid after exposure tends to be suppressed, and blurring of the image tends to be reduced.
- R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 each independently represents a hydrogen atom; a phenyl group Naphthyl group; anthracenyl group; phenoxy group; naphthoxy group; anthracenoxy group; amino group; amide group; halogen atom; linear, branched or cyclic having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) A saturated or unsaturated hydrocarbon group (preferably an alkyl group); a phenoxy group substituted by an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 (preferably 1 to 5 carbon atoms), an
- the hydrogen atom of the hydroxyl group is a phenyl group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably Is an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), preferably 1 to It may be substituted with an alkoxy group of 5 or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 are With a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O— , —NHCO—, —NHC ( ⁇ O) NH—, —CHR e —, —CR e 2 —, —NH— or —NR e — to form a ring structure. May be.
- R e represents a phenyl group; a phenoxy group; a halogen atom; a linear, branched, or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms).
- R 1 , R 2 , R 1 ′ , R 2 ′ , R 1 ′′ , R 2 ′′ , R 3 and R 4 are preferably each independently a phenyl group; a phenoxy group; A phenoxy group substituted with an alkoxy group, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; or a phenyl group substituted with an alkoxy group having 1 to 5 carbon atoms or a hydroxyl group.
- X 1 - represents an anion group of an acid, and the acid is preferably a strong acid, and more preferably a super strong acid.
- * represents a binding moiety in the base component (1 ′).
- the iodonium salt compound group consists of an iodonium cation and an acid anion.
- the iodonium salt compound group is preferably at least one group selected from the group consisting of groups represented by the following formulas (XVIII) to (XIX).
- the groups represented by the following formulas (XVIII) to (XIX) are cation bound types in which a cation and a base component (1 ') are bonded.
- R 5 , R 6 and R 5 ′ are each independently a hydrogen atom; a phenyl group; a naphthyl group; an anthracenyl group; a phenoxy group; a naphthoxy group; an anthracenoxy group; Amide group; halogen atom; linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms); preferably 1 to 5 carbon atoms A phenoxy group substituted with an alkoxy group, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; a linear or branched chain having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Substituted with a cyclic or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group), an alkoxy group having 1 to
- the hydrogen atom of the hydroxyl group is a phenyl group; a halogen atom; a linear, branched or cyclic saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms). Or an unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably An alkyl group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH
- a ring structure may be formed through a bond containing —, —CHR f —, —CR f 2 —, —NH— or —NR f —.
- R f represents a phenyl group; a phenoxy group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably an alkyl group).
- R 5 , R 6 , and R 5 ′ are preferably each independently substituted with a phenyl group; a phenoxy group; an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms.
- Y - is an acid, preferably a strong acid, more preferably an anion of a superacid.
- * represents a binding moiety with (1 ′) base component.
- R 6 'and R 7 are each independently a phenyl group; a naphthyl group; anthracenyl group; a phenoxy group; a naphthoxy group; anthracenoxy group; 1 to 30 carbon atoms (preferably having from 1 to 5 carbon atoms) linear, branched A linear or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group); an alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms, or a phenoxy group substituted with a hydroxyl group; Substituted with a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably an alkyl group), an alkoxy group having 1 to 5 carbon atoms, or a hydroxyl group A phenyl group substituted with an alkoxy group having 1 to 5 carbon atoms, an alkyl group having 1 to 5 carbon atoms
- the iodonium salt compound group is preferably at least one group selected from the group consisting of groups represented by the following formulas (XXXIV) to (XXXV).
- the groups represented by the following formulas (XXXIV) to (XXXV) are anion bound types in which an anion and (1 ′) a base component are bonded. Since the anion of the acid is bonded to the (1 ′) base component even after exposure, diffusion of the acid after exposure tends to be suppressed, and blurring of the image tends to be reduced.
- R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are each independently a hydrogen atom; a phenyl group; a naphthyl group; an anthracenyl group; a phenoxy group; Anthracenoxy group; amino group; amide group; halogen atom; linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms, preferably alkyl group) ); A phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms; 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) A linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group), an alkoxy group having 1 to 5 carbon atoms
- the hydrogen atom of the hydroxyl group is a phenyl group; a halogen atom; a linear, branched or cyclic saturated or 1-30 carbon atoms (preferably 1-5 carbon atoms) An unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH
- a ring structure may be formed through a bond containing —, —CHR f —, —CR f 2 —, —NH— or —NR f —.
- R f represents a phenyl group; a phenoxy group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably an alkyl group).
- R 5 , R 6 , R 5 ′ , R 6 ′ , and R 7 are preferably each independently a phenyl group; a phenoxy group; an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or 1 to 5 carbon atoms.
- Y - is an acid, preferably a strong acid, more preferably an anion group of superacid.
- * represents a binding portion with the base component (1 ′).
- * represents a binding moiety in the base component (1 ′).
- Examples of the super strong acid anions of the sulfonium salt compound group and the iodonium salt compound group include the anions exemplified in the sulfonium salt compound and the iodonium salt compound.
- the anion group of the acid of the sulfonium salt compound group and the iodonium salt compound group is a group that can function as an anion of the acid.
- Examples of the anion group of the acid include a sulfonate anion group, a carboxylic acid anion group, a bis (alkylsulfonyl) amide anion group, and a tris (alkylsulfonyl) methide anion group.
- the following general formula (XXXVII) An anionic group of an acid represented by (XXXVIII) or (XXXIX), more preferably an anionic group of an acid represented by the following general formula (XXXVII).
- R 34 to R 35 each independently represent a divalent organic group
- R 36 to R 37 represent a monovalent organic group.
- * (1 ') represents a binding portion with the base component.
- the divalent organic group include an alkylene group, an arylene group, and a group in which a plurality of these are connected.
- the monovalent organic group include an alkyl group, an aryl group, and a group in which a plurality of these groups are linked.
- the monovalent organic group is preferably an alkyl group substituted at the 1-position with a fluorine atom or a fluoroalkyl group, or a phenyl group substituted with a fluorine atom or a fluoroalkyl group.
- the divalent organic group is preferably an alkylene group substituted at the 1-position (on the anion side) with a fluorine atom or a fluoroalkyl group, or a phenylene group substituted with a fluorine atom or a fluoroalkyl group.
- the organic group has a fluorine atom or a fluoroalkyl group, the acidity of the acid generated by exposure increases, and the sensitivity tends to be improved.
- the monovalent organic group preferably does not contain a fluorine atom as a substituent at the end.
- the atom bonded to the (1 ′) base component is preferably not bonded to the fluorine atom.
- (1 ') component polymer compound which has an anion bound type sulfonium salt compound group
- (1 ') component polymer compound which has an anion bound type sulfonium salt compound group
- the sulfonium salt compound group is decomposed, the anion is bonded to the polymer compound, and the cation is decomposed to generate an acid.
- the precursor group has a wavelength greater than 200 nm, preferably greater than 250 nm, upon irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less.
- This is a group having the function of a photosensitizer that absorbs non-ionizing radiation, and is different from the group shown in (d) above.
- the structure of the precursor group is converted by a direct or indirect reaction, and a group having a photosensitizer function that assists acid generation in the batch exposure step; Become.
- the group having the photosensitizer function is fixed to the polymer compound, so that diffusion from the pattern exposure portion is suppressed and batch exposure is performed. There is an effect that the contrast of the latent image of the acid between the subsequent pattern exposed portion and the unexposed portion becomes larger.
- the precursor group absorbs ionizing radiation or non-ionizing radiation having a wavelength greater than 200 nm, preferably greater than 250 nm, upon irradiation with non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less.
- It is preferably a carbonyl compound group (a group obtained by removing a hydrogen atom from a carbonyl compound).
- the carbonyl compound group is preferably bonded to the (1 ′) base component even after exposure. Since the carbonyl compound group is bonded to the (1 ′) base component even after exposure, diffusion of the photosensitizer after exposure tends to be suppressed and blurring of the image tends to be reduced.
- the precursor group is more preferably an alcohol compound group represented by the following formula (XXIV).
- R 8 and R 9 are each independently a hydrogen atom; a phenyl group; a naphthyl group; an anthracenyl group; an alkoxy group having 1 to 5 carbon atoms; an alkylthio group having 1 to 5 carbon atoms; a phenoxy group; A naphthoxy group; an anthracenoxy group; an amino group; an amide group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably Alkyl group); linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms), alkoxy having 1 to 5 carbon atoms An alkoxy group having 1 to 5 carbon atoms substituted with a group, amino
- R 10 ′ is a phenyl group; a naphthyl group; an anthracenyl group; a phenoxy group; a naphthoxy group; an anthracenoxy group; an amino group; a linear, branched or cyclic group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms).
- a saturated or unsaturated hydrocarbon group (preferably an alkyl group); a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms;
- a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, Amido group or phenyl group substituted by hydroxyl group; alkoxy group having 1 to 5 carbon atoms, alkyl group having 1 to 5 carbon atoms, amino group, amide group, or hydride
- the alcohol compound group may be a thiol compound group in which an alcoholic hydroxyl group (hydroxyl group) in formula (XXIV) is a thiol group.
- the hydrogen atom of the hydroxyl group or thiol group is a phenyl group; a halogen atom; a linear, branched, or cyclic saturated or 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Unsaturated hydrocarbon group (preferably alkyl group); linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Or may be substituted with an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- any two or more groups of R 8 , R 9 and R 10 ′ are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —.
- R g is a phenyl group; a phenoxy group; a halogen atom; a linear, branched or cyclic saturated or unsaturated hydrocarbon group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) (preferably an alkyl group).
- R 8 and R 9 are preferably each independently a hydrogen atom; a phenyl group; a phenoxy group; a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms.
- R 10 ′ is preferably a phenyl group; a phenoxy group; a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; or 5 is a divalent group obtained by removing one hydrogen atom from an alkoxy group or a phenyl group substituted with a hydroxyl group.
- * represents a binding moiety with (1 ′) base component.
- the ketal compound group or acetal compound group in which the hydrogen atom of the hydroxyl group in formula (XXIV) is substituted is preferably a compound group represented by the following formula (XL). That is, the precursor group may be a compound group represented by the following formula (XL).
- the compound represented by the following formula (XL) is an acetal compound group.
- R 9 and R 10 ' is the above formula (XXIV) R 9 and R 10' in which the same meaning as. R 9 and R 10 ′ may form a ring structure in the same manner as described above.
- R 23 and R 24 each independently represent a phenyl group; a halogen atom; a linear, branched or cyclic saturated or saturated group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) An unsaturated hydrocarbon group (preferably an alkyl group); or a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms) Group), an alkoxy group having 1 to 5 carbon atoms, or a phenyl group substituted with a hydroxyl group.
- R 23 and R 24 are each a single bond or a double bond, or —CH 2 —, —O—, —S—, —SO 2 —, —SO 2 NH—, —C ( ⁇ O) —, —
- a ring structure is formed through a bond containing C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH—, —CHR g —, —CR g 2 —, —NH— or —NR g —. You may do it.
- R g has the same meaning as R g in the formula (XXIV).
- the ketal compound group or acetal compound group may be a thioketal compound group or a thioacetal compound group in which the oxygen atom bonded to R 23 and / or R 24 in formula (XL) is replaced with sulfur.
- the ketal compound group and the acetal compound group bonded to the (1 ′) base component can be obtained by reacting the carbonyl compound group bonded to the (1 ′) base component with an alcohol, respectively.
- the above reaction can be referred to as a reaction for protecting a carbonyl group that contributes to the photosensitization action, and R 23 and R 24 in the above formula (XL) can be referred to as a protecting group for a carbonyl group.
- a reaction in which the precursor group becomes a group having a photosensitizer function by radiation or the like can be referred to as a deprotection reaction.
- the reactivity of the protecting group is as described above for the photosensitizer precursor.
- the precursor group may be a compound group represented by the following formulas (XLI) to (XLIV) or a derivative group thereof.
- R 23 and R 24 are the same meanings as R 23 and R 24 in the formula (XL).
- the hydrogen atom of the aromatic ring may be substituted with an alkoxy group having 1 to 5 carbon atoms or an alkyl group having 1 to 5 carbon atoms, and the aromatic ring is bonded to another aromatic ring Thus, a naphthalene ring or an anthracene ring may be formed.
- R 25 represents an alkyl group having 1 to 5 carbon atoms.
- * represents a binding portion with the base component (1 ′).
- R 25 and the (1 ′) base component may be bonded.
- the (1 ′) base component to which a compound group represented by the formulas (XLI) to (XLIV) or a derivative group thereof is bonded is used, the precursor group becomes a group having a photosensitizer function. The shift of the absorption wavelength of radiation is larger, and a more selective sensitization reaction can be caused in the pattern exposure part.
- the ortho ester compound group in which the hydrogen atom of the alcoholic hydroxyl group in the formula (XXIV) is substituted is preferably a compound group represented by the following formula (XLVIII). That is, the precursor group may be a compound group represented by the following formula (XLVIII). Wherein (XLVIII), R 38 ⁇ R 40 are each independently the same meaning as R 38 ⁇ R 40 in the formula (XXIV). Wherein (XLVIII), R 10 'is, R 10 in the formula (XXIV)' is synonymous with.
- R 38 ⁇ R 40 is a single bond or a double bond, or, -CH 2 -, - O - , - S -, - SO 2 -, - SO 2 NH-, —C ( ⁇ O) —, —C ( ⁇ O) O—, —NHCO—, —NHC ( ⁇ O) NH—, —CHR g —, —CR g 2 —, —NH— or —NR g —
- a ring structure may be formed through a bond containing the ring.
- R g has the same meaning as R g in the formula (VI).
- the ortho ester compound group is decomposed by a deprotection reaction in pattern exposure, and becomes, for example, a carboxylic acid ester group or a carboxylic acid group containing a carbonyl group.
- the orthoester compound group may be formed by converting the carboxyl group portion of the photosensitizer having a carboxyl group into OBO (for example, 4-methyl-2,6,7-trioxabicyclo [2.2.2] octan-1-yl). ) Is preferably an OBO ester compound group represented by the following formula (XLIX).
- the precursor group in which the carboxyl group is protected with OBO generates a carboxylic acid group by an acid catalyst generated during pattern exposure, shifts the absorption wavelength of radiation, and functions as a group having a photosensitizer function during batch exposure.
- Generation of a carboxylic acid group from the precursor group changes the polarity of the resist (for example, from nonpolar to polar) at the pattern exposure portion.
- the ortho ester compound group also functions as a dissolution accelerator in the development process, and contributes to an improvement in resist contrast.
- the precursor group includes an OBO ester compound group, it is possible to simultaneously generate a group having a photosensitizer function and a polarity change reaction.
- R 41 has the same meaning as R 41 in the formula (XLVII).
- R 42 ′ is a phenyl group; a naphthyl group; an anthracenyl group; a phenoxy group; a naphthoxy group; an anthracenoxy group; an amino group; a linear, branched or cyclic group having 1 to 30 carbon atoms (preferably 1 to 5 carbon atoms).
- a saturated or unsaturated hydrocarbon group (preferably an alkyl group); a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, an amino group, an amide group, or an alkyl group having 1 to 5 carbon atoms;
- a linear, branched or cyclic saturated or unsaturated hydrocarbon group (preferably an alkyl group) having 1 to 30 (preferably 1 to 5 carbon atoms), an alkoxy group having 1 to 5 carbon atoms, an amino group, Amido group or phenyl group substituted by hydroxyl group; alkoxy group having 1 to 5 carbon atoms, alkyl group having 1 to 5 carbon atoms, amino group, amide group, or hydride
- R 41 is preferably a hydrogen atom; a phenyl group; a phenoxy group; a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; or 5 represents a phenyl group substituted with an alkoxy group or a hydroxyl group.
- R 42 ′ is preferably a phenyl group; a phenoxy group; a phenoxy group substituted with an alkoxy group having 1 to 5 carbon atoms, a hydroxyl group, or an alkyl group having 1 to 5 carbon atoms; or having 1 to 5 carbon atoms A divalent group obtained by removing one hydrogen atom from an alkoxy group or a phenyl group substituted with a hydroxyl group.
- the precursor group include groups obtained by removing one hydrogen atom from the compounds exemplified as the photosensitizer precursor.
- the protecting group is removed from the precursor group by the acid catalyst generated by pattern exposure, and a carbonyl group is generated. That is, a (1 ′) base component to which a group having a photosensitizer function is bonded is generated.
- the group that functions as a photosensitizer is bonded to the (1 ') base component, so that diffusion of the photosensitizer during batch exposure can be suppressed, and the contrast of the latent image of the acid in the resist material film is improved. can do.
- the photoacid generating group is a group that generates an acid upon irradiation with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less. It is different from the group shown by d).
- the photoacid-generating group preferably has the same structure (salt composed of a cation and an anion) as the compound exemplified in the component (c), and is a part of the cation or anion (1 ′) It is preferable that it is bonded, and it is more preferable that it is bonded to the base component (1 ′) with a part of the anion (anion bound type). Furthermore, in the group represented by (f), it is more preferable that a part of the anion is bonded to the (1 ′) base component even after exposure. Since the anion of the acid is bonded to the (1 ′) base component even after exposure, diffusion of the acid after exposure tends to be suppressed, and blurring of the image tends to be reduced.
- photoacid generating group examples include groups in which one hydrogen atom has been removed from the compounds exemplified as the photoacid generator.
- Examples of the chemical structure of the component (1 ′) having a photoacid generating group (1 ′) (polymer compound) are shown below.
- the photoacid generating group is decomposed by pattern exposure, and the anionic group remains in the base portion after decomposition.
- the groups represented by the above (d) to (f) are preferably bound by 0.1 to 30% by mass and bound by 0.2 to 10% by mass with respect to the total mass of the base component. Is more preferable.
- the base component (1 ′) is a polymer compound, it preferably has 0.001 to 0.5 mol of the group represented by (d) above with respect to 1 mol of the polymer compound. It is more preferably 0.002 to 0.3 mol, and still more preferably 0.01 to 0.3 mol.
- the base component (1 ′) has the group represented by the above (d) in an amount of 0.5 mol or less, a resist pattern having an excellent shape can be easily obtained. Sensitivity is easy to obtain.
- the (1 ′) base component preferably has 0.001 to 0.95 mol of the group represented by (e) above with respect to 1 mol of the polymer compound, preferably 0.002 to 0.3 mol. It is more preferable to have 0.01 to 0.3 mol.
- the (1 ′) base component preferably has 0.001 to 0.5 mol of the group represented by the above (f) with respect to 1 mol of the polymer compound, preferably 0.002 to 0.3 mol. It is more preferable to have 0.01 to 0.3 mol.
- the base component (1 ′) has the group represented by the above (f) in an amount of 0.5 mol or less, a resist pattern having an excellent shape can be easily obtained. Sensitivity is easy to obtain.
- the (1 ′) base component When the (1 ′) base component is a low molecular compound, it preferably has 0.001 to 0.5 mol of the group represented by (d) above with respect to 1 mol of the low molecular compound. It is more preferably 0.002 to 0.3 mol, and still more preferably 0.01 to 0.3 mol.
- the base component (1 ′) has the group represented by the above (d) in an amount of 0.5 mol or less, a resist pattern having an excellent shape can be easily obtained. Sensitivity is easy to obtain.
- the (1 ′) base component preferably has 0.001 to 0.5 mol of the group represented by the above (e) with respect to 1 mol of the low molecular compound, and 0.002 to 0.3 mol.
- the (1 ′) base component preferably has 0.001 to 0.5 mol of the group represented by the above (f) with respect to 1 mol of the low molecular compound, and 0.002 to 0.3 mol. It is more preferable to have 0.01 to 0.3 mol.
- the base component (1 ′) has the group represented by the above (f) in an amount of 0.5 mol or less, a resist pattern having an excellent shape can be easily obtained. Sensitivity is easy to obtain.
- the amount of the group possessed by the high molecular compound or the low molecular compound is equivalent to the number of moles of the monomer having the groups represented by (d) to (f) with respect to 1 mole of the whole monomer used for synthesis.
- the resist material includes (2) component, (3) first scavenger, (4) second scavenger, and (5) described in the first embodiment.
- a crosslinking agent, (6) an additive, and (7) a solvent may be included as appropriate.
- the blending amount of the component (2) is preferably 0.005 to 35 parts by mass, more preferably 0.1 to 15 parts by mass with respect to 100 parts by mass of the (1 ′) base component. If this amount is 15 parts by mass or less, it is excellent in compatibility with other materials in the resist material, it is easy to form a resist material film, and an excellently shaped resist pattern is obtained, while 0.1 parts by mass or more If it is, sufficient sensitivity can be easily obtained.
- the blending amount of the first scavenger is preferably 0.001 to 10 parts by mass, more preferably 0.01 to 5 parts by mass with respect to 100 parts by mass of the (1 ′) base component. By making this amount 0.01 parts by mass or more, there is a tendency that the above-described effect is easily obtained by blending the first scavenger.
- the molar ratio is preferably 1.5 or more from the viewpoint of sensitivity and resolution, and is preferably 300 or less from the viewpoint of suppressing changes in resist pattern dimension over time until post-exposure heat treatment.
- the photoacid generator / first scavenger (molar ratio) is more preferably from 5.0 to 200.
- the resist material may be configured by combining the first and second embodiments described above. That is, it is only necessary to obtain an effect that the photosensitizer and the acid are generated in any one of the first and second embodiments by exposure.
- the resist material according to the present embodiment may include a (1 ′) base component having the group represented by (e) above and the above (2) component containing the above (c) component, (1 ') base component which has group shown by said (f), and said (2) component containing said (b) component may be included.
- the 1st base component and the 2nd base component may be comprised from the same kind of base component, and may be comprised from a different kind of base component.
- the resist material may be a composition obtained by blending the composition shown in the above A to E with another (1 ') base component and (2) component.
- the resist material of the present embodiment can be produced by mixing the above components (1) to (7) by a known method. Further, the (1 ′) base component can be specifically produced as follows.
- the base component is a polymer compound
- one method is to combine a monomer having an unsaturated bond for obtaining a repeating unit in an organic solvent in a polymerization initiator (for example, there is a method in which a radical initiator) is added to carry out heat polymerization, whereby a polymer compound can be obtained.
- a polymerization initiator for example, there is a method in which a radical initiator is added to carry out heat polymerization, whereby a polymer compound can be obtained.
- the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, and dioxane.
- Polymerization initiators include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide, and the like, and can be preferably polymerized by heating to 50 to 80 ° C.
- the reaction time is 2 to 100 hours, preferably 5 to 20 hours.
- groups represented by (d) to (f) those introduced into the monomer may be used as they are, or an acid labile group is once eliminated with an acid catalyst, and then protected or partially protected to form a bond. It may be formed.
- the groups represented by (d) to (f) may be used as they are for the reactive group of the low molecular compound, or the acid labile group may be used as an acid labile group. It may be detached once with a catalyst and then protected or partially protected to form a bond.
- the resist material is preferably used in a two-step exposure lithography process. That is, the lithography process (pattern formation method) according to the present embodiment includes a film formation step of forming a resist material film formed using the resist material on a substrate, and ionization of the resist material film through a mask.
- Pattern exposure step of irradiating radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less, and the resist material film after the pattern exposure step to the non-ionizing radiation in the pattern exposure step A batch exposure step of irradiating non-ionizing radiation having a wavelength longer than 200 nm, preferably exceeding 250 nm, a baking step of heating the resist material film after the batch exposure step, and a resist after the baking step Contacting the material film with a developer.
- FIG. 4 is a process diagram showing a lithography process according to this embodiment. As shown in FIG. 4, the process includes the following steps.
- FIG. 7 is a process diagram showing an example of a pattern forming method using a conventional chemically amplified resist material.
- Step S1 A step of preparing a substrate to be processed.
- Step S2 Step of forming a lower layer film and a resist material film (film formation step).
- Step S3 A step of generating an acid in the exposed portion by pattern exposure (pattern exposure step).
- Step S4 A step of growing acid only in the pattern exposure portion by batch exposure (collective exposure step).
- Step S5 A step of causing a polarity change reaction by an acid catalyst in the pattern exposed portion by baking after exposure (baking step).
- Step S6 a step of forming a resist pattern by development processing (development step).
- Step S7 A step of transferring a pattern by etching.
- the substrate to be processed in the following steps may be composed of a semiconductor wafer such as a silicon substrate, a silicon dioxide substrate, a glass substrate, and an ITO substrate, and is insulated on the semiconductor wafer.
- a film layer may be formed.
- a resist material film is formed on the substrate.
- the resist material film is formed using the resist material of this embodiment.
- Specific examples of the method of forming the resist material film include a method of applying a liquid resist material by spin coating and the like, a method of attaching a film-like (solid) resist material, and the like.
- the solvent in the resist material may be volatilized by heating (pre-baking) after the application.
- the formation conditions of the resist material film are appropriately selected according to the properties of the resist material and the thickness of the resist material film to be obtained.
- the thickness of the resist material film is preferably 1 to 5000 nm, more preferably 10 to 1000 nm, and still more preferably 30 to 200 nm.
- a lower layer film (such as an antireflection film and a film for improving resist adhesion and resist shape) may be formed on the substrate.
- the antireflection film it is possible to suppress the occurrence of standing waves due to the radiation reflecting off the substrate or the like in the pattern exposure step.
- a film for improving the resist adhesion the adhesion between the substrate and the resist material film can be improved.
- a film for improving the resist shape it is possible to further improve the resist shape after development (that is, the resist skirt shape or constricted shape).
- the lower layer film is desirably a film that does not absorb the radiation for batch exposure. If the lower layer film absorbs the radiation of the batch exposure, the photosensitizer in the resist material film does not generate acid in the unexposed area during pattern exposure due to photosensitization by energy transfer or electron transfer from the lower layer film. Thus, a buffer layer that does not propagate the photosensitization reaction may be disposed between the resist material film and the protective film to prevent sensitization from the lower layer film that has absorbed the radiation.
- a protective film may be further formed on the resist material film.
- the protective film has a photoacid generator (acid-photosensitizer generator) as the component (a) or (c) or the (d Or an absorption film that absorbs at least part of the wavelength of non-ionizing radiation directly absorbed by the photoacid-generating group (acid-photosensitizer generating group) as the group represented by (f).
- the absorbing film By using the absorbing film, it is possible to suppress the out-of-band light (OOB light), which is radiation in the ultraviolet region generated during EUV exposure, from entering the resist material film, and the photoacid generator or The decomposition of the acid generating group can also be prevented. Furthermore, when the absorption film is formed directly on the resist material film, in order to suppress acid generation in the resist material film due to the photosensitization reaction in the pattern unexposed part, What does not induce the photosensitization reaction of is good.
- An absorption film that absorbs radiation by arranging a buffer layer between the resist material film and the protective film so that the photosensitizer in the resist material film is not sensitized by energy transfer or electron transfer from the protective film. You may prevent the sensitization from.
- the photoacid generator remaining on the resist material film after the pattern exposure step S4 or Direct generation of acid by the irradiation of non-ionizing radiation in the batch exposure step S4 can be further suppressed from the photoacid generating group.
- Pattern exposure process In the pattern exposure step S3, a light shielding mask having a predetermined pattern is arranged on the resist material film formed in the film formation step S2. Then, ionizing radiation or 400 nm or less, preferably 250 nm or less, from the exposure apparatus (radiation irradiation module) having a projection lens, an electro-optic system mirror, or a reflection mirror on the resist material film through the mask. Preferably, non-ionizing radiation having a wavelength of 200 nm or less is irradiated (pattern exposure).
- a light source for pattern exposure for example, an electron beam of 1 keV to 200 keV, extreme ultraviolet light (EUV) having a wavelength of 13.5 nm, excimer laser light of 193 nm (ArF excimer laser light), excimer laser light of 248 nm (KrF excimer laser) Light) is often used.
- the exposure amount in pattern exposure may be smaller than that in the case of batch exposure using the photosensitized chemically amplified resist of the present embodiment.
- the components (a) to (c) or the groups represented by (d) to (f) in the resist material film are decomposed to produce an acid and non-ionizing radiation having a wavelength exceeding 200 nm. Generates a photosensitizer that absorbs.
- a step-and-scan type exposure apparatus called a “scanner” is widely used.
- a pattern for each shot is formed by performing scanning exposure while synchronizing the mask and the substrate. By this exposure, a selective reaction occurs at the exposed portion in the resist.
- acid is directly generated from the photoacid generator or the photoacid generator group remaining in the resist material film after the pattern exposure step S4 by irradiation with non-ionizing radiation in the following batch exposure step S4. This can be further suppressed.
- the resist material When using a photosensitizer precursor (or precursor group) having an alcoholic hydroxyl group in which a hydrogen atom is not substituted, the resist material is used after the pattern exposure step S3 until the following batch exposure step S4 is performed.
- the atmosphere in which the film exists is preferably a reduced pressure atmosphere or an inert atmosphere containing nitrogen or argon.
- the time (storage time) from the pattern exposure step S3 to the batch exposure step S4 is preferably 30 minutes or less, and more preferably 10 minutes or less. There exists a tendency which can suppress the fall of a sensitivity because storage time is 30 minutes or less.
- a photosensitizer precursor having an alcoholic hydroxyl group substituted with a hydrogen atom that is, a ketal compound, an acetal compound, or an orthoester compound
- the atmosphere in which the resist material film is present is the air cleaned with an amine removing filter.
- the photosensitizer precursor When used, it is less susceptible to oxygen as described above, and may be treated in the atmosphere cleaned with an amine removal filter. By placing the resist material film in the above atmosphere, it is possible to suppress the quenching of the acid by a small amount of a basic compound, so that the process tends to be further stabilized.
- the time (storage time) from the pattern exposure step S3 to the batch exposure step S4 is preferably 30 minutes or less, and more preferably 10 minutes or less. There exists a tendency which can suppress the fall of a sensitivity because storage time is 30 minutes or less.
- the step of transporting the substrate from the exposure apparatus that performs the pattern exposure step S3 to the exposure device that performs the batch exposure step S4. May be further provided. Further, batch exposure may be performed in a coating and developing apparatus connected in-line or in a module corresponding to an interface with an exposure machine.
- the pattern formation method of this embodiment May include a baking step S3a (also referred to as post pattern exposure baking (PPEB or PEB)) after the pattern exposure step S3 and before the following batch exposure step S4 (see FIG. 5).
- the heating temperature in the baking step is preferably 30 to 150 ° C, more preferably 50 to 120 ° C, and still more preferably 60 to 100 ° C.
- the heating time is preferably 5 seconds to 3 minutes, more preferably 10 to 60 seconds.
- the pattern forming method includes the above-described baking step, generation of a photosensitizer by a hydrolysis reaction from an acetal compound, an orthoester compound, a ketal compound, or the like to a carbonyl compound can be accelerated.
- Non-ionizing radiation having a wavelength longer than the non-ionizing radiation in the pattern exposure and exceeding 200 nm, preferably exceeding 250 nm is irradiated (collective exposure).
- the exposure amount in the batch exposure the entire surface of the wafer may be exposed at a time, a combination of local exposures may be used, or the exposure may be performed in an overlapping manner.
- a light source for batch exposure a general light source can be used.
- LEDs are used.
- Ultraviolet light with a narrow band by a light source, a laser diode, a laser light source, or the like may be used.
- a light source, a laser diode, a laser light source, or the like may be used.
- the photosensitizer generated in the pattern-exposed portion of the resist material film absorbs radiation.
- radiation is selectively absorbed in the pattern exposed portion. Therefore, during the batch exposure, the acid can be continuously generated only at the pattern exposed portion, and the sensitivity can be greatly improved.
- the sensitivity can be improved while maintaining the chemical contrast in the resist material film.
- the wavelength of the non-ionizing radiation in the batch exposure is more preferably 280 nm or more, and further preferably 320 nm or more.
- the wavelength of the non-ionizing radiation may be 350 nm or more.
- the wavelength of the non-ionizing radiation is specifically preferably 450 nm, and more preferably 400 nm or less.
- the pattern exposure step S3 and / or the batch exposure step S4 may be performed by immersion lithography (immersion exposure), or may be performed by dry lithography (dry exposure).
- Immersion lithography refers to exposure performed with a liquid interposed between a resist material film and a projection lens.
- dry lithography refers to exposure performed in a state where a gas is interposed between a resist material film and a projection lens, under reduced pressure, or in a vacuum.
- a liquid having a refractive index of 1.0 or more is applied between the resist material film or the protective film formed in the film formation step S2 and the projection lens. You may carry out in the state interposed.
- the protective film is preferably for preventing reflection or improving reaction stability. Moreover, it is preferable that the protective film is capable of preventing liquid penetration, improving water repellency on the film, and preventing defects due to liquid in immersion exposure.
- the liquid is a photoacid generator (acid-photosensitizer generator) as the component (a) or (c), or the above (d) or (f).
- the photoacid generating group as a group represented by the formula (1) may absorb at least a part of the wavelength of non-ionizing radiation that is directly absorbed.
- the pattern exposure step S3 and / or the batch exposure step S4 can be performed in the atmosphere, a reduced pressure atmosphere, an inert atmosphere, or the like. It is preferably carried out in an inert atmosphere containing argon, more preferably in an atmosphere with a basic compound of 20 ppb or less, more preferably in an atmosphere of 5 ppb or less, and in an atmosphere of 1 ppb or less. It is particularly preferable to carry out with
- the baking step S5 the resist material film after the batch exposure step S4 is heated (hereinafter also referred to as post-flood exposure baking (PFEB) or simply post-exposure baking (PEB)).
- PFEB post-flood exposure baking
- PEB simply post-exposure baking
- the baking step S3a may be referred to as a 1st PEB step
- the baking step S5 may be referred to as a 2nd PEB step (FIG. 5). reference).
- Heating can be performed, for example, in the atmosphere, under an inert gas atmosphere such as nitrogen and argon, at 50 to 200 ° C. for 10 to 300 seconds.
- the acid generated in the pattern exposure step S3 and the collective exposure step S4 causes a polarity change reaction such as (1) base component or (1 ′) base component deprotection reaction, and a crosslinking reaction.
- the resist side wall may be wavy due to the influence of the standing wave of radiation in the resist material film, but in the baking step S5, the waviness can be reduced by diffusion of the reactant.
- Step S6 Development step
- the developer can be divided into a positive developer and a negative developer.
- the positive developer is preferably an alkali developer.
- the alkaline developer selectively dissolves the highly polar part of the resist material film after exposure.
- Specific examples of the alkaline developer include potassium hydroxide, sodium hydroxide, sodium carbonate, potassium carbonate, sodium phosphate, sodium silicate, ammonia, amines (such as ethanolamine), and tetraalkylammonium hydroxide (TAAH).
- the alkaline developer is preferably TAAH.
- TAAH tetramethylammonium hydroxide
- TMAH tetramethylammonium hydroxide
- tetraethylammonium hydroxide tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, methyltriethylammonium hydroxide, trimethylethylammonium hydroxide, dimethyldiethylammonium hydroxide, Trimethyl (2-hydroxyethyl) ammonium hydroxide (ie, choline), triethyl (2-hydroxyethyl) ammonium hydroxide, dimethyldi (2-hydroxyethyl) ammonium hydroxide, diethyldi (2-hydroxyethyl) ammonium hydroxide, water Methyl tri (2-hydroxyethyl) ammonium oxide, ethyl tri (2-hydroxyethyl) ammonium hydroxide, and tetra (2-hydroxyethyl) ammonium
- TMAH tetramethylammonium hydroxide
- a pattern is formed by utilizing a phenomenon in which carboxylic acid or hydroxyl group generated in a resist material film after exposure is ionized and dissolved in an alkali developer.
- a water washing process called rinsing is performed.
- the negative developer is preferably an organic developer.
- the organic developer selectively dissolves the low polarity portion of the resist material film after exposure.
- the organic developer is used to improve the resolution performance and the process window by removing patterns such as holes and trenches. In this case, the dissolution contrast between the pattern exposed portion and the pattern unexposed portion is obtained by the difference in affinity between the solvent in the resist material film and the organic developer.
- the portion with high polarity has low solubility in an organic developer, and remains as a resist pattern.
- organic developer examples include 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methyl acetophenone, Propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonic acid, ethyl crotonic acid, propion Methyl acetate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, iso
- the resist pattern after the development step S6 may be heated (sometimes referred to as post-baking).
- the post-baking can vaporize and remove the rinsing liquid remaining after the rinsing process, and can cure the resist pattern.
- Step S7 a pattern is formed by etching or ion implantation of the underlying substrate using the resist pattern after the developing step S6 as a mask.
- the etching may be dry etching under an atmosphere such as plasma excitation, or may be wet etching immersed in a chemical solution. After the pattern is formed on the substrate, the resist pattern is removed.
- a typical lithography process of a conventional chemically amplified resist is as follows.
- the photoacid generator (PAG) in the resist material film is decomposed to generate an acid after pattern exposure. Thereafter, the acid-catalyzed reaction accompanying heating changes the dissolution characteristics of the resist base component. As a result, the solubility of the resist material film in the developer changes and development becomes possible.
- PAG photoacid generator
- the lithography process according to the present embodiment utilizes photosensitization for acid generation, can increase the amount of acid generation compared to the conventional case, and can greatly amplify the sensitivity.
- reaction system in the lithography process according to this embodiment is roughly divided into the following three. These systems may be combined with each other to further improve the properties.
- the resist material contains the above-mentioned (a) acid-photosensitizer generator as the component (2), or the resist material contains (d) acid-light.
- a) acid-photosensitizer generator as the component (2)
- the resist material contains (d) acid-light.
- This is a system when a (1 ′) base component having a sensitizer generating group is contained. In this system, both an acid and a photosensitizer are generated from the component (a) during exposure. Since the generated photosensitizer contains a carbonyl group or the like, the absorption wavelength of radiation is shifted to a longer wavelength than the component (a).
- the pattern exposure part selectively performs acid exposure.
- the amount generated can be amplified.
- the acid-catalyzed reaction of the base component after the acid is generated is similar to the reaction in the conventional lithography process.
- the resist material contains (b) the photosensitizer precursor and (c) the photoacid generator as the component (2), or the resist material (E) a precursor group and (f) a (1 ′) base component having a photoacid generating group, and (b) the component (or the group represented by (e)) is substituted with a hydrogen atom
- This is a system having no alcoholic hydroxyl group.
- an acid is generated from the component (c) (or group represented by (f)) during pattern exposure, and at the same time, photosensitization is performed from the component (b) (or group represented by (e)).
- An agent is generated.
- the carbon atom to which the alcoholic hydroxyl group and the alcoholic hydroxyl group are bonded contributes to the photosensitizing action. It becomes a carbonyl group.
- a photosensitizer is generated via a short-lived intermediate such as a radical or a cation, and the reaction may occur in a sufficiently short time within several seconds at room temperature. Since the generated photosensitizer contains a carbonyl group or the like, the absorption wavelength of the radiation is shifted to the longer wavelength side than the groups shown in the components (b) and (c) and (e) and (f).
- Pattern exposure is performed by performing batch exposure with non-ionizing radiation having a wavelength capable of absorbing only the generated photosensitizer and capable of decomposing the group represented by (c) component or (f) by photosensitization. Part can selectively amplify the amount of acid generated.
- the acid-catalyzed reaction of the base component after the acid is generated is similar to the reaction in the conventional lithography process.
- the resist material contains (b) the photosensitizer precursor and (c) the photoacid generator as the component (2), or the resist material ( e) a precursor group and (f) a (1 ′) base component having a photoacid generating group, and (b) component (or a group represented by (e)) is substituted with a hydrogen atom
- This is a system having an alcoholic hydroxyl group.
- an acid is generated from the group represented by (c) component or (f) during pattern exposure, and the generated acid serves as a catalyst to form a group represented by (b) component (or (e). ) Generates a photosensitizer.
- Examples of the component (b) having an alcoholic hydroxyl group in which a hydrogen atom is substituted include an acetal compound, a ketal compound, and an orthoester compound.
- An acetal compound and a ketal compound generate an aldehyde and a ketone, which are photosensitizers, respectively, in an acid-catalyzed reaction.
- the ortho ester compound generates a carboxylic acid ester which is a photosensitizer by an acid catalyst reaction.
- a carboxylic acid as a photosensitizer may be generated in the deprotection reaction of the carboxylic acid protected with OBO.
- the photosensitizer is generated by using the acid generated by pattern exposure as a catalyst, so it is possible to control the photosensitizer generation reaction by suppressing the deactivation of the acid as a catalyst. It is. Since the generated photosensitizer is a compound having a carbonyl group such as aldehyde, ketone, carboxylic acid ester and carboxylic acid, the components (b) and (c) and the groups represented by (e) and (f) are used. Also, the absorption wavelength of radiation shifts to the longer wavelength side.
- Pattern exposure is performed by performing batch exposure with non-ionizing radiation having a wavelength capable of absorbing only the generated photosensitizer and capable of decomposing the group represented by (c) component or (f) by photosensitization. Part can selectively amplify the amount of acid generated.
- the acid-catalyzed reaction of the base component after the acid is generated is similar to the reaction in the conventional lithography process.
- reaction in the lithography process according to this embodiment will be described for each step.
- the reaction will be described with the reaction in the third and first reaction systems added as needed, centering on the second reaction system.
- the resist material film is irradiated with ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less (pattern exposure).
- ionizing radiation or non-ionizing radiation having a wavelength of 400 nm or less, preferably 250 nm or less, more preferably 200 nm or less (pattern exposure). Examples of reactions assumed when ionizing radiation is irradiated into the resist material film will be described below with a focus on the second reaction system. However, the assumed reaction is not limited to the reactions described below.
- the following reaction occurs with respect to the component (c) or the group represented by (f).
- the component (c) will be described as an example, but the first acid generation mechanism similarly occurs in the group represented by (f).
- the base component is ionized to generate electrons by irradiating the base component (Base) with ionizing radiation such as extreme ultraviolet (EUV) / electron beam (EB).
- EUV extreme ultraviolet
- EB electron beam
- R a R b I + X ⁇ in the above formula (ii) is an iodonium salt compound as an example of the component (c) (PAG).
- X ⁇ is an anion of an acid
- R a and R b have the same meanings as R 3 and R 4 in the above formula (I).
- the electron generated in the above formula (i) is captured by the above component (c) or the group represented by the above (f) and decomposed as in the above formula.
- the anion of the acid wherein X - occur.
- the proton adduct of the base component generated in the above formula (i) reacts with the anion X ⁇ of the acid generated in the above formula (ii) and the like to generate an acid.
- the above is the first acid generation mechanism in the pattern exposure step S3.
- the following reaction occurs with respect to the group represented by component (b) or (e).
- the reactions described here are only a part and do not cover all reaction mechanisms.
- the component (b) will be described as an example, but the first photosensitizer generation mechanism occurs similarly in the group represented by (e).
- the reaction example of the component (b) in the case where the component (b) in the second reaction system, that is, the component (b) is an alcohol compound and the hydrogen atom of the hydroxyl group is not substituted will be described. .
- R c R d CH (OH) is a secondary alcohol compound as an example of the component (b) (Precursor to photosensitizer).
- R c and R d have the same meanings as R 8 to R 10 in the above formula (VI).
- R b ⁇ having a free radical generated in the above formula (ii) and the like reacts with the secondary alcohol compound, and hydrogen is extracted from the secondary alcohol compound, whereby the base of the hydroxyl group is obtained.
- a secondary alcohol compound having a carbon radical is produced.
- the carbon radical in the secondary alcohol compound transfers electrons to the base component bound with the group (c) and the group (f) and decomposes them.
- R b ⁇ having a free radical generated by decomposition is further subjected to the reaction of the above formula (v), and the reactions of the above formulas (v) and (vi) proceed in a chain.
- the chain reaction mechanism of the above formulas (v) and (vi) is also called a radical chain acid generation mechanism.
- the cation of the secondary alcohol compound produced in the above formula (vi) reacts with the anion X ⁇ of the acid produced in the above formula (vi) and the like, and the ketone compound and acid that are photosensitizers appear.
- the generated ketone compound acts as a photosensitizer in the batch exposure step S4.
- the above is the first photosensitizer generating mechanism in the pattern exposure step S3.
- the photoacid generator is directly excited and decomposed by irradiating the iodonium salt compound as an example of the component (c) with ionizing radiation (Non ionizing radiation) such as ArF / KrF. Acid is generated.
- ionizing radiation Non ionizing radiation
- the above is the second acid generation mechanism in the pattern exposure step S3.
- the following reaction photosensitizer generation mechanism
- the component (b) will be described as an example, but the second photosensitizer generation mechanism occurs in the same manner in the group represented by (e).
- the generation mechanism of the photosensitizer when the component (b) in the third reaction system is partially different from the first photosensitizer generation mechanism.
- acid is generated by the first and second photoacid generation mechanisms.
- the generated acid acts on the acetal compound or ketal compound to generate a ketone compound which is a photosensitizer. That is, the acid generated by the first and second photoacid generation mechanisms serves as a catalyst for a reaction in which a ketone compound is generated from an acetal compound or a ketal compound.
- the generated ketone compound acts as a photosensitizer in the batch exposure step S4.
- the above is the third photosensitizer generating mechanism in the pattern exposure step S3.
- the third photosensitizer generation mechanism in the pattern exposure step S3 of the third reaction system will be described more specifically.
- an acid is generated as shown in the following formula (xxvii).
- the acid generated by pattern exposure serves as a catalyst, and the structure of the group represented by component (b) or (e) changes, and a photosensitizer is generated as follows.
- This structural change reaction (deprotection reaction) can be accelerated by baking after pattern exposure and before batch exposure.
- the activation energy of this structural change reaction is increased to lower the reaction rate, and the acid in the resist material film is obtained by performing the above baking after capturing (neutralizing) the acid in the pattern unexposed area with the capturing agent.
- the contrast of the latent image can be further increased.
- increasing the activation energy of the deprotection reaction attaching a protective group that does not easily come off leads to an improvement in the storage stability of the photochemically amplified resist material at room temperature.
- a group represented by (b) component or (e) is obtained by substituting (protecting) a carbonyl group with a protecting group.
- the acid generated by pattern exposure acts as a catalyst to cause a deprotection reaction, and a carbonyl compound as a photosensitizer is generated.
- the photosensitizer generated by this reaction the absorption wavelength of radiation is shifted to the longer wavelength side than the groups (b) and (c) and the groups represented by (e) and (f).
- Examples of the photosensitizer precursor that can be formed by protecting the carbonyl compound include an acetal compound, a ketal compound, and an orthoester compound.
- photosensitizer generation by a deprotection reaction occurs as shown in the following formula (xviii).
- the ketal compound is structurally converted to a ketone compound by an acid-catalyzed hydrolysis reaction as described below.
- the photosensitizer is generated by the deprotection reaction (acid-catalyzed hydrolysis reaction) as represented by the following formula (xix).
- the acetal compound is structurally converted to an aldehyde compound by an acid-catalyzed hydrolysis reaction as described below.
- the photosensitizer is generated by the deprotection reaction (acid-catalyzed hydrolysis reaction) as shown in the following formula (xx).
- the ortho ester compound is decomposed into a carboxylic ester compound by a deprotection reaction.
- the orthoester compound undergoes a structure conversion to a carboxylic acid ester compound by an acid-catalyzed hydrolysis reaction as described below.
- an OBO ester compound in which a carboxylic acid is protected with OBO (4-methyl-2,6,7-trioxabicyclo [2.2.2] octane-1-yl) is obtained by deprotection reaction.
- the carboxylic acid is generated as in the following formula (xxi). Therefore, the photosensitizer precursor obtained by protecting with OBO can generate a photosensitizer having a carboxyl group.
- this photosensitizer is used, the polarity of the resist material film increases with the generation of the photosensitizer, so that the dissolution contrast of the resist material film can be improved.
- the OBO ester compound is structurally converted to a carboxylic acid by an acid-catalyzed hydrolysis reaction as described below.
- the reaction that the photosensitizer produces in the first reaction system is as follows.
- the component (a) that generates an acid and a photosensitizer by pattern exposure simultaneously generates an acid and a photosensitizer during pattern exposure.
- An example is shown below (sixth acid generation mechanism).
- both the acid generation mechanism and the photosensitizer generation mechanism occur with respect to the base component to which the groups represented by the components (a) and (d) are bound.
- the component (2) contains only the component (a), contains any two components, or contains all of the components (a) to (c). Therefore, in the pattern exposure step S3 in the first embodiment, both the acid generation mechanism and the photosensitizer generation mechanism occur.
- the component (1 ′) has only the group represented by (d), has any two groups among the groups represented by (d) to (f), or , (D) to (f). Therefore, similarly, in the pattern exposure step S3 in the second embodiment, both the acid generation mechanism and the photosensitizer generation mechanism occur.
- the resist material film is irradiated (collective exposure) with non-ionizing radiation having a wavelength longer than the non-ionizing radiation in the pattern exposure and exceeding 200 nm, preferably exceeding 250 nm.
- the photosensitizer precursor needs to have a sufficiently small absorption of pattern exposure energy during pattern exposure, but chemical structure conversion occurs due to the pattern exposure energy, and a photosensitizer is generated.
- the light absorption spectrum is changed in the ultraviolet region, and absorption occurs on the long wavelength side.
- An example is a chemical change in which an alcohol compound (or ketal compound) is changed to a ketone compound.
- the reaction in the collective exposure step S4 is shown below.
- the components (b) and (c) will be described as an example, but the same reaction occurs in the components (a) and groups (d) to (f). That is, with respect to amplification of the amount of acid generated by photosensitization that occurs in common in the first to third reaction systems, first, examples of the second and third reaction systems will be mainly shown.
- These reactions consist of excitation of the photosensitizer by batch exposure and generation of acid by decomposition of the photoacid generator caused by the photosensitizer in the excited state.
- the reaction mechanism by which the photosensitizer in the excited state decomposes the photoacid generator is large, and it can be divided mainly into those due to electron transfer and those due to excitation transfer. Since these sensitization reactions occur in a chain, the amount of acid generated can be greatly amplified by batch exposure, and the sensitivity of the resist is greatly improved.
- R c R d C ⁇ O is a ketone compound generated in the pattern exposure step S3, and R a R b I + X ⁇ is a part of the component (c) remaining after the pattern exposure step S3.
- It is an iodonium salt compound as an example of (PAG).
- * indicates an excited state
- * (S) is a singlet excited state
- * (T) is a triplet excited state.
- the ketone compound that is the photosensitizer generated in the pattern exposure step S3 is excited by irradiation with non-ionizing radiation. The excited ketone compound is first in a singlet excited state, but a triplet excited state is partially generated through intersystem crossing.
- the component (c) (PAG) is indirectly decomposed and acid is generated by the photosensitizer in the excited state.
- the acid generation mechanism in the batch exposure step S4 mainly includes a third acid generation mechanism (electron transfer sensitized acid generation mechanism), a fourth acid generation mechanism (energy transfer sensitized acid generation mechanism), No. 5 acid generation mechanism (hydrogen abstraction type acid generation mechanism).
- Formula (xii) is a reaction formula showing the third acid generation mechanism (electron transfer sensitized acid generation mechanism).
- the third acid generation mechanism electron transfer sensitized acid generation mechanism.
- the oxidation potential of the photosensitizer is sufficiently low, the reduction potential of PAG is sufficiently high, and the energy of batch exposure is high enough to cause electron transfer.
- the free energy of the photosensitized electron transfer reaction becomes negative and the reaction proceeds spontaneously.
- Electron transfer generates cation radicals of the photosensitizer.
- the product of formula (xiii) reacts as follows to produce an acid.
- the third acid generation mechanism (electron transfer sensitized acid generation mechanism) when the cation radical of the photosensitizer reacts with the phenyl radical is as follows.
- the third acid generation mechanism (electron transfer sensitized acid generation mechanism) when the cation radical of the photosensitizer reacts with the polymer (POLY-H) is as follows.
- Formulas (xiv) and (xv) are reaction formulas showing the fourth acid generation mechanism (energy transfer sensitized acid generation mechanism).
- the excited state moves from the ketone compound to the iodonium salt compound (triplet excitation transfer) and a photosensitizer is generated.
- the iodonium salt compound in the excited state is decomposed. Acid is generated.
- the photosensitizer can be excited to a singlet excited state at the wavelength of batch exposure, and the energy of triplet excited state of the photosensitizer The level needs to be higher than the energy level of the triplet excited state of the PAG.
- Formula (xvi) is a reaction formula showing a fifth acid generation mechanism (hydrogen abstraction type acid generation mechanism) that occurs when the component (b) is a photosensitizer precursor having a hydroxyl group.
- the excited ketone compound generates free radicals by extracting hydrogen of the secondary alcohol compound remaining after the pattern exposure step S3, and electrons move from the generated radicals to the iodonium salt compound. Generates a photosensitizer and an acid.
- the pattern forming method of the present embodiment includes the pattern exposure step S3 and the batch exposure step S4, so that the acid generated after the exposure can be greatly increased only in the pattern exposed portion.
- FIG. 1 is a graph showing the absorbance of the pattern exposed portion and the unexposed portion of the resist material film during batch exposure.
- the portion of the resist material film that is not subjected to pattern exposure absorbs ultraviolet rays having a relatively short wavelength, but does not absorb ultraviolet rays having a long wavelength.
- the acid and the photosensitizer are generated in the pattern-exposed portion (pattern exposed portion) of the resist material film.
- the generated photosensitizer absorbs non-ionizing radiation having a wavelength exceeding 200 nm, and absorbs ultraviolet rays having a relatively long wavelength.
- FIG. 2 (a) is a graph showing the acid concentration distribution in a conventional chemically amplified resist material.
- EUV extreme ultraviolet rays
- FIG. 2B is a graph showing the photosensitizer concentration distribution and the acid concentration distribution in the photosensitized chemically amplified resist material according to this embodiment. In pattern exposure, although a resist pattern latent image is excellent, sufficient acid is not generated.
- the photosensitizer generated by pattern exposure can increase the amount of acid only in the pattern exposure area, and the sensitivity can be reduced with a small exposure while maintaining an excellent latent image of the resist pattern. Can be improved. Since the acid generation mechanism by the photosensitizer at the time of batch exposure occurs at room temperature, there is little bleeding of the latent image at the time of acid generation, and it is possible to greatly increase the sensitivity while maintaining the resolution.
- FIG. 3A is a graph showing an acid concentration distribution in a conventional chemically amplified resist material, and shows an acid concentration distribution when both pattern exposure and batch exposure are performed using extreme ultraviolet (EUV) or the like.
- EUV extreme ultraviolet
- pattern exposure although the amount of acid generation is small, an excellent latent image of the resist pattern is maintained.
- the batch exposure acid is generated on the entire surface of the resist material film.
- the exposure amount is increased in order to improve the sensitivity, the latent image of the resist pattern is greatly deteriorated (lithographic characteristics are lowered), so that it is difficult to achieve both sensitivity and lithography characteristics.
- FIG. 1 is a graph showing an acid concentration distribution in a conventional chemically amplified resist material, and shows an acid concentration distribution when both pattern exposure and batch exposure are performed using extreme ultraviolet (EUV) or the like.
- EUV extreme ultraviolet
- 3B is a graph showing the photosensitizer concentration distribution and the acid concentration distribution in the photosensitized chemically amplified resist material according to the present embodiment, as in FIG.
- the amount of acid can be increased only in the pattern exposure area, and sensitivity is improved with a small exposure while maintaining an excellent latent image of the resist pattern. Can be made.
- FIG. 6 is a cross-sectional view showing an example of the manufacturing process of the semiconductor device of this embodiment.
- FIG. 6A is a cross-sectional view showing a resist pattern forming process, which is formed on the film to be etched 3 by the semiconductor wafer 1, the film to be etched 3 formed on the semiconductor wafer 1, and the pattern forming method. It is sectional drawing with the resist pattern 2 made (equivalent after completion
- the film to be etched include an active layer, a lower insulating film, a gate electrode film, and an upper insulating film. Between the film to be etched 3 and the resist pattern 2, an antireflection film or a film for improving resist adhesion or resist shape may be provided. A multilayer mask structure may be used.
- FIG. 6A is a cross-sectional view showing a resist pattern forming process, which is formed on the film to be etched 3 by the semiconductor wafer 1, the film to be etched 3 formed on the semiconductor wafer 1, and the pattern forming method. It is sectional drawing with the resist pattern 2 made (equivalent after completion
- FIG. 6B is a cross-sectional view showing the etching process, and is a cross-sectional view of the semiconductor wafer 1, the resist pattern 2, and the etching target film 3 etched using the resist pattern 2 as a mask.
- the etched film 3 is etched along the shape of the opening of the resist pattern 2.
- FIG. 6C is a cross-sectional view of the pattern substrate 10 including the semiconductor wafer 1 and the pattern of the etched film 3 that has been etched after the resist pattern 2 is removed.
- the pattern of the film to be etched 3 from which the resist pattern 2 has been removed is obtained by stacking device elements on a substrate, for example, by embedding wiring and flattening, thereby manufacturing a semiconductor device.
- the lithography mask according to this embodiment is manufactured by processing a substrate using a resist pattern formed by the same method as described above. In many cases, a substrate surface or a hard mask on a glass substrate is etched and processed using a resist pattern.
- the mask here includes a transmission type mask using ultraviolet rays or an electron beam, a reflection type mask using EUV light, and the like.
- the transmission type mask the light shielding portion or the phase shift portion is masked with a resist pattern and processed by etching.
- the reflection type mask the light absorber is processed by etching using the resist pattern as a mask.
- the nanoimprint template according to the present embodiment is also manufactured using a resist pattern formed by the same method as described above.
- a resist pattern is formed on a glass surface or hard mask surface on a substrate such as a glass substrate, and processed by etching to form a nanoimprint template.
- Example 1 As methyl methacrylate to which a protective group is bonded, the following GBLMA is 32.19 parts by mass (0.44 mol part), the following MAMA is 23.86 parts by mass (0.24 mol part), and the following HAMA is 21.29 parts by mass. (0.21 mol part) and, as methyl methacrylate to which a photoacid-generating group is bonded, 22.66 parts by mass (0.11 mol part) of the following PBpS-F2MAS are mixed and subjected to radical polymerization to give (1 ′ As a component, (f) a methyl methacrylate polymer compound (polymer compound P) having a photoacid generating group was synthesized.
- the resulting methyl methacrylate polymer compound had a weight average molecular weight Mw of 24800 and a molecular weight distribution Mw / Mn of 3.08.
- Mw and Mw / Mn are measured by gel permeation chromatography (GPC) using a standard polystyrene calibration curve under the following conditions.
- Apparatus HPLC (manufactured by Shimadzu Corporation) Column: Shodex KF-805L (x) with KF-G Detector: RID-10A, SPD-M10AVP Column temperature: 40 ° C Flux: 1.0 mL / min Eluent: Tetrahydrofuran solution
- the dimethoxybenzhydrol derivative is an acetal compound in which two methoxy groups are bonded to the carbon atom of the carbonyl group in p-dimethoxybenzohydrol that functions as a photosensitizer.
- the dimethoxybenzhydrol derivative generates a ketone (p-dimethoxybenzophenone) which is a photosensitizer in the following deprotection reaction after pattern exposure.
- the prepared resist material was spin-coated at a rotational speed of 4000 rpm for 60 seconds on a silicon substrate that had been surface-treated with hexamethyldisilazane (HMDS) in advance using a spin coater (manufactured by Mikasa Co., Ltd.). After the spin coating, the coating film was heated at 110 ° C. for 60 seconds to form a resist material film on the silicon substrate (film forming process). The thickness of the resist material film was measured using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.), and the thickness was 51 nm.
- AFM atomic force microscope
- the resist material film after the batch exposure was heated in a nitrogen stream at 110 ° C. for 60 seconds (baking process).
- the resist material film after the baking process was contacted with 2.38% by mass of tetramethylammonium hydroxide (TMAH) at 25 ° C. for 60 seconds to develop the resist pattern (developing process).
- TMAH tetramethylammonium hydroxide
- Example 2 In the batch exposure step, a resist pattern was obtained in the same manner as in Example 1 except that ultraviolet rays were irradiated for 10 minutes.
- FIG. 8 shows a graph (sensitivity curve) with the remaining film ratio on the vertical axis and the exposure amount on the horizontal axis.
- the residual film ratio is determined by measuring the thickness of the resist material film remaining on the substrate before and after the development treatment using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.) And it measured in air
- required based on the following formula. Residual film ratio [(thickness of resist material film before development processing) ⁇ (thickness of resist material film after development processing)] / (thickness of resist material film before development processing)
- Example 1 As a result of extrapolating the exposure amount necessary for the remaining film rate to be 0 (zero) from the approximate curve of the graph of FIG. 8 and calculating the sensitivity (E 0 ), the results of Example 1, Example 2, and Comparative Example 1 were obtained. The sensitivities were 15.8 ⁇ C / cm 2 , 20.2 ⁇ C / cm 2 and 24.3 ⁇ C / cm 2 , respectively. In Examples 1 and 2, it was confirmed that high sensitivity was obtained by performing batch exposure as compared with Comparative Example 1.
- sensitivity E 0 as described above, "sensitivity because residual film ratio means the exposure amount required to become 0 (zero), the value of the sensitivity E 0 is small, generally used "Means high, and conversely, a large value of sensitivity E 0 means that generally used” sensitivity "is low.
- Example 2 and Comparative Example 1 a portion developed for a contact hole having a diameter of 50 nm and a pitch of 150 nm was subjected to a high resolution scanning electron microscope (SEM, trade name: NVision 40D, Carl Zeiss).
- SEM high resolution scanning electron microscope
- Example 2 and Comparative Example 1 a contact hole resist pattern with a diameter of 48 to 51 nm was regularly formed.
- the exposure amount (sensitivity E size ) when the residual film ratio of the resist material film for forming the contact hole resist pattern with a diameter of 50 nm becomes 0 (zero) is shown in Example 1, Example 2, and Comparative Example. 1 was 48.0 ⁇ C / cm 2 , 60.0 ⁇ C / cm 2 and 76.0 ⁇ C / cm 2 , respectively.
- Example 1 In the resist patterns obtained in Example 1, Example 2 and Comparative Example 1, portions formed as lines of 50 nm line / 100 nm space at a pitch of 150 nm were subjected to high resolution scanning electron microscope (SEM, trade name: NVision). 40D, manufactured by Carl Zeiss), the line resist pattern was regularly formed in any of Example 1, Example 2, and Comparative Example 1.
- SEM high resolution scanning electron microscope
- 40D manufactured by Carl Zeiss
- the line edge roughness (LER) of Example 1, Example 2, and Comparative Example 1 was 8.9 nm, 9.2 nm, and 8.2 nm, respectively.
- the exposure amount (sensitivity E size (E 50 nm )) when the residual film ratio of the resist material film for forming a resist pattern for a line having a width of 50 nm is 0 (zero) is the same as in Example 1 and Example. 2 and Comparative Example 1 were 44.0 ⁇ C / cm 2 , 56.0 ⁇ C / cm 2 and 72.0 ⁇ C / cm 2 , respectively. Therefore, it was confirmed that in both the contact hole and line and space patterns, the sensitivity was improved in Examples 1 and 2 while maintaining the resolution as compared with Comparative Example 1.
- iodonium salt compound (trade name: DPI-PFBS, manufactured by Midori Chemical Co., Ltd.) (0.05 mol with respect to 1 mol of the polymer compound), (b) dimethoxybenzhydrol as a photosensitizer precursor 28.83 mg of the derivative (0.1 mol relative to 1 mol of the polymer compound), (3) 1.77 mg (high) of trioctylamine (TOA, manufactured by Sigma-Aldrich) as the first scavenger (quencher)
- TOA trioctylamine
- quencher the first scavenger
- the prepared resist material was spin-coated at a rotation speed of 1200 rpm for 120 seconds on a silicon substrate that had been surface-treated with hexamethyldisilazane (HMDS) in advance using a spin coater (manufactured by Mikasa Corporation). After the spin coating, the coating film was heated at 110 ° C. for 60 seconds to form a resist material film on the silicon substrate (film forming process). The thickness of the resist material film was measured using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.), and the thickness was 43 nm.
- AFM atomic force microscope
- the substrate is provided with a resist material film, and the irradiation current is 30 pA and the acceleration voltage is 30 kV.
- the electron beam was irradiated (pattern exposure process).
- the substrate on which the resist material film after pattern exposure was formed was temporarily taken out into the atmosphere where the amount of amine, which is a basic substance, was not controlled, and then stored in a dry nitrogen atmosphere for 0 to 30 minutes.
- the resist material film after storage was taken out into the atmosphere and heated in a nitrogen stream at 110 ° C. for 60 seconds (baking step).
- the resist material film after the baking process was contacted with 2.38% by mass of tetramethylammonium hydroxide (TMAH) at 25 ° C. for 60 seconds to develop the resist pattern (developing process).
- TMAH tetramethylammonium hydroxide
- Example 3 600 mg of the above methyl methacrylate polymer compound Q as a base component is dissolved in 45 mL of cyclohexanone, and (c) an iodonium salt compound as a photoacid generator (trade name: DPI-PFBS, manufactured by Midori Chemical Co., Ltd.) 29.0 mg (0.05 mol with respect to 1 mol of the polymer compound), (b) 28.83 mg of dimethoxybenzhydrol derivative as a photosensitizer precursor (0.1 mol with respect to 1 mol of the polymer compound).
- an iodonium salt compound as a photoacid generator (trade name: DPI-PFBS, manufactured by Midori Chemical Co., Ltd.) 29.0 mg (0.05 mol with respect to 1 mol of the polymer compound)
- (b) 28.83 mg of dimethoxybenzhydrol derivative as a photosensitizer precursor 0.1 mol with respect to 1 mol of the polymer compound).
- trioctylamine manufactured by Sigma-Aldrich
- quencher a first scavenger
- the prepared resist material was spin-coated at a rotation speed of 1200 rpm for 120 seconds on a silicon substrate that had been surface-treated with hexamethyldisilazane (HMDS) in advance using a spin coater (manufactured by Mikasa Corporation). After the spin coating, the coating film was heated at 110 ° C. for 60 seconds to form a resist material film on the silicon substrate (film forming process). The thickness of the resist material film was measured using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.), and the thickness was 43 nm.
- AFM atomic force microscope
- the substrate is provided with a resist material film, and the irradiation current is 30 pA and the acceleration voltage is 30 kV.
- the electron beam was irradiated (pattern exposure process).
- UV lamp, light source output: 0.78 mW / h, trade name: SLUV-6, manufactured by ASONE CORPORATION Ultraviolet rays having a wavelength of 365 nm were irradiated on the entire surface of the resist material film immediately after pattern exposure for 10 minutes (collective exposure step).
- the resist material film after the batch exposure was heated in a nitrogen stream at 110 ° C. for 60 seconds (baking process).
- the resist material film after the baking process was contacted with 2.38% by mass of tetramethylammonium hydroxide (TMAH) at 25 ° C. for 60 seconds to develop the resist pattern (developing process).
- TMAH tetramethylammonium hydroxide
- Example 4 A resist pattern was obtained in the same manner as in Example 3 except that the batch exposure process was performed as follows.
- the substrate after the pattern exposure process is taken out into the atmosphere where the amount of amine, which is a basic substance, is not controlled for one minute, and is exposed to an exposure apparatus (LED light source, light source output: 0.72 mW / h, product name) in a dry nitrogen environment.
- LED light source, light source output: 0.72 mW / h, product name an exposure apparatus
- UV light having a wavelength of 365 nm was irradiated on the entire surface of the resist material film after pattern exposure for 15 minutes using 3D limelite (manufactured by Nitride Nitride Semiconductor Co., Ltd.) (collective exposure process).
- Example 5 A resist pattern was obtained in the same manner as in Example 3 except that the batch exposure process was performed as follows.
- the substrate after the pattern exposure step was temporarily taken out in the air where the amount of amine, which is a basic substance, was not controlled, and stored in a dry nitrogen atmosphere for 10 minutes. Thereafter, an ultraviolet ray having a wavelength of 365 nm using an exposure apparatus (LED light source, light source output: 0.72 mW / h, trade name: 3D limelight, manufactured by Nitride Nitride Semiconductor Co., Ltd.) under a dry nitrogen environment. was irradiated to the entire surface of the resist material film after storage for 15 minutes (collective exposure step).
- LED light source, light source output: 0.72 mW / h, trade name: 3D limelight manufactured by Nitride Nitride Semiconductor Co., Ltd.
- FIG. 10 is an SEM image of the resist pattern obtained in Comparative Example 2 (storage time 10 minutes). From FIG. 10, it can be seen that in Comparative Example 2 (storage time 10 minutes), there is a portion where the resist pattern for contact holes is not formed, and there are many that have a diameter of less than 100 nm.
- FIG. 11 is an SEM image of the resist pattern obtained in Example 3. From FIG. 11, it can be seen that a contact hole resist pattern having a diameter of 98 nm to 102 nm is regularly formed as a whole while maintaining a pitch of 200 nm.
- the exposure amount (sensitivity E size ) when the residual film ratio of the resist material film for forming a resist pattern for a contact hole with a diameter of 75 nm becomes 0 (zero) is the same as in Example 3 and Comparative Example 2, respectively. 60.0 ⁇ C / cm 2 and 112.5 ⁇ C / cm 2 . It was confirmed that the sensitivity was improved by the batch exposure while maintaining the resolution.
- Examples 3 to 4 and Comparative Example 2 a portion formed as a 75 nm line / 75 nm space line at a pitch of 150 nm was subjected to a high resolution scanning electron microscope (SEM, trade name: NVision 40D, As a result, in each of Examples 3 to 4 and Comparative Example 2, a line resist pattern having a pitch of 150 nm and a width of 74 to 76 nm was regularly formed.
- the line edge roughness (LER) of Examples 3 to 4 and Comparative Example 2 were 11.0 nm, 10.8 nm, and 11.3 nm, respectively.
- the exposure amount (sensitivity E size ) when the residual film ratio of the resist material film for forming a resist pattern for a line having a width of 50 nm becomes 0 (zero) is shown in Examples 3 to 4 and Comparative Example 2 ( The storage time was 67.5 ⁇ C / cm 2 , 87.5 ⁇ C / cm 2 and 97.5 ⁇ C / cm 2 , respectively. Therefore, it was confirmed that the sensitivity was improved in Examples 3 and 4 while maintaining the resolution in each of the contact hole and line and space patterns as compared with Comparative Example 2.
- the light source output in the batch exposure of Example 3 is 0.78 mW / h, and the exposure time is 10 minutes. Further, the light source output in the batch exposure of Example 4 is 0.72 mW / h, and the exposure time is 15 minutes.
- the UV lamp of the embodiment is a black light and is an ultraviolet ray having a distribution over a wide wavelength range. The UV lamp emits ultraviolet rays in a wide range from about 320 nm to about 400 nm with a wavelength of 365 nm as the center. As a result, higher sensitivity is achieved compared to a single wavelength LED with acid generation of 365 nm due to absorption into the photosensitizer by a short wavelength component.
- Example 5 the exposure amount (sensitivity E size ) when the residual film rate of the resist material film for forming a resist pattern for a line having a width of 50 nm is 0 (zero) is 150 ⁇ C / cm 2 , The LER was 14 nm. The resolution and sensitivity were lower than in Example 4 where storage was not performed.
- trioctylamine manufactured by Sigma-Aldrich
- quencher a first scavenger
- the prepared resist material was spin-coated at a rotation speed of 1200 rpm for 60 seconds on a silicon substrate that had been surface-treated with hexamethyldisilazane (HMDS) in advance using a spin coater (manufactured by Mikasa Corporation). After the spin coating, the coating film was heated at 110 ° C. for 60 seconds to form a resist material film on the silicon substrate (film forming process). The thickness of the resist material film was measured using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.), and the thickness was 41 nm.
- AFM atomic force microscope
- the substrate is provided with a resist material film, and the irradiation current is 30 pA and the acceleration voltage is 30 kV.
- the electron beam was irradiated (pattern exposure process).
- the substrate on which the resist material film after pattern exposure was formed was temporarily taken out into the atmosphere where the amount of amine, which is a basic substance, was not controlled, and then stored in a dry nitrogen atmosphere for 0 to 30 minutes.
- the resist material film after storage was taken out into the atmosphere and heated in a nitrogen stream at 110 ° C. for 60 seconds (baking step).
- the resist material film after the baking process was contacted with 2.38% by mass of tetramethylammonium hydroxide (TMAH) at 25 ° C. for 60 seconds to develop the resist pattern (developing process).
- TMAH tetramethylammonium hydroxide
- Example 6 An irradiation current of 30 pA was applied to the resist material film formed on the substrate in Comparative Example 3 in a vacuum using a patterning apparatus (beam blanker mounting, raster scan method, trade name: JSM-6500F, manufactured by JEOL Ltd.). Then, an electron beam with an acceleration voltage of 30 kV was irradiated (pattern exposure step).
- a patterning apparatus beam blanker mounting, raster scan method, trade name: JSM-6500F, manufactured by JEOL Ltd.
- the resist material film after the batch exposure was heated in a nitrogen stream at 110 ° C. for 60 seconds (baking process).
- the resist material film after the baking process was contacted with 2.38% by mass of tetramethylammonium hydroxide (TMAH) at 25 ° C. for 60 seconds to develop the resist pattern (developing process).
- TMAH tetramethylammonium hydroxide
- Example 6 and Comparative Example 3- a portion developed for a contact hole having a diameter of 50 nm and a pitch of 150 nm was subjected to a high resolution scanning electron microscope (SEM, trade name: NVision 40D, Carl Zeiss).
- SEM high resolution scanning electron microscope
- the resist pattern for contact holes having a diameter of 48 to 55 nm was regularly formed in Example 6 and Comparative Example 3.
- the exposure amount (sensitivity E size (E 50 nm )) when the residual film ratio of the resist material film for forming the contact hole resist pattern with a diameter of 50 nm is 0 (zero) is the same as in Example 6 and Comparative Example. in 3, respectively, it was 129.0 ⁇ C / cm 2 and 150.0 ⁇ C / cm 2. It was confirmed that the sensitivity was improved by the batch exposure while maintaining the resolution.
- Example 6 and Comparative Example 3- a portion formed as a 50 nm line / 50 nm space line at a pitch of 100 nm was subjected to a high resolution scanning electron microscope (SEM, trade name: NVision). 40D, manufactured by Carl Zeiss), in Example 6 and Comparative Example 3, the pitch of 100 nm was maintained, and a 49-52 nm line resist pattern was regularly formed.
- the line edge roughness (LER) of Example 6 and Comparative Example 3 was 9.8 nm and 12.8 nm, respectively.
- Example 7 (1) 600 mg of the above methyl methacrylate polymer compound Q as a base component is dissolved in 45 mL of cyclohexanone, and (c) an iodonium salt compound as a photoacid generator (trade name: DPI-PFBS, manufactured by Midori Chemical Co., Ltd.) 58.0 mg (0.1 mol with respect to 1 mol of the polymer compound), (b) 57.7 mg (0.1 mol with respect to 1 mol of the polymer compound) of a dimethoxybenzhydrol derivative as a photosensitizer precursor.
- an iodonium salt compound as a photoacid generator (trade name: DPI-PFBS, manufactured by Midori Chemical Co., Ltd.) 58.0 mg (0.1 mol with respect to 1 mol of the polymer compound)
- b 57.7 mg (0.1 mol with respect to 1 mol of the polymer compound) of a dimethoxybenzhydrol derivative as a photosensitizer precursor.
- trioctylamine manufactured by Sigma-Aldrich
- quencher a first scavenger
- the prepared resist material was spin-coated at a rotation speed of 1200 rpm for 60 seconds on a silicon substrate that had been surface-treated with hexamethyldisilazane (HMDS) in advance using a spin coater (manufactured by Mikasa Corporation). After the spin coating, the coating film was heated at 110 ° C. for 60 seconds to form a resist material film on the silicon substrate (film forming process). The thickness of the resist material film was measured using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.), and the thickness was 44 nm.
- AFM atomic force microscope
- the substrate is provided with a resist material film, and the irradiation current is 30 pA and the acceleration voltage is 30 kV.
- the electron beam was irradiated (pattern exposure process).
- an exposure apparatus UV lamp, 0.78 mW / h, trade name: SLUV-6, manufactured by ASONE CORPORATION
- a wavelength of 365 nm The entire surface of the resist material film immediately after pattern exposure was irradiated for 10 minutes (collective exposure process).
- the resist material film after the batch exposure was taken out into the atmosphere and heated in a nitrogen stream at 110 ° C. for 60 seconds (baking step).
- the resist material film after the baking process was contacted with 2.38% by mass of tetramethylammonium hydroxide (TMAH) at 25 ° C. for 60 seconds to develop the resist pattern (developing process).
- TMAH tetramethylammonium hydroxide
- Example 8 A resist pattern was obtained in the same manner as in Example 7 except that in the batch exposure, ultraviolet rays were irradiated for 5 minutes.
- Comparative Example 5 After the pattern exposure step and before the baking step, a resist pattern was obtained in the same manner as in Comparative Example 4 except that it was temporarily taken out into the air and then held for 3 minutes in a dry nitrogen atmosphere.
- Example 7 and Comparative Example 4 a portion developed for a contact hole having a diameter of 100 nm and a pitch of 200 nm was subjected to a high resolution scanning electron microscope (SEM, trade name: NVision 40D, manufactured by Carl Zeiss).
- SEM high resolution scanning electron microscope
- a resist pattern for contact holes having a diameter of 99 to 102 nm was regularly formed while maintaining a pitch of 200 nm.
- the exposure amount (sensitivity E size ) when the residual film ratio of the resist material film for forming the contact hole resist pattern with a diameter of 100 nm becomes 0 (zero) is the same as that in Example 7 and Comparative Example 4, respectively. was 6.0 ⁇ C / cm 2 and 45.0 ⁇ C / cm 2. It was confirmed by the batch exposure that the sensitivity was improved 7 times while maintaining the resolution.
- Example 7, Example 8, and Comparative Example 4 a portion formed as a line of 100 nm line / 100 nm space at a pitch of 200 nm was subjected to an atomic force microscope (AFM, trade name: NanoNavi II).
- AFM atomic force microscope
- the pitch of 100 nm was maintained and the resist patterns for lines of 101 to 102 nm were regularly formed. It was.
- the line edge roughness (LER) of Example 7, Example 8, and Comparative Example 4 was 9.8 nm, 10.1 nm, and 10.3 nm, respectively.
- the exposure amount (sensitivity E size ) when the remaining film ratio of the resist material film for forming a resist pattern for a line having a width of 100 nm is 0 (zero) is the values of Example 7, Example 8, and Comparative Example. 4 were 6.0 ⁇ C / cm 2 , 9.0 ⁇ C / cm 2, and 42.0 ⁇ C / cm 2 , respectively. Therefore, it was confirmed that, in any of the contact hole and line and space patterns, the sensitivity was improved in Example 7 and Example 8 while maintaining the resolution as compared with Comparative Example 4.
- Comparative Example 5 the exposure amount (sensitivity E size ) when the residual film ratio of the resist material film for forming a resist pattern for a line having a width of 100 nm is 0 (zero) is 52.5 ⁇ C / cm. 2 and the LER was 13.3 nm. Compared to Comparative Example 4, in Comparative Example 5, the sensitivity and resolution were reduced due to the storage step.
- Example 9 (1) 50 parts by mass of a polyhydroxystyrene (PHS) polymer compound as a base component is dissolved in cyclohexanone, and (c) 5 parts by mass of a sulfonium salt compound as a photoacid generator is added to the above solution.
- a resist material was prepared by adding 5 parts by weight of dimethoxybis (4-methoxyphenyl) methane and (3) 1 part by weight of a first scavenger (quencher) as a sensitizer precursor. Dimethoxybis (4-methoxyphenyl) methane forms a ketone (p-dimethoxybenzophenone) which is a photosensitizer in the following deprotection reaction after pattern exposure.
- a lower layer film having a thickness of 61 nm was formed on a silicon substrate which had been surface-treated with an SO 2 polymer (TPU-2014) described in advance in Microelectronic Engineering No. 122, 70-76 (2014).
- the prepared resist material was spin-coated at a rotation speed of 1200 rpm for 60 seconds using a spin coater (manufactured by Mikasa Corporation). After spin coating, the coating film was heated in a nitrogen stream at 130 ° C. for 60 seconds to form a resist material film on the silicon substrate (film forming step).
- the thickness of the resist material film was measured using an atomic force microscope (AFM, trade name: NanoNavi II SPA-300HV, manufactured by Hitachi High-Tech Science Co., Ltd.). As a result, the thickness was 50.3 nm.
- the substrate on which the resist material film is formed is irradiated with an electron beam with an irradiation current of 50 pA and an accelerating voltage of 125 kV in a vacuum using a patterning device (vector scan method, trade name: ELS-100T, manufactured by Elionix Co., Ltd.). (Pattern exposure step). Subsequently, using an exposure apparatus (LED light source, light source output: 41 mW / h, trade name: LHPUV365 / 2501, manufactured by Iwasaki Electric Co., Ltd.) in the atmosphere, ultraviolet light having a wavelength of 365 nm is applied to the resist immediately after pattern exposure. The entire surface of the material film was irradiated in a nitrogen stream (collective exposure process). In the batch exposure, the exposure amount was changed to 2.4, 4.8, and 7.2 J / cm 2 to obtain respective resist material films for each condition.
- a patterning device vector scan method, trade name: ELS-100T, manufactured by Elionix Co., Ltd.
- Each resist material film after batch exposure was heated in a nitrogen stream at 110 ° C. for 60 seconds (baking step).
- Each resist material film after the baking process was contacted with 2.38 mass% tetramethylammonium hydroxide (TMAH) at 24 ° C. for 60 seconds, developed, and rinsed with ultrapure water to obtain a resist pattern ( Development process).
- TMAH tetramethylammonium hydroxide
- Example 10 (B) The addition amount of dimethoxybis (4-methoxyphenyl) methane as a photosensitizer precursor is changed from 5 parts by mass to 10 parts by mass, and the exposure amount of the collective exposure is 1.2, 2.4, 4, 4 A resist pattern was obtained in the same manner as in Example 9 except that each resist material film was obtained at .8 J / cm 2 .
- Example 11 (B) The addition amount of dimethoxybis (4-methoxyphenyl) methane as a photosensitizer precursor is changed from 5 parts by mass to 15 parts by mass, and the exposure amount of the collective exposure is 1.2, 2.4, 4, 4 A resist pattern was obtained in the same manner as in Example 9 except that each resist material film was obtained at .8 J / cm 2 .
- Example 12 (B) The addition amount of dimethoxybis (4-methoxyphenyl) methane as a photosensitizer precursor is changed from 5 parts by mass to 20 parts by mass, and the exposure amount for batch exposure is 1.2, 2.4, 3 A resist pattern was obtained in the same manner as in Example 9 except that each resist material film was obtained at .6 J / cm 2 .
- Comparative Examples 6 to 9 Resist patterns of Comparative Examples 6 to 9 were obtained in the same manner as Examples 9 to 12, respectively, except that the batch exposure was not performed.
- Comparative Example 10 (B) A resist pattern of Comparative Example 10 was obtained in the same manner as in Example 9 except that a resist material was prepared without adding a photosensitizer precursor and batch exposure was not performed.
- FIG. 12 plots the dose of sensitivity (E 0 ) in pattern exposure in each example and comparative example as the vertical axis, and the exposure amount of collective exposure in each example and comparative example as the horizontal axis. It is the graph which showed. From FIG. 12, it can be seen that in Comparative Examples 6 to 10 where batch exposure is not performed, the value of sensitivity E 0 (exposure amount of pattern exposure necessary for the remaining film ratio to be 0 (zero)) is large. In contrast, in Examples 9 to 12 in which the batch exposure was performed, it can be seen that the value of the sensitivity E 0 decreases as the exposure amount of the batch exposure increases.
- FIG. 13 (a) is an SEM image of the resist pattern obtained in Comparative Example 6
- FIG. 13 (b) is the resist pattern obtained in Example 9 with the collective exposure dose of 7.2 J / cm 2 . It is a SEM image.
- a contact hole resist pattern having a diameter sufficiently close to 30 nm was regularly formed while maintaining a pitch of 60 nm.
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Organic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Medicinal Chemistry (AREA)
- Polymers & Plastics (AREA)
- Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Materials For Photolithography (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
- Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
- Preventing Corrosion Or Incrustation Of Metals (AREA)
Abstract
Description
上記(2)成分は、下記(a)成分である、下記(a)~(c)成分中の任意の2つの成分を含有する、又は、下記(a)~(c)成分のすべてを含有する。
(a)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸と、200nmを超える波長を有する非電離放射線を吸収する光増感剤とを発生する酸-光増感剤発生剤
(b)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、200nmを超える波長を有する非電離放射線を吸収する光増感剤となる光増感剤前駆体
(c)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸を発生する光酸発生剤
本実施形態に係る光増感化学増幅型レジスト材料は二段露光リソグラフィプロセスにおいて、感光性樹脂組成物として使用されるものである。二段露光リソグラフィプロセスは、パターン露光工程と、一括露光工程と、ベーク工程と、現像工程とを備える。
本実施形態に係る光増感化学増幅型レジスト材料(以下、場合により単に「レジスト材料」という。)は、(1)ベース成分と、(2)露光により光増感剤及び酸を発生する成分とを含む。
本実施形態において、上記(1)ベース成分は有機化合物であってもよく、無機化合物であってもよい。また、有機化合物は高分子化合物であってもよく、低分子化合物であってもよい。ベース成分は、パターン露光における第一の放射線を過度に吸収せず、十分垂直性が高い形状のレジストパターンの形成を実現できるものであることが望ましい。また、ベース成分では、一括露光における第二の放射線の吸収が低く、一括露光時に未露光部で不要な増感反応の誘発が起こりにくいものであることが望ましい。
上記成分は、露光(放射線照射)により光増感剤と酸を発生する成分である。上記成分は、(a)酸-光増感剤発生剤、(b)光増感剤前駆体、及び、(c)光酸発生剤の3つの成分のうち、(a)成分のみを含有する、又は任意の2つの成分を含有する、或いは、(a)~(c)成分のすべてを含有する。すなわち、レジスト材料中で、上記(2)成分は上記(1)ベース成分とブレンドされている。
酸-光増感剤発生剤は、電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線の照射によって、酸と、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線とを吸収する光増感剤と、を同時に発生するものである。また、上記光増感剤が吸収する非電離放射線は、上記酸-光増感剤発生剤が吸収する波長よりも長い波長を有することが好ましい。上記酸-光増感剤発生剤は、一括露光の波長の非電離放射線の吸収が十分小さく、酸を直接発生しないものであることが好ましい。
光増感剤前駆体は、電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線の照射によって、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線を吸収する光増感剤となるものであり、上記(a)成分とは異なるものである。また、上記光増感剤が吸収する非電離放射線は、上記光増感剤前駆体が吸収する波長よりも長い波長を有することが好ましい。本実施形態に係るパターン形成方法では、パターン露光工程で、光増感剤前駆体の化学構造が直接的或いは間接的な反応で変換し、一括露光工程で酸発生を補助する光増感剤を生成する。吸収される非電離放射線の波長のピークが、パターン露光工程前後でシフトすることにより、光増感剤が発生した露光部と、未露光部との間で、一括露光工程における非電離放射線の吸収のコントラストが得られやすくなる。更に、上記吸収波長のピークシフトが大きい場合、一括露光工程における非電離放射線の吸収のコントラストがより大きくなる。
光酸発生剤は、電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線の照射によって、酸を発生するものであり、上記(a)とは異なるものである。上記光酸発生剤はカチオンとアニオンとの塩であることが好ましい。上記光酸発生剤は、一括露光の波長の放射線の吸収が十分小さく、一括露光時の放射線に対して酸が直接発生しないことが望ましい。このことにより、レジスト材料膜中で、一括露光時には、パターン露光部だけで光増感反応により酸が発生することができる。
レジスト材料は、上述の(1)ベース成分及び(2)成分の他に、(3)第一の捕捉剤、(4)第二の捕捉剤、(5)架橋剤、(6)添加剤、及び(7)溶剤などを適宜含んでもよい。
第一の捕捉剤は酸とカチオンを捕捉するものであり、クエンチャーとして機能するものである。レジスト材料が上記第一の捕捉剤を含むことにより、レジスト材料中で発生した酸を中和して、パターン露光部パターン未露光部間の酸の潜像の化学コントラストを上げることができる。上記(a)成分がケタール化合物基若しくはアセタール化合物基を有する、又は、上記(b)成分がケタール化合物若しくはアセタール化合物を含む場合、常温での酸触媒反応で光増感剤が生成する。レジスト材料が上記第一の捕捉剤を含むことにより、光増感剤発生反応の触媒として働く酸を捕捉して、アセタール化合物等からの光増感剤の生成のコントラストも上げることができる。また、パターン露光工程で発生するカチオン中間体を経て光増感する反応機構で光増感剤が発生する場合には、カチオン中間体を捕捉することで、一括露光時により選択的にパターン露光部だけで酸の増殖を行い、酸の潜像の化学コントラストをより改善するという効果も得られる。第一の捕捉剤は、光反応性を有する捕捉剤と光反応性を有しない捕捉剤とに分けることができる。
第二の捕捉剤は遊離ラジカルを捕捉するものであり、遊離ラジカルスカベンジャーとして機能するものである。レジスト材料が上記第二の捕捉剤を含むことにより、レジスト材料中のラジカルによる反応を経由した光増感剤の発生がパターン露光量の少ないところでより小さく抑えられ、光増感剤の潜像のコントラストを更に上げるという効果が得られる。その結果、一括露光を行った後のパターン露光部と未露光部との間の酸の潜像のコントラストがより大きくなるという効果が得られる。
架橋剤は、一括露光後のベーク工程中に酸触媒反応により、ベース成分間で架橋反応を引き起こし、ベース成分の分子量を増加させ、現像液に対して不溶化するためのものであり、上記(1)ベース成分とは異なるものである。レジスト材料が架橋剤を含むことにより、架橋と同時に極性部位が非極性化し、現像液に対して不溶化するため、ネガ型レジスト材料を提供することができる。
添加剤としては、界面活性剤、酸化防止剤、溶解抑制剤、可塑剤、安定剤、着色剤、ハレーション防止剤及び染料等が挙げられる。界面活性剤、酸化防止剤、溶解抑制剤、可塑剤、安定剤、着色剤、ハレーション防止剤及び染料には公知の材料を選択することができる。界面活性剤としては、具体的には、イオン性や非イオン性のフッ素系界面活性剤及び/又はシリコン系界面活性剤等を用いることができる。酸化防止剤としては、具体的には、フェノール系酸化防止剤、有機酸誘導体からなる酸化防止剤、硫黄含有酸化防止剤、リン系酸化防止剤、アミン系酸化防止剤、アミン-アルデヒド縮合物からなる酸化防止剤、及びアミン-ケトン縮合物からなる酸化防止剤等が挙げられる。
溶剤は、レジスト材料の組成物を溶解し、スピンコーティング法等での塗布機によるレジスト材料膜の形成を容易とするためのものである。なお、上記(b)成分等に包含される化合物は、溶剤からは除くものとする。溶剤としては、具体的には、シクロヘキサノン、及びメチル-2-アミルケトン等のケトン類;3-メトキシブタノール、3-メチル-3-メトキシブタノール、1-メトキシ-2-プロパノール、及び1-エトキシ-2-プロパノール等のアルコール類;プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、及びジエチレングリコールジメチルエーテル等のエーテル類;並びに、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、酢酸tert-ブチル、プロピオン酸tert-ブチル、プロピレングリコールモノメチルエーテルアセテート、及びプロピレングリコールモノtert-ブチルエーテルアセテート等のエステル類が挙げられる。
レジスト材料は、上記成分を含む感光性樹脂組成物である。レジスト材料を調製するに際しては、レジスト材料の用途、使用条件等において各成分の配合比率を適宜設定すればよい。
本実施形態に係る光増感化学増幅型レジスト材料(以下、場合により単に「レジスト材料」という。)は、ベーク工程後、パターン露光された部分が現像液に可溶又は不溶となる(1’)ベース成分を含む。
上記(1’)ベース成分は有機化合物であってもよく、無機化合物であってもよい。また、有機化合物は高分子化合物であってもよく、低分子化合物であってもよい。上記(1’)ベース成分は、(d)酸-光増感剤発生基、(e)前駆体基、及び(f)光酸発生基の3つの基のうち、上記(d)で示される基のみを有する、又は任意の2つの基を有する、或いは、下記(d)~(f)で示される基すべてを有する。すなわち、本実施形態において、上記ベース成分は、下記(d)~(f)で示される基がバウンドされている有機化合物又は無機化合物である。上記ベース成分は下記(d)~(f)で示される基を1分子(又は1粒子)中に有していてもよく、複数の分子(又は粒子)中にそれぞれ有していてもよい。
酸-光増感剤発生基は、電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線の照射によって、酸と、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線を吸収する光増感剤とを発生する基である。また、上記光増感剤が吸収する非電離放射線は、上記酸-光増感剤発生基が吸収する波長よりも長い波長を有することが好ましい。
前駆体基は、電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線の照射によって、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線を吸収する光増感剤の機能を有する基となるものであり、上記(d)で示される基とは異なるものである。本実施形態のパターン形成方法では、パターン露光工程で、前駆体基の構造が直接的或いは間接的な反応で変換し、一括露光工程で酸発生を補助する光増感剤の機能を有する基となる。特に前駆体基が高分子化合物にバウンドされる場合、上記光増感剤の機能を有する基は高分子化合物に固定されているため、パターン露光部からの拡散が抑制され、一括露光を行った後のパターン露光部と未露光部との間の酸の潜像のコントラストがより大きくなるという効果が得られる。
光酸発生基は、電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線の照射によって、酸を発生する基であり、上記(d)で示される基とは異なるものである。
レジスト材料は、上述の(1’)ベース成分の他に、第1の実施形態に記載した(2)成分、(3)第一の捕捉剤、(4)第二の捕捉剤、(5)架橋剤、(6)添加剤、及び(7)溶剤などを適宜含んでもよい。
A.(1)ベース成分と(2)成分とをブレンドした組成物。
B.(d)で示される基がバウンドされた、(d)~(f)で示される基中の任意の2つの基がバウンドされた、又は、下記(d)~(f)で示される基すべてがバウンドされた(1’)第1のベース成分を含む組成物。
C.(e)で示される基がバウンドされた(1’)第1のベース成分と、(f)で示される基がバウンドされた(1’)第2のベース成分とをブレンドした組成物。
D.(e)で示される基がバウンドされた(1’)ベース成分と、(2)成分としての(c)成分とをブレンドした組成物。
E.(f)で示される基がバウンドされた(1’)ベース成分と、(2)成分としての(b)成分とをブレンドした組成物。
上記レジスト材料は二段露光リソグラフィプロセスに好適に使用される。すなわち、本実施形態に係るリソグラフィプロセス(パターン形成方法)は、上記レジスト材料を使用して形成されたレジスト材料膜を基板上に形成する膜形成工程と、上記レジスト材料膜にマスクを介して電離放射線又は400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線を照射するパターン露光工程と、上記パターン露光工程後のレジスト材料膜に、上記パターン露光工程における非電離放射線の波長よりも長く、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線を照射する一括露光工程と、上記一括露光工程後のレジスト材料膜を加熱するベーク工程と、上記ベーク工程後のレジスト材料膜を現像液に接触させる工程と、を備える。
工程S1:加工対象の基板を準備する工程。
工程S2:下層膜及びレジスト材料膜を形成する工程(膜形成工程)。
工程S3:パターン露光により、露光部に酸を発生させる工程(パターン露光工程)。
工程S4:一括露光により、パターン露光部のみに酸を増殖させる工程(一括露光工程)。
工程S5:露光後ベークにより、パターン露光部に酸触媒による極性変化反応を生じさせる工程(ベーク工程)。
工程S6:現像処理によってレジストパターンを形成する工程(現像工程)。
工程S7:エッチングによってパターンを転写する工程。
以下の工程において加工対象となる基板(被加工基板)は、シリコン基板、二酸化シリコン基板、ガラス基板、及びITO基板等の半導体ウエハから構成されたものであってもよく、上記半導体ウエハ上に絶縁膜層が形成されたものであってもよい。
パターン露光工程S3では、上記膜形成工程S2で形成されたレジスト材料膜上に、所定のパターンの遮光マスクを配置される。その後、上記レジスト材料膜に、投影レンズ、電子光学系ミラー、又は反射ミラーを有する露光装置(放射線照射モジュール)から、上記マスクを介して、電離放射線、又は、400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線が照射(パターン露光)される。パターン露光の光源としては、例えば、1keVから200keVの電子線、13.5nmの波長を有する極紫外線(EUV)、193nmのエキシマレーザー光(ArFエキシマレーザー光)、248nmのエキシマレーザー光(KrFエキシマレーザー光)が用いられることが多い。パターン露光における露光量は本実施形態の光増感化学増幅型レジストを用いて一括露光する場合よりも少ない露光量でよい。上記パターン露光により、レジスト材料膜中の上記(a)~(c)成分又は(d)~(f)で示される基が分解して、酸、及び、200nmを超える波長を有する非電離放射線を吸収する光増感剤を発生する。
一括露光工程S4では、上記パターン露光工程S3後のレジスト材料膜全面(パターン露光された部分とパターン露光されていない部分とを併せた全面)に、投影レンズ(又は光源)を有する高感度化モジュール(露光装置又は放射線照射モジュールということもある)から、上記パターン露光における非電離放射線より長く、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線が照射(一括露光)される。一括露光における露光量はウエハ全面を一度に露光してもよく、局所的な露光を組み合わせたものでもよく、又は重ね合わせて露光してもよい。一括露光用の光源には、一般的な光源を用いることができ、バンドパスフィルターやカットオフフィルターを通すことで、所望とする波長に制御した水銀ランプ及びキセノンランプ等からの紫外線の他、LED光源、レーザーダイオード、及びレーザー光源等による帯域の狭い紫外線であってもよい。上記一括露光では、レジスト材料膜中のパターン露光された部分で発生した光増感剤のみが放射線を吸収する。このため、一括露光では、パターン露光された部分において選択的に放射線の吸収が起こる。よって、一括露光中、パターン露光された部分においてのみ、酸を継続的に発生させることができ、感度を大きく向上させることが可能となる。一方、パターン露光されていない部分には酸が発生しないことから、レジスト材料膜中の化学コントラストを維持しつつ感度を向上させることができる。一括露光工程では、パターン未露光部での酸発生反応を抑えるために、ベース成分、光酸発生剤、光増感剤前駆体が吸収可能な放射線の波長よりも長い波長を有する放射線で露光する必要がある。これらを考慮すると、一括露光における非電離放射線の波長は、280nm以上であることがより好ましく、320nm以上であることがさらに好ましい。より長い波長の放射線を吸収可能な光増感剤を発生する場合には、上記非電離放射線の波長は350nm以上であってもよい。ただし、上記非電離放射線の波長が長すぎる場合は、光増感反応の効率が落ちるため、ベース成分、光酸発生剤、光増感剤前駆体が吸収可能な放射線の波長を避けつつも、光増感剤が吸収可能なできるだけ短い波長の非電離放射線を用いることが望ましい。このような観点から、上記非電離放射線の波長は、具体的には、450nmであることが好ましく、400nm以下であることがより好ましい。
ベーク工程S5では、上記一括露光工程S4後のレジスト材料膜が加熱(以下、ポストフラッドエクスポージャベーク(PFEB)、又は単に、ポストエスポージャーベーク(PEB)ということもある)される。なお、本実施形態のパターン形成方法が、上記パターン露光後、上記一括露光前にベーク工程S3aを備える場合、上記ベーク工程S3aを1stPEB工程、上記ベーク工程S5を2ndPEB工程ということがある(図5参照)。加熱は、例えば、大気中、窒素及びアルゴン等の不活性ガス雰囲気下で、50~200℃、10~300秒間行うことができる。加熱条件を上記範囲とすることにより、酸の拡散を制御でき、また、半導体ウエハの処理速度を確保できる傾向がある。ベーク工程S5では、上記パターン露光工程S3及び一括露光工程S4で発生した酸により、(1)ベース成分、又は(1’)ベース成分の脱保護反応等の極性変化反応及び架橋反応等が起こる。また、レジスト材料膜内で放射線の定在波の影響によって、レジスト側壁が波打つことがあるが、ベーク工程S5では反応物の拡散により上記波打ちを低減できる。
現像工程S6では、上記ベーク工程S5後のレジスト材料膜を現像液に接触させる。上記ベーク工程S5におけるレジスト材料膜内の反応により、パターン露光部で選択的に現像液への溶解性が変わることを利用して現像し、レジストパターンが形成される。現像液はポジ型現像液とネガ型現像液とに分けることができる。
工程S7では、上記現像工程S6後のレジストパターンをマスクとして下地である基板がエッチング又はイオン注入されることによってパターンが形成される。エッチングは、プラズマ励起等の雰囲気下でのドライエッチングであってもよく、薬液中に浸漬するウェットエッチングであってもよい。基板にパターンが形成された後、レジストパターンが除去される。
以下、本実施形態に係るリソグラフィプロセスにおいて起こる反応のメカニズムについて説明する。
パターン露光工程S3では、レジスト材料膜に、電離放射線、又は、400nm以下、好ましくは250nm以下、より好ましくは200nm以下の波長を有する非電離放射線が照射(パターン露光)される。レジスト材料膜中に電離放射線を照射した場合に想定される反応例を第二の反応体系を中心に以下に示す。ただし、想定される反応は以下に記載する反応に限定されない。
一括露光工程S4では、レジスト材料膜に、上記パターン露光における非電離放射線より長く、200nmを超える、好ましくは250nmを超える波長を有する非電離放射線が照射(一括露光)される。光増感剤前駆体は、パターン露光時には、パターン露光のエネルギーの吸収が十分小さい必要があるが、パターン露光のエネルギーで化学構造変換が起こり、光増感剤を発生する。上記化学構造変換により紫外線領域で、光吸収のスペクトルが変化し長波長側に吸収を持つようになる。アルコール化合物(又はケタール化合物)がケトン化合物に変わる化学変化が一例である。したがって、例えば、アルコールからケトンに構造変換を起こした際に、大きく光吸収シフトを起こす材料を選択することが望ましい。以下に一括露光工程S4における反応を示す。以下では(b)成分及び(c)成分を例に挙げて説明するが、(a)成分及び(d)~(f)で示される基においても同様の反応が起こる。つまり、第一~第三の反応体系に共通して起こる光増感による酸の発生量の増幅について、まず、第二及び第三の反応体系の例を中心に示す。これらの反応は、一括露光による光増感剤の励起と、励起状態の光増感剤が引き起こす光酸発生剤の分解による酸の発生とからなる。励起状態の光増感剤が光酸発生剤を分解する反応機構は大きく、主に電子移動によるものと、励起移動によるものとに分けられる。これらの増感反応は連鎖的に起こるため、一括露光により、酸の発生量を大幅に増幅でき、レジストの感度が大きく向上する。
本実施形態に係る半導体デバイスは、上記方法によって形成されたパターンを用いて製造される。図6は、本実施形態の半導体デバイスの製造工程の一例を示した断面図である。
本実施形態に係るリソグラフィ用マスクは、上記と同様方法によって形成されたレジストパターンを用い、基板を加工して製造される。ガラス基板上の基板表面又はハードマスクを、レジストパターンを用いてエッチングし、加工して製造することが多い。ここでいうマスクは、紫外線又は電子線を用いた透過型マスクや、EUV光を用いた反射型マスク等を含む。透過型マスクでは、遮光部又は位相シフト部をレジストパターンでマスクして、エッチングで加工する。反射型のマスクでは、吸光体をレジストパターンをマスクにして、エッチングで加工する。
本実施形態に係るナノインプリント用テンプレートも、上記と同様な方法によって形成されたレジストパターンを用いて製造される。ガラス基板など基板上のガラス面又はハードマスク面でレジストパターンを形成し、エッチングで加工しナノインプリント用のテンプレートを形成する。
保護基が結合したメチルメタクリレートとして、下記GBLMAを32.19質量部(0.44モル部)、下記MAMAを23.86質量部(0.24モル部)、及び下記HAMAを21.29質量部(0.21モル部)、並びに、光酸発生基が結合したメチルメタクリレートとして、下記PBpS-F2MASを22.66質量部(0.11モル部)混合し、ラジカル重合させることにより、(1’)成分として、(f)光酸発生基を有するメチルメタクリレート系高分子化合物(高分子化合物P)を合成した。得られたメチルメタクリレート系高分子化合物の重量平均分子量Mwは24800であり、分子量分布Mw/Mnは3.08であった。なお、上記Mw及びMw/Mnはゲルパーミエーションクロマトグラフィ(GPC)により標準ポリスチレンによる検量線を用いて下記の条件にて測定したものである。
装置:HPLC(株式会社島津製作所製)
カラム:ShodexKF-805L(x) with KF-G
検出器:RID-10A,SPD-M10AVP
カラム温度:40℃
流束:1.0mL/分
溶離液:テトラヒドロフラン溶液
一括露光工程において、紫外線を10分間照射したこと以外は実施例1と同様にして、レジストパターンを得た。
一括露光を行わなかったこと以外は実施例1と同様にして、レジストパターンを得た。
実施例1、実施例2及び比較例1のパターン露光工程における露光量を0~200μC/cm2の範囲で変化させ、それぞれの露光量で2μm×100μmの長方形の形状に露光したレジスト材料膜に対して現像処理を行った後のパターン露光部の残膜率を測定した。残膜率を縦軸に、露光量を横軸にとったグラフ(感度曲線)を図8に示す。なお、残膜率は現像処理前後の基板上に残存したレジスト材料膜の厚さを原子間力顕微鏡(AFM、商品名:NanoNavi II SPA-300HV、株式会社日立ハイテクサイエンス製)を用いて、室温及び大気中で測定し、下記式に基づいて求めた。
残膜率=[(現像処理前のレジスト材料膜の厚さ)-(現像処理後のレジスト材料膜の厚さ)]/(現像処理前のレジスト材料膜の厚さ)
実施例1、実施例2及び比較例1で得られたレジストパターンにおいて、直径50nm、ピッチ150nmのコンタクトホール用に現像された部分を高分解走査電子顕微鏡(SEM、商品名:NVision 40D、Carl Zeiss社製)を用いて観察したところ、実施例1、実施例2及び比較例1のいずれにおいても、直径48~51nmのコンタクトホール用レジストパターンが規則正しく形成されていた。なお、直径50nmのコンタクトホール用レジストパターンを形成するためのレジスト材料膜の残膜率が0(ゼロ)となるときの露光量(感度Esize)は、実施例1、実施例2及び比較例1において、それぞれ、48.0μC/cm2、60.0μC/cm2及び76.0μC/cm2であった。
(1)ベース成分である下記式で表される構成単位を有するメチルメタクリレート系高分子化合物Q(重量平均分子量:16000)600mgをシクロヘキサノン45mLに溶解させ、上記溶液に(c)光酸発生剤としてヨードニウム塩化合物(商品名:DPI-PFBS、みどり化学株式会社製)を29.0mg(高分子化合物1モルに対して0.05モル)、(b)光増感剤前駆体としてジメトキシベンズヒドロール誘導体を28.83mg(高分子化合物1モルに対して0.1モル)、(3)第一の捕捉剤(クエンチャー)としてトリオクチルアミン(TOA、シグマアルドリッチ社製)を1.77mg(高分子化合物1モルに対して0.005モル)加え、レジスト材料を調製した。
パターン露光後の保管時間を0、10、20及び30分としたときの残膜率を測定し、実施例1と同様に感度(E0)を算出した。感度の対数を縦軸に、保管時間を横軸にとったグラフを図9に示す。パターン露光直後に(保管時間0分で)現像したときの感度は、40.3μC/cm2であったものが、10分窒素雰囲気下で保管したときの感度は、68.4μC/cm2、30分窒素雰囲気下で保管したときの感度は、188.5μC/cm2となり、パターン露光後の保管時間が長くなるにしたがって、感度が低下していることが確認された。
実施例1と同様にして、比較例2で得られたレジストパターンを観察したところ、いずれの保管時間においても、直径50nm、ピッチ150nmのコンタクトホール用レジストパターン及び50nmライン/100nmスペースのライン用レジストパターンが規則正しく形成されていた。なお、保管時間を長くすると、コンタクトホールの大きさが不均一となり、レジストパターンが規則正しく形成されなくなり、また、ライン用レジストパターンのラインエッジラフネスが大きくなり、ラインの途絶えが一部確認された。
(1)ベース成分である上記メチルメタクリレート系高分子化合物Q600mgをシクロヘキサノン45mLに溶解させ、上記溶液に(c)光酸発生剤としてヨードニウム塩化合物(商品名:DPI-PFBS、みどり化学株式会社製)を29.0mg(高分子化合物1モルに対して0.05モル)、(b)光増感剤前駆体としてジメトキシベンズヒドロール誘導体を28.83mg(高分子化合物1モルに対して0.1モル)、(3)第一の捕捉剤(クエンチャー)としてトリオクチルアミン(TOA、シグマアルドリッチ社製)を1.77mg(高分子化合物1モルに対して0.005モル)加え、レジスト材料を調製した。
一括露光工程を下記のとおり実施した以外は実施例3と同様にして、レジストパターンを得た。
一括露光工程を下記のとおり実施した以外は実施例3と同様にして、レジストパターンを得た。
実施例1と同様にして感度(E0)を算出したところ、一括露光を行い、保管を行わなかった実施例3~4ではそれぞれ29.5μC/cm2及び37.6μC/cm2の感度が得られたのに対し、一括露光及び保管を行わなかった比較例2では上述のとおり43.3μC/cm2の感度が得られた。一括露光によって高感度化が諮られていることがわかる。また、一括露光及び保管を行った実施例5では48.1μC/cm2の感度が得られたのに対し、一括露光を行わず、保管を行った比較例2では上述のとおり68.4μC/cm2の感度が得られた。一括露光によって高感度化が諮られていることがわかる。
実施例3及び比較例2で得られたレジストパターンにおいて、直径100nm、ピッチ200nmのコンタクトホール用に現像された部分を高分解走査電子顕微鏡(SEM、商品名:SU9000、株式会社日立ハイテクノロジーズ製)を用いて観察した。図10は比較例2(保管時間10分)で得られたレジストパターンのSEM画像である。図10からは、比較例2(保管時間10分)ではコンタクトホール用レジストパターンが形成されていない部分があり、直径が100nmに満たないものも多数存在していることがわかる。一方、図11は実施例3で得られたレジストパターンのSEM画像である。図11からは、ピッチ200nmを維持し、直径98nm~102nmのコンタクトホール用レジストパターンが全体として規則正しく形成されていることがわかる。
(1)ベース成分である上記メチルメタクリレート系高分子化合物Q600mgをシクロヘキサノン48mLに溶解させ、上記溶液に(c)光酸発生剤としてヨードニウム塩化合物(商品名:DPI-PFBS、みどり化学株式会社製)を29.0mg(高分子化合物1モルに対して0.05モル)、(b)光増感剤前駆体としてジメトキシベンズヒドロール誘導体を28.83mg(高分子化合物1モルに対して0.1モル)、(3)第一の捕捉剤(クエンチャー)としてトリオクチルアミン(TOA、シグマアルドリッチ社製)を3.54mg(高分子化合物1モルに対して0.01モル)加え、レジスト材料を調製した。
パターン露光後の保管時間を0、10、20及び30分としたときの残膜率を測定し、実施例1と同様に感度を算出した。表1に保管時間に伴う感度の変化を示す。パターン露光後の保管時間が長くなるにしたがって、感度が低下していることが確認された。
実施例1と同様にして、比較例3で得られたレジストパターンを観察したところ、いずれの保管時間においても、直径50nm、ピッチ150nmのコンタクトホール用レジストパターン及び50nmライン/100nmスペースのライン用レジストパターンは得られたが、比較例2同様、保管時間が長くなるにつれ、コンタクトホールの大きさが不均一になったほか、規則正しく得られなくなった。また、ライン形状についてもラインエッジラフネスが悪くなったほか、ラインが一部途絶えてしまうことが確認できた。
比較例3で基板上に形成したレジスト材料膜に対し、パターンニング装置(ビームブランカー装着、ラスタースキャン方式、商品名:JSM-6500F、日本電子株式会社製)を用い、真空中で、照射電流30pA、加速電圧30kVの電子線を照射した(パターン露光工程)。続いて、塩基性物質であるアミン量を制御していない大気中で、露光装置(UVランプ、0.78mW/h、商品名:SLUV-6、アズワン株式会社製)を用いて、365nmの波長を有する紫外線を、パターン露光直後のレジスト材料膜の全面に10分間照射した(一括露光工程)。
実施例1と同様にして感度(E0)を算出したところ、実施例6では50μC/cm2の感度が得られたのに対し、比較例3における10分間保管(以下、比較例3-(a)と言う)では68μC/cm2の感度が得られた。一括露光によって高感度化が諮られていることがわかる。
実施例6及び比較例3-(a)で得られたレジストパターンにおいて、直径50nm、ピッチ150nmのコンタクトホール用に現像された部分を高分解走査電子顕微鏡(SEM、商品名:NVision 40D、Carl Zeiss社製)を用いて観察したところ、実施例6及び比較例3では、ピッチ150nmを維持し、直径48~55nmのコンタクトホール用レジストパターンが規則正しく形成されていた。なお、直径50nmのコンタクトホール用レジストパターンを形成するためのレジスト材料膜の残膜率が0(ゼロ)となるときの露光量(感度Esize(E50nm))は、実施例6及び比較例3において、それぞれ、129.0μC/cm2及び150.0μC/cm2であった。一括露光により、解像度を維持した状態で感度が向上していることが確認された。
(1)ベース成分である上記メチルメタクリレート系高分子化合物Q600mgをシクロヘキサノン45mLに溶解させ、上記溶液に(c)光酸発生剤としてヨードニウム塩化合物(商品名:DPI-PFBS、みどり化学株式会社製)を58.0mg(高分子化合物1モルに対して0.1モル)、(b)光増感剤前駆体としてジメトキシベンズヒドロール誘導体を57.7mg(高分子化合物1モルに対して0.1モル)、(3)第一の捕捉剤(クエンチャー)としてトリオクチルアミン(TOA、シグマアルドリッチ社製)を3.54mg(高分子化合物1モルに対して0.01モル)加え、レジスト材料を調製した。
一括露光において、紫外線を5分間照射したこと以外は実施例7と同様にしてレジストパターンを得た。
一括露光を行わないこと以外は実施例7と同様にしてレジストパターンを得た。
パターン露光工程後、ベーク工程前に、大気中に一時取り出し、その後乾燥窒素雰囲気下で3分間保持したこと以外は、比較例4と同様にしてレジストパターンを得た。
実施例1と同様にして感度(E0)を算出したところ、実施例7及び8ではそれぞれ3μC/cm2及び4μC/cm2の感度が得られたのに対し、比較例4では24μC/cm2の感度が得られた。一括露光によって6倍以上の高感度化が諮られていることがわかる。
実施例7及び比較例4で得られたレジストパターンにおいて、直径100nm、ピッチ200nmのコンタクトホール用に現像された部分を高分解走査電子顕微鏡(SEM、商品名:NVision 40D、Carl Zeiss社製)を用いて観察したところ、実施例7及び比較例4では、ピッチ200nmを維持し、直径99~102nmのコンタクトホール用レジストパターンが規則正しく形成されていた。なお、直径100nmのコンタクトホール用レジストパターンを形成するためのレジスト材料膜の残膜率が0(ゼロ)となるときの露光量(感度Esize)は、実施例7及び比較例4において、それぞれ、6.0μC/cm2及び45.0μC/cm2であった。一括露光により、解像度を維持した状態で感度が7倍に向上していることが確認された。
(1)ベース成分であるポリヒドリキシスチレン(PHS)系高分子化合物50質量部をシクロヘキサノンに溶解させ、上記溶液に(c)光酸発生剤としてスルホニウム塩化合物5質量部、(b)光増感剤前駆体としてジメトキシビス(4-メトキシフェニル)メタンを5質量部、(3)第一の捕捉剤(クエンチャー)1重量部を加え、レジスト材料を調製した。ジメトキシビス(4-メトキシフェニル)メタンは、パターン露光後の下記脱保護反応で光増感剤であるケトン(p-ジメトキシベンゾフェノン)を生成する。
(b)光増感剤前駆体としてのジメトキシビス(4-メトキシフェニル)メタンの添加量を5質量部から10質量部に変更し、一括露光の露光量を1.2、2.4、4.8J/cm2として各レジスト材料膜を得たこと以外は実施例9と同様にして、レジストパターンを得た。
(b)光増感剤前駆体としてのジメトキシビス(4-メトキシフェニル)メタンの添加量を5質量部から15質量部に変更し、一括露光の露光量を1.2、2.4、4.8J/cm2として各レジスト材料膜を得たこと以外は実施例9と同様にして、レジストパターンを得た。
(b)光増感剤前駆体としてのジメトキシビス(4-メトキシフェニル)メタンの添加量を5質量部から20質量部に変更し、一括露光の露光量を1.2、2.4、3.6J/cm2として各レジスト材料膜を得たこと以外は実施例9と同様にして、レジストパターンを得た。
一括露光を行わないこと以外は、それぞれ実施例9~12と同様にして、比較例6~9のレジストパターンを得た。
(b)光増感剤前駆体を添加せずにレジスト材料を調製し、一括露光を行わないこと以外は、実施例9と同様にして、比較例10のレジストパターンを得た。
実施例1と同様にして実施例9~12及び比較例6~10の感度(E0)を算出した。図12は各実施例及び比較例でのパターン露光での感度(E0)の線量を縦軸とし、各実施例及び比較例での一括露光の露光量を横軸としてプロットし、両者の関係を示したグラフである。図12から、一括露光を行っていない比較例6~10では感度E0の値(残膜率が0(ゼロ)となるのに必要なパターン露光の露光量)が大きいことがわかる。これに対し、一括露光を行った実施例9~12では、一括露光の露光量が増えるにしたがって感度E0の値が小さくなっていることがわかる。
実施例9及び比較例6で得られたレジストパターンにおいて、直径30nm、ピッチ60nmのコンタクトホール用に現像された部分を高分解走査電子顕微鏡(SEM、商品名:NVision 40D、Carl Zeiss社製)を用いて観察した。図13(a)は比較例6で得られたレジストパターンのSEM画像であり、図13(b)は実施例9で一括露光の露光量を7.2J/cm2として得られたレジストパターンのSEM画像である。いずれのレジストパターンにおいても、ピッチ60nmを維持し、30nmに十分近い直径を有するコンタクトホール用レジストパターンが規則正しく形成されていた。なお、直径30nmのコンタクトホール用レジストパターンを形成するためのレジスト材料膜の残膜率が0(ゼロ)となるときの露光量(感度Esize)は、実施例9及び比較例6において、それぞれ、70μC/cm2及び120μC/cm2であった。一括露光により、解像度を維持した状態で残膜率が0(ゼロ)となるのに必要なパターン露光の露光量(感度Esizeの値)を二分の一程度にまで削減できることが確認された。
Claims (43)
- 感光性樹脂組成物を使用して形成されたレジスト材料膜の所定の箇所に、電離放射線又は400nm以下の波長を有する非電離放射線を照射するパターン露光工程と、
前記パターン露光工程後の前記レジスト材料膜に、前記パターン露光における非電離放射線より長く、200nmを超える波長を有する非電離放射線を照射する一括露光工程と、
前記一括露光工程後の前記レジスト材料膜を加熱するベーク工程と、
前記ベーク工程後の前記レジスト材料膜を現像液に接触させてレジストパターンを形成する現像工程と、
を備えるリソグラフィプロセスにおいて、前記感光性樹脂組成物として使用される光増感化学増幅型レジスト材料であって、
(1)前記ベーク工程後、前記パターン露光された部分が前記現像液に可溶又は不溶となるベース成分と、
(2)露光により光増感剤及び酸を発生する成分と、
を含み、
前記(2)成分は、下記(a)成分である、下記(a)~(c)成分中の任意の2つの成分を含有する、又は、下記(a)~(c)成分のすべてを含有する、光増感化学増幅型レジスト材料。
(a)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸と、200nmを超える波長を有する非電離放射線を吸収する光増感剤とを発生する酸-光増感剤発生剤
(b)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、200nmを超える波長を有する非電離放射線を吸収する光増感剤となる光増感剤前駆体
(c)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸を発生する光酸発生剤 - 露光により前記(2)成分から発生する前記光増感剤はカルボニル化合物を含有する、請求項1に記載のレジスト材料。
- 前記カルボニル化合物は、ベンゾフェノン誘導体、キサントン誘導体、チオキサントン誘導体、クマリン誘導体、及びアクリドン誘導体からなる群より選択される少なくとも1種の化合物である、請求項2に記載のレジスト材料。
- 前記カルボニル化合物は、アクリドン誘導体である、請求項2に記載のレジスト材料。
- 前記カルボニル化合物は、ナフタレン誘導体又はアントラセン誘導体である、請求項2に記載のレジスト材料。
- 前記(a)成分は、下記式(I)~(III)で表されるスルホニウム塩化合物からなる群より選択される少なくとも1種の化合物を含有する、請求項1~5のいずれか一項に記載のレジスト材料。
- 前記(a)成分は、下記式(IV)及び(V)で表されるヨードニウム塩化合物の少なくとも一方を含有する、請求項1~5のいずれか一項に記載のレジスト材料。
- 前記(b)成分は下記式(VI)で表されるアルコール化合物である、請求項1~7のいずれか一項に記載のレジスト材料。
- 前記(b)成分は下記式(XXVII)~(XXX)で表されるアセタール化合物及びケタール化合物からなる群より選ばれる少なくとも1種の化合物である、請求項8に記載のレジスト材料。
- 前記(b)成分は下記式(XLVI)で表されるオルトエステル化合物である、請求項8に記載のレジスト材料。
- 前記(b)成分は下記式(XLVII)で表されるOBOエステル化合物である、請求項8に記載のレジスト材料。
- 前記(c)成分は、スルホニウム塩化合物、ヨードニウム塩化合物、スルホニルジアゾメタン、N-スルホニルオキシイミド、及びオキシム-O-スルホネート型光酸発生剤からなる群より選択される少なくとも1種を含む、請求項1~11のいずれか一項に記載のレジスト材料。
- 感光性樹脂組成物を使用して形成されたレジスト材料膜の所定の箇所に、電離放射線又は400nm以下の波長を有する非電離放射線を照射するパターン露光工程と、
前記パターン露光工程後の前記レジスト材料膜に、前記パターン露光における非電離放射線より長く、200nmを超える波長を有する非電離放射線を照射する一括露光工程と、
前記一括露光工程後の前記レジスト材料膜を加熱するベーク工程と、
前記ベーク工程後の前記レジスト材料膜を現像液に接触させてレジストパターンを形成する現像工程と、
を備えるリソグラフィプロセスにおいて、前記感光性樹脂組成物として使用される光増感化学増幅型レジスト材料であって、
(1’)前記ベーク工程後、前記パターン露光された部分が前記現像液に可溶又は不溶となるベース成分を含み、
前記ベース成分は、下記(d)で示される基のみを有する、下記(d)~(f)で示される基中の任意の2つの基を有する、又は、下記(d)~(f)で示される基すべてを有する、光増感化学増幅型レジスト材料。
(d)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸と、200nmを超える波長を有する非電離放射線を吸収する光増感剤とを発生する酸-光増感剤発生基
(e)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、200nmを超える波長を有する非電離放射線を吸収する光増感剤の機能を有する基となる前駆体基
(f)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸を発生する光酸発生基 - 前記(d)で示される基は、下記式(XIV)~(XVII)で表される基からなる群より選択される少なくとも1種の基を含有する、請求項13に記載のレジスト材料。
- 前記(d)で示される基は、下記式(XXXI)~(XXIII)で表される基からなる群より選択される少なくとも1種の基を含有する、請求項13に記載のレジスト材料。
- 前記(d)で示される基は、下記式(XVIII)及び(XIX)で表される基の少なくとも一方を含有する、請求項13に記載のレジスト材料。
- 前記(d)で示される基は、下記式(XXXIV)及び(XXXV)で表される基の少なくとも一方を含有する、請求項13に記載のレジスト材料。
- 前記(e)で示される基がカルボニル化合物基を有し、前記カルボニル化合物基が露光後も前記(1’)ベース成分と結合している、請求項13~15のいずれか一項に記載のレジスト材料。
- 前記(f)で示される基が酸のアニオンを有し、当該アニオンが露光後も前記(1’)ベース成分と結合している、請求項13~18のいずれか一項に記載のレジスト材料。
- (2)露光により光増感剤及び酸を発生する成分を更に含み、
前記(2)成分は、下記(a)~(c)成分からなる群より選択される少なくとも1つの成分を含有する、請求項13~19のいずれか一項に記載の光増感化学増幅型レジスト材料。
(a)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸と、200nmを超える波長を有する非電離放射線を吸収する光増感剤とを発生する酸-光増感剤発生剤
(b)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、200nmを超える波長を有する非電離放射線を吸収する光増感剤となる光増感剤前駆体
(c)電離放射線又は400nm以下の波長を有する非電離放射線の照射によって、酸を発生する光酸発生剤 - 前記ベース成分は、下記式(VII)及び(VIII)で表される構成単位の少なくとも一方を含む高分子化合物、下記式(XXV)で表される構成単位を含む高分子化合物、又は下記式(XXVI)で表される構成単位を含む高分子化合物である、請求項1~20のいずれか一項に記載のレジスト材料。
- 前記ベース成分が無機化合物である、請求項1~20のいずれか一項に記載のレジスト材料。
- (3)酸とカチオンを捕捉する第一の捕捉剤を更に含み、
前記第一の捕捉剤は塩基性化合物である、請求項1~22のいずれか一項に記載のレジスト材料。 - 前記(3)酸とカチオンを捕捉する第一の捕捉剤が光分解型捕捉剤を含む、請求項23に記載のレジスト材料。
- 前記(3)酸とカチオンを捕捉する第一の捕捉剤が光生成型捕捉剤を含む、請求項23又は24に記載のレジスト材料。
- (4)遊離ラジカルを捕捉する第二の捕捉剤を更に含む、請求項1~25のいずれか一項に記載のレジスト材料。
- ネガ型レジスト材料であり、
(5)架橋剤を更に含有し、
前記架橋剤はメトキシメチル化メラミン又はメトキシメチル化尿素化合物である、請求項1~26のいずれか一項に記載のレジスト材料。 - 請求項1~27のいずれか一項に記載のレジスト材料を使用して形成されたレジスト材料膜を基板上に形成する膜形成工程と、
前記レジスト材料膜にマスクを介して電離放射線又は400nm以下の波長を有する非電離放射線を照射するパターン露光工程と、
前記パターン露光工程後のレジスト材料膜に、前記パターン露光工程における非電離放射線の波長よりも長く、200nmを超える波長を有する非電離放射線を照射する一括露光工程と、
前記一括露光工程後のレジスト材料膜を加熱するベーク工程と、
前記ベーク工程後のレジスト材料膜を現像液に接触させる工程と、
を備えるパターン形成方法。 - 前記一括露光工程前に前記パターン露光工程後の前記レジスト材料膜を加熱するベーク工程を更に備える、請求項28に記載のパターン形成方法。
- 前記パターン露光工程は、投影レンズを有する露光装置を使用して実施されるとともに、前記レジスト材料膜と前記投影レンズとの間に屈折率1.0以上の液体を介在させた液浸リソグラフィによって実施される、請求項28又は29に記載のパターン形成方法。
- 前記膜形成工程において、前記レジスト材料膜の上に保護膜を更に形成し、
前記パターン露光工程は、投影レンズを有する露光装置を使用して実施されるとともに、前記保護膜と前記投影レンズとの間に屈折率1.0以上の液体を介在させた液浸リソグラフィによって実施される、請求項28又は29に記載のパターン形成方法。 - 前記保護膜は、反射防止又は反応安定性向上のためのものである、請求項31に記載のパターン形成方法。
- 前記膜形成工程において、前記レジスト材料膜の上に反射防止又は反応安定性向上のための保護膜を更に形成し、
前記パターン露光工程はドライリソグラフィによって実施される、請求項28又は29に記載のパターン形成方法。 - 前記膜形成工程において、前記基板上に前記レジスト材料膜を形成するに先立って、前記基板上に反射防止膜又はレジスト密着性若しくはレジスト形状改善のための膜を形成する、請求項28又は29に記載のパターン形成方法。
- 前記パターン露光工程後の前記レジスト材料膜に残存する前記(a)若しくは(c)成分中の光酸発生剤、又は、前記(d)若しくは(f)で示される基中の光酸発生基から、前記一括露光工程における200nmを超える波長を有する非電離放射線の照射によって直接酸が発生するのを防ぐため、前記一括露光工程を実施するに先立って、前記光酸発生剤又は光酸発生基が直接吸収する非電離放射線の波長の少なくとも一部を吸収する吸収膜を前記レジスト材料膜上に形成する工程を更に備える、請求項28~34のいずれか一項に記載のパターン形成方法。
- 前記一括露光工程は大気中でドライリソグラフィによって実施される、請求項28~35のいずれか一項に記載のパターン形成方法。
- 前記パターン露光工程後の前記レジスト材料膜に残存する前記(a)若しくは(c)成分中の光酸発生剤、又は、前記(d)若しくは(f)で示される基中の光酸発生基から、前記一括露光工程における200nmを超える波長を有する非電離放射線の照射によって直接酸が発生するのを防ぐため、前記一括露光工程は投影レンズを有する露光装置を使用して実施されるとともに、前記レジスト材料膜と前記投影レンズとの間に、前記光酸発生剤又は光酸発生基が直接吸収する非電離放射線の波長の少なくとも一部を吸収する液体を介在させた液浸リソグラフィによって実施される、請求項28~34のいずれか一項に記載のパターン形成方法。
- 前記パターン露光工程後、前記一括露光工程を実施するまでの間、前記レジスト材料膜が存在する雰囲気を、減圧雰囲気又は窒素若しくはアルゴンを含む不活性雰囲気とする工程を更に備える、請求項28~37のいずれか一項に記載のパターン形成方法。
- 前記パターン露光工程及び/又は前記一括露光工程を減圧雰囲気下又は窒素若しくはアルゴンを含む不活性雰囲気下で行う、請求項28~38のいずれか一項に記載のパターン形成方法。
- 前記パターン露光工程を実施する露光装置から前記一括露光工程を実施する露光装置に前記基板を搬送する工程を更に備える、請求項28~39のいずれか一項に記載のパターン形成方法。
- 請求項28~40のいずれか一項に記載のパターン形成方法により形成されたパターンを用いて製造される半導体デバイス。
- 請求項28~40のいずれか一項に記載のパターン形成方法により形成されたパターンを用いて製造されるリソグラフィ用マスク。
- 請求項28~40のいずれか一項に記載のパターン形成方法により形成されたパターンを用いて製造されるナノインプリント用テンプレート。
Priority Applications (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201580009168.1A CN106030417B (zh) | 2014-02-21 | 2015-02-17 | 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法 |
US15/117,686 US10025187B2 (en) | 2014-02-21 | 2015-02-17 | Photosensitization chemical-amplification type resist material, method for forming pattern using same, semiconductor device, mask for lithography, and template for nanoimprinting |
SG11201606813TA SG11201606813TA (en) | 2014-02-21 | 2015-02-17 | Photosensitization chemical-amplification type resist material, method for forming pattern using same, semiconductor device, mask for lithography, and template for nanoimprinting |
EP15752170.9A EP3109703B1 (en) | 2014-02-21 | 2015-02-17 | Photosensitization chemical-amplification type resist material, and method for forming pattern using same |
CN202010075147.2A CN111562720B (zh) | 2014-02-21 | 2015-02-17 | 光增感化学放大型抗蚀剂材料、图案形成方法、半导体器件、光刻用掩模、纳米压印用模板 |
KR1020167022604A KR102357133B1 (ko) | 2014-02-21 | 2015-02-17 | 광증감 화학 증폭형 레지스트 재료 및 이를 이용한 패턴 형성 방법, 반도체 디바이스, 리소그래피용 마스크와, 나노임프린트용 템플릿 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2014-032281 | 2014-02-21 | ||
JP2014032281 | 2014-02-21 | ||
JP2015028423A JP6364361B2 (ja) | 2014-02-21 | 2015-02-17 | 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、並びに、半導体デバイス、リソグラフィ用マスク及びナノインプリント用テンプレートの製造方法 |
JP2015-028423 | 2015-02-17 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2015125788A1 true WO2015125788A1 (ja) | 2015-08-27 |
Family
ID=53878290
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2015/054325 WO2015125788A1 (ja) | 2014-02-21 | 2015-02-17 | 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート |
Country Status (7)
Country | Link |
---|---|
US (1) | US10025187B2 (ja) |
JP (1) | JP6364361B2 (ja) |
KR (1) | KR102357133B1 (ja) |
CN (2) | CN111562720B (ja) |
SG (1) | SG11201606813TA (ja) |
TW (1) | TWI600966B (ja) |
WO (1) | WO2015125788A1 (ja) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2017054048A (ja) * | 2015-09-10 | 2017-03-16 | Jsr株式会社 | 化学増幅型レジスト材料及びレジストパターン形成方法 |
JP2017054116A (ja) * | 2015-09-10 | 2017-03-16 | Jsr株式会社 | レジストパターン形成方法 |
US9971247B2 (en) | 2015-08-20 | 2018-05-15 | Osaka University | Pattern-forming method |
US9989849B2 (en) | 2015-11-09 | 2018-06-05 | Jsr Corporation | Chemically amplified resist material and resist pattern-forming method |
US10018911B2 (en) | 2015-11-09 | 2018-07-10 | Jsr Corporation | Chemically amplified resist material and resist pattern-forming method |
CN108292094A (zh) * | 2015-11-25 | 2018-07-17 | 国立大学法人大阪大学 | 抗蚀剂图案形成方法和抗蚀剂材料 |
US10073349B2 (en) | 2015-08-20 | 2018-09-11 | Osaka University | Chemically amplified resist material, pattern-forming method, compound, and production method of compound |
US10073348B2 (en) | 2015-08-20 | 2018-09-11 | Osaka University | Resist-pattern-forming method and chemically amplified resist material |
JP7438716B2 (ja) | 2018-11-14 | 2024-02-27 | 住友化学株式会社 | 塩、酸発生剤、レジスト組成物及びレジストパターンの製造方法 |
Families Citing this family (42)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2015127348A1 (en) * | 2014-02-24 | 2015-08-27 | Tokyo Electron Limited | Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist |
US9519227B2 (en) * | 2014-02-24 | 2016-12-13 | Tokyo Electron Limited | Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR) |
US9618848B2 (en) * | 2014-02-24 | 2017-04-11 | Tokyo Electron Limited | Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes |
KR102402422B1 (ko) * | 2014-02-25 | 2022-05-25 | 도쿄엘렉트론가부시키가이샤 | 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술 |
JP6386546B2 (ja) * | 2014-05-21 | 2018-09-05 | 国立大学法人大阪大学 | レジストパターン形成方法およびレジスト材料 |
US20170059992A1 (en) * | 2015-08-26 | 2017-03-02 | Jsr Corporation | Resist pattern-forming method and chemically amplified radiation-sensitive resin composition |
KR20170054298A (ko) * | 2015-11-09 | 2017-05-17 | 제이에스알 가부시끼가이샤 | 화학 증폭형 레지스트 재료 및 레지스트 패턴 형성 방법 |
KR101663255B1 (ko) * | 2015-11-30 | 2016-10-10 | 주식회사 삼양사 | 안정성이 향상된 농축 무수당 알코올 제조용 조성물 및 무수당 알코올의 농축 방법 |
KR101663264B1 (ko) * | 2015-11-30 | 2016-10-10 | 주식회사 삼양사 | 저장 안정성이 향상된 무수당 알코올 조성물 및 무수당 알코올의 저장 방법 |
US11104057B2 (en) * | 2015-12-11 | 2021-08-31 | Canon Kabushiki Kaisha | Imprint apparatus and method of imprinting a partial field |
US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
JP2017173420A (ja) * | 2016-03-22 | 2017-09-28 | Jsr株式会社 | 感放射線性組成物及びパターン形成方法 |
CN109313389B (zh) * | 2016-03-30 | 2020-07-14 | 日产化学株式会社 | 包含具有甘脲骨架的化合物作为添加剂的抗蚀剂下层膜形成组合物 |
KR102177192B1 (ko) | 2016-05-13 | 2020-11-10 | 도쿄엘렉트론가부시키가이샤 | 광 작용제의 사용에 의한 임계 치수 제어 |
CN109313394B (zh) | 2016-05-13 | 2021-07-02 | 东京毅力科创株式会社 | 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 |
JP2019168475A (ja) * | 2016-08-08 | 2019-10-03 | Jsr株式会社 | 化学増幅型レジスト材料及びレジストパターン形成方法 |
CN109843853B (zh) | 2016-10-17 | 2022-09-20 | 东洋合成工业株式会社 | 组合物和使用该组合物的设备的制造方法 |
US10042252B2 (en) * | 2016-11-30 | 2018-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photoresist and method |
US10520813B2 (en) * | 2016-12-15 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd | Extreme ultraviolet photoresist with high-efficiency electron transfer |
US10527935B2 (en) | 2016-12-31 | 2020-01-07 | Rohm And Haas Electronic Materials Llc | Radiation-sensitive compositions and patterning and metallization processes |
JPWO2018180308A1 (ja) * | 2017-03-28 | 2020-02-06 | Jsr株式会社 | 化学増幅型レジスト材料及びレジストパターン形成方法 |
WO2019060570A1 (en) * | 2017-09-22 | 2019-03-28 | Tokyo Electron Limited | METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE |
TWI783115B (zh) * | 2018-02-14 | 2022-11-11 | 日商富士軟片股份有限公司 | 試劑盒、壓印用下層膜形成組成物、圖案形成方法、半導體器件的製造方法 |
JP7079647B2 (ja) * | 2018-04-17 | 2022-06-02 | 東洋合成工業株式会社 | 組成物及びそれを用いたデバイスの製造方法 |
JP6933605B2 (ja) * | 2018-05-21 | 2021-09-08 | 信越化学工業株式会社 | パターン形成方法 |
JP6875325B2 (ja) * | 2018-05-21 | 2021-05-19 | 信越化学工業株式会社 | パターン形成方法 |
KR102264694B1 (ko) * | 2018-06-11 | 2021-06-11 | 삼성에스디아이 주식회사 | 고분자 가교제, 이를 포함하는 레지스트 하층막용 조성물, 및 이를 이용한 패턴형성방법 |
WO2019240279A1 (ja) * | 2018-06-14 | 2019-12-19 | 国立大学法人大阪大学 | レジストパターン形成方法 |
CN112969965A (zh) | 2018-07-19 | 2021-06-15 | 林特弗德有限公司 | 噻吨酮衍生物、包含其的组合物和包含所述组合物的图案形成方法 |
JP7220229B2 (ja) * | 2018-09-26 | 2023-02-09 | 富士フイルム株式会社 | 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法 |
JP7205419B2 (ja) * | 2018-09-28 | 2023-01-17 | 信越化学工業株式会社 | オニウム塩、レジスト組成物及びパターン形成方法 |
US20220011664A1 (en) | 2018-10-17 | 2022-01-13 | The University Of Chicago | Photosensitive, inorganic ligand-capped inorganic nanocrystals |
KR102678588B1 (ko) | 2018-11-14 | 2024-06-27 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
WO2021034567A1 (en) * | 2019-08-16 | 2021-02-25 | Tokyo Electron Limited | Method and process for stochastic driven defectivity healing |
KR102094286B1 (ko) * | 2019-09-30 | 2020-03-27 | 백운석 | 화학증폭형 네거티브 포토레지스트 조성물 |
TWI833992B (zh) * | 2019-10-15 | 2024-03-01 | 美商羅門哈斯電子材料有限公司 | 光致抗蝕劑組成物及圖案形成方法 |
CN114200776A (zh) | 2020-01-15 | 2022-03-18 | 朗姆研究公司 | 用于光刻胶粘附和剂量减少的底层 |
GB202000736D0 (en) | 2020-01-17 | 2020-03-04 | Lintfield Ltd | Modified thioxanthone photoinitators |
KR20220162765A (ko) * | 2020-03-31 | 2022-12-08 | 램 리써치 코포레이션 | 감응제 (sensitizer) 의 가스상 (gas phase) 주입에 의한 euv 드라이 레지스트 감응화 (sensitization) 를 위한 장치 및 프로세스 |
CN111948904B (zh) * | 2020-08-13 | 2022-04-01 | 常州华睿芯材科技有限公司 | 光刻胶组合物、用它形成光刻图案的方法及其用途 |
WO2022196258A1 (ja) * | 2021-03-15 | 2022-09-22 | 東洋合成工業株式会社 | オニウム塩、光酸発生剤、組成物及びそれを用いたデバイスの製造方法 |
Citations (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5020713A (ja) * | 1973-06-21 | 1975-03-05 | ||
JPS542720A (en) * | 1977-06-08 | 1979-01-10 | Konishiroku Photo Ind Co Ltd | Forming method of photopolymerized image |
JPH02132446A (ja) * | 1988-07-26 | 1990-05-21 | Matsushita Electric Ind Co Ltd | 微細レジストパターンの形成方法 |
JPH0481765A (ja) * | 1990-07-24 | 1992-03-16 | Sony Corp | 化学増幅型レジストのパターン形成方法 |
JPH04165359A (ja) * | 1990-10-30 | 1992-06-11 | Hitachi Ltd | パターン形成法 |
JPH05194365A (ja) * | 1991-04-20 | 1993-08-03 | Hoechst Ag | 酸開裂性感放射線化合物、これらを含有する感放射線混合物、およびこの混合物を使用して製造された感放射線記録材料 |
JPH05249676A (ja) * | 1991-11-22 | 1993-09-28 | Internatl Business Mach Corp <Ibm> | フォトレジスト組成物及びフォトレジスト像形成方法 |
JPH06273924A (ja) * | 1993-03-18 | 1994-09-30 | Fuji Photo Film Co Ltd | ポジ型感光性組成物 |
JPH11160876A (ja) * | 1997-11-26 | 1999-06-18 | Mitsubishi Chemical Corp | ポジ型感放射線性樹脂組成物 |
JP2002311586A (ja) * | 2001-04-18 | 2002-10-23 | Fuji Photo Film Co Ltd | 電子線又はx線用ネガ型レジスト組成物 |
JP2006039129A (ja) * | 2004-07-26 | 2006-02-09 | Sony Corp | 液浸露光用積層構造、液浸露光方法、電子装置の製造方法及び電子装置 |
JP2007126582A (ja) * | 2005-11-04 | 2007-05-24 | Central Glass Co Ltd | 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。 |
JP2010077377A (ja) * | 2008-09-23 | 2010-04-08 | Korea Kumho Petrochem Co Ltd | オニウム塩化合物、それを含む高分子化合物、前記高分子化合物を含む化学増幅型レジスト組成物、および前記組成物を用いたパターン形成方法 |
WO2010058656A1 (ja) * | 2008-11-19 | 2010-05-27 | 東京エレクトロン株式会社 | インターフェイス装置 |
JP2010151999A (ja) * | 2008-12-24 | 2010-07-08 | Asahi Kasei E-Materials Corp | 感光性樹脂組成物 |
JP2010219456A (ja) * | 2009-03-19 | 2010-09-30 | Toppan Printing Co Ltd | パターン形成方法、インプリントモールド及びフォトマスク |
WO2012033138A1 (ja) * | 2010-09-09 | 2012-03-15 | Jsr株式会社 | 感放射線性樹脂組成物、重合体及び化合物 |
JP2013228526A (ja) * | 2012-04-25 | 2013-11-07 | Jsr Corp | ポジ型感放射線性組成物、表示素子用層間絶縁膜及びその形成方法 |
WO2014129556A1 (ja) * | 2013-02-20 | 2014-08-28 | 国立大学法人大阪大学 | レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料 |
JP2014224984A (ja) * | 2013-03-08 | 2014-12-04 | Jsr株式会社 | フォトレジスト組成物、レジストパターン形成方法、化合物及び重合体 |
WO2014208102A1 (en) * | 2013-06-27 | 2014-12-31 | Toyo Gosei Co., Ltd. | Reagent for Enhancing Generation of Chemical Species |
WO2014208076A1 (en) * | 2013-06-24 | 2014-12-31 | Toyo Gosei Co., Ltd. | Reagent for enhancing generation of chemical species |
WO2015019616A1 (en) * | 2013-08-07 | 2015-02-12 | Toyo Gosei Co., Ltd. | Reagent for enhancing generation of chemical species |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04151156A (ja) | 1990-06-19 | 1992-05-25 | Mitsubishi Electric Corp | 感光性樹脂組成物 |
JP3222459B2 (ja) | 1990-10-26 | 2001-10-29 | ローム アンド ハース カンパニー | ポジ型フォトレジスト組成物 |
JP2931143B2 (ja) | 1991-04-15 | 1999-08-09 | 日東電工株式会社 | 耐熱性ポジ型フォトレジスト組成物およびそれを用いた感光性基材ならびにパターン形成方法 |
US5206117A (en) | 1991-08-14 | 1993-04-27 | Labadie Jeffrey W | Photosensitive polyamic alkyl ester composition and process for its use |
JP3148426B2 (ja) | 1992-12-25 | 2001-03-19 | クラリアント インターナショナル リミテッド | パターン形成用材料 |
DE69408709T2 (de) | 1993-04-28 | 1998-10-01 | Hitachi Chemical Co Ltd | Photoempfindliche Harzzusammensetzung |
JPH08146608A (ja) | 1994-11-16 | 1996-06-07 | Hitachi Ltd | 感光性樹脂組成物とそれを用いた電子装置の製法 |
JP3514590B2 (ja) | 1996-09-06 | 2004-03-31 | 信越化学工業株式会社 | 化学増幅ポジ型レジスト材料 |
JP2001042531A (ja) * | 1999-07-26 | 2001-02-16 | Fuji Photo Film Co Ltd | ポジ型感放射線性樹脂組成物 |
JP2002174894A (ja) | 2000-12-07 | 2002-06-21 | Fuji Photo Film Co Ltd | 電子線又はx線用ポジ型レジスト組成物 |
TWI300516B (ja) * | 2001-07-24 | 2008-09-01 | Jsr Corp | |
EP1443362A3 (en) * | 2003-01-17 | 2005-04-27 | Mitsubishi Gas Chemical Company, Inc. | Resist composition |
US20060269879A1 (en) * | 2005-05-24 | 2006-11-30 | Infineon Technologies Ag | Method and apparatus for a post exposure bake of a resist |
JP5347433B2 (ja) * | 2007-11-01 | 2013-11-20 | セントラル硝子株式会社 | 新規スルホン酸塩及びその誘導体、光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法 |
RU2472351C2 (ru) * | 2008-05-15 | 2013-01-20 | Лодерс Кроклан Б.В. | Способ получения фосфолипазы d |
JP5544098B2 (ja) * | 2008-09-26 | 2014-07-09 | 富士フイルム株式会社 | 感活性光線性または感放射線性樹脂組成物、及び該感光性組成物を用いたパターン形成方法 |
US8124326B2 (en) * | 2009-03-03 | 2012-02-28 | Micron Technology, Inc. | Methods of patterning positive photoresist |
TWI541226B (zh) * | 2010-11-15 | 2016-07-11 | 羅門哈斯電子材料有限公司 | 鹼反應性光酸產生劑及包含該光酸產生劑之光阻劑 |
JP5673038B2 (ja) * | 2010-12-01 | 2015-02-18 | Jsr株式会社 | 感放射線性樹脂組成物及びこれを用いたパターン形成方法 |
JP5668710B2 (ja) * | 2012-02-27 | 2015-02-12 | 信越化学工業株式会社 | 高分子化合物及びそれを含んだレジスト材料並びにパターン形成方法、該高分子化合物の製造方法 |
JP6006999B2 (ja) * | 2012-06-20 | 2016-10-12 | 東京応化工業株式会社 | レジスト組成物及びレジストパターン形成方法 |
JP6240409B2 (ja) * | 2013-05-31 | 2017-11-29 | サンアプロ株式会社 | スルホニウム塩および光酸発生剤 |
JP6244109B2 (ja) * | 2013-05-31 | 2017-12-06 | 東京応化工業株式会社 | レジスト組成物、化合物、高分子化合物及びレジストパターン形成方法 |
JP6221939B2 (ja) * | 2013-06-19 | 2017-11-01 | 信越化学工業株式会社 | 感光性レジスト材料用現像液及びこれを用いたパターン形成方法 |
JP5904180B2 (ja) * | 2013-09-11 | 2016-04-13 | 信越化学工業株式会社 | スルホニウム塩、化学増幅型レジスト組成物、及びパターン形成方法 |
US9575408B2 (en) * | 2015-01-07 | 2017-02-21 | Sumitomo Chemical Company, Limited | Photoresist composition and method for producing photoresist pattern |
JP6515831B2 (ja) * | 2015-02-25 | 2019-05-22 | 信越化学工業株式会社 | 化学増幅ポジ型レジスト組成物及びレジストパターン形成方法 |
-
2015
- 2015-02-17 TW TW104105771A patent/TWI600966B/zh active
- 2015-02-17 WO PCT/JP2015/054325 patent/WO2015125788A1/ja active Application Filing
- 2015-02-17 US US15/117,686 patent/US10025187B2/en active Active
- 2015-02-17 KR KR1020167022604A patent/KR102357133B1/ko active IP Right Grant
- 2015-02-17 CN CN202010075147.2A patent/CN111562720B/zh active Active
- 2015-02-17 CN CN201580009168.1A patent/CN106030417B/zh active Active
- 2015-02-17 SG SG11201606813TA patent/SG11201606813TA/en unknown
- 2015-02-17 JP JP2015028423A patent/JP6364361B2/ja active Active
Patent Citations (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5020713A (ja) * | 1973-06-21 | 1975-03-05 | ||
JPS542720A (en) * | 1977-06-08 | 1979-01-10 | Konishiroku Photo Ind Co Ltd | Forming method of photopolymerized image |
JPH02132446A (ja) * | 1988-07-26 | 1990-05-21 | Matsushita Electric Ind Co Ltd | 微細レジストパターンの形成方法 |
JPH0481765A (ja) * | 1990-07-24 | 1992-03-16 | Sony Corp | 化学増幅型レジストのパターン形成方法 |
JPH04165359A (ja) * | 1990-10-30 | 1992-06-11 | Hitachi Ltd | パターン形成法 |
JPH05194365A (ja) * | 1991-04-20 | 1993-08-03 | Hoechst Ag | 酸開裂性感放射線化合物、これらを含有する感放射線混合物、およびこの混合物を使用して製造された感放射線記録材料 |
JPH05249676A (ja) * | 1991-11-22 | 1993-09-28 | Internatl Business Mach Corp <Ibm> | フォトレジスト組成物及びフォトレジスト像形成方法 |
JPH06273924A (ja) * | 1993-03-18 | 1994-09-30 | Fuji Photo Film Co Ltd | ポジ型感光性組成物 |
JPH11160876A (ja) * | 1997-11-26 | 1999-06-18 | Mitsubishi Chemical Corp | ポジ型感放射線性樹脂組成物 |
JP2002311586A (ja) * | 2001-04-18 | 2002-10-23 | Fuji Photo Film Co Ltd | 電子線又はx線用ネガ型レジスト組成物 |
JP2006039129A (ja) * | 2004-07-26 | 2006-02-09 | Sony Corp | 液浸露光用積層構造、液浸露光方法、電子装置の製造方法及び電子装置 |
JP2007126582A (ja) * | 2005-11-04 | 2007-05-24 | Central Glass Co Ltd | 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。 |
JP2010077377A (ja) * | 2008-09-23 | 2010-04-08 | Korea Kumho Petrochem Co Ltd | オニウム塩化合物、それを含む高分子化合物、前記高分子化合物を含む化学増幅型レジスト組成物、および前記組成物を用いたパターン形成方法 |
WO2010058656A1 (ja) * | 2008-11-19 | 2010-05-27 | 東京エレクトロン株式会社 | インターフェイス装置 |
JP2010151999A (ja) * | 2008-12-24 | 2010-07-08 | Asahi Kasei E-Materials Corp | 感光性樹脂組成物 |
JP2010219456A (ja) * | 2009-03-19 | 2010-09-30 | Toppan Printing Co Ltd | パターン形成方法、インプリントモールド及びフォトマスク |
WO2012033138A1 (ja) * | 2010-09-09 | 2012-03-15 | Jsr株式会社 | 感放射線性樹脂組成物、重合体及び化合物 |
JP2013228526A (ja) * | 2012-04-25 | 2013-11-07 | Jsr Corp | ポジ型感放射線性組成物、表示素子用層間絶縁膜及びその形成方法 |
WO2014129556A1 (ja) * | 2013-02-20 | 2014-08-28 | 国立大学法人大阪大学 | レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料 |
JP2014224984A (ja) * | 2013-03-08 | 2014-12-04 | Jsr株式会社 | フォトレジスト組成物、レジストパターン形成方法、化合物及び重合体 |
WO2014208076A1 (en) * | 2013-06-24 | 2014-12-31 | Toyo Gosei Co., Ltd. | Reagent for enhancing generation of chemical species |
WO2014208102A1 (en) * | 2013-06-27 | 2014-12-31 | Toyo Gosei Co., Ltd. | Reagent for Enhancing Generation of Chemical Species |
WO2015019616A1 (en) * | 2013-08-07 | 2015-02-12 | Toyo Gosei Co., Ltd. | Reagent for enhancing generation of chemical species |
Non-Patent Citations (5)
Title |
---|
HISASHI NAKAGAWA ET AL.: "Recent EUV Resists toward High Volume Manufacturing", JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, vol. 27, no. 6, 2014, pages 739 - 746, XP055222224 * |
JING JIANG ET AL.: "Metal Oxide Nanoparticle Photoresists for EUV Patterning", JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, vol. 27, no. 5, pages 663 - 666, XP055222220 * |
MARKOS TRIKERIOTIS ET AL.: "Nanoparticle photoresists from Hf02 and ZrO2 for EUV patterning", JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, vol. 25, no. 5, 26 July 2012 (2012-07-26), pages 583 - 586, XP055222227 * |
SEIICHI TAGAWA ET AL.: "Jisedai no Handotai Seizo no Sokudo o 10 Bai Ijo ni suru Gijutsu o Kakuritsu", 30TH INTERNATIONAL CONFERENCE OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, 19 June 2013 (2013-06-19), XP055372627, Retrieved from the Internet <URL:http://www.sanken.osaka-u.ac.jp/jp/operation/pdf/press/tagawa20130619.pdf> [retrieved on 20150313] * |
SEIICHI TAGAWA ET AL.: "Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist (PS-CAR) Process", JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, vol. 26, no. 6, 2013, XP055221467 * |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9971247B2 (en) | 2015-08-20 | 2018-05-15 | Osaka University | Pattern-forming method |
US10073348B2 (en) | 2015-08-20 | 2018-09-11 | Osaka University | Resist-pattern-forming method and chemically amplified resist material |
US10073349B2 (en) | 2015-08-20 | 2018-09-11 | Osaka University | Chemically amplified resist material, pattern-forming method, compound, and production method of compound |
US9939729B2 (en) | 2015-09-10 | 2018-04-10 | Jsr Corporation | Resist pattern-forming method |
JP2017054048A (ja) * | 2015-09-10 | 2017-03-16 | Jsr株式会社 | 化学増幅型レジスト材料及びレジストパターン形成方法 |
EP3141958A3 (en) * | 2015-09-10 | 2017-06-28 | JSR Corporation | Chemically amplified resist material and resist pattern-forming method |
JP2017054116A (ja) * | 2015-09-10 | 2017-03-16 | Jsr株式会社 | レジストパターン形成方法 |
US10120282B2 (en) | 2015-09-10 | 2018-11-06 | Jsr Corporation | Chemically amplified resist material and resist pattern-forming method |
US9989849B2 (en) | 2015-11-09 | 2018-06-05 | Jsr Corporation | Chemically amplified resist material and resist pattern-forming method |
US10018911B2 (en) | 2015-11-09 | 2018-07-10 | Jsr Corporation | Chemically amplified resist material and resist pattern-forming method |
CN108292094A (zh) * | 2015-11-25 | 2018-07-17 | 国立大学法人大阪大学 | 抗蚀剂图案形成方法和抗蚀剂材料 |
CN108292094B (zh) * | 2015-11-25 | 2021-07-20 | 国立大学法人大阪大学 | 抗蚀剂图案形成方法和抗蚀剂材料 |
JP7438716B2 (ja) | 2018-11-14 | 2024-02-27 | 住友化学株式会社 | 塩、酸発生剤、レジスト組成物及びレジストパターンの製造方法 |
Also Published As
Publication number | Publication date |
---|---|
KR102357133B1 (ko) | 2022-01-28 |
TWI600966B (zh) | 2017-10-01 |
US10025187B2 (en) | 2018-07-17 |
US20160357103A1 (en) | 2016-12-08 |
SG11201606813TA (en) | 2016-09-29 |
CN106030417A (zh) | 2016-10-12 |
TW201537289A (zh) | 2015-10-01 |
JP2015172741A (ja) | 2015-10-01 |
JP6364361B2 (ja) | 2018-07-25 |
CN106030417B (zh) | 2020-02-28 |
CN111562720A (zh) | 2020-08-21 |
KR20160124769A (ko) | 2016-10-28 |
CN111562720B (zh) | 2023-09-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6364361B2 (ja) | 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、並びに、半導体デバイス、リソグラフィ用マスク及びナノインプリント用テンプレートの製造方法 | |
US10073349B2 (en) | Chemically amplified resist material, pattern-forming method, compound, and production method of compound | |
JP6809843B2 (ja) | パターン形成方法 | |
KR102627765B1 (ko) | 화학 증폭형 레지스트 재료 | |
WO2018030445A1 (ja) | 化学増幅型レジスト材料及びレジストパターン形成方法 | |
US10018911B2 (en) | Chemically amplified resist material and resist pattern-forming method | |
JP6586476B2 (ja) | 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、並びに、半導体デバイス、リソグラフィ用マスク及びナノインプリント用テンプレートの製造方法 | |
JP2017054048A (ja) | 化学増幅型レジスト材料及びレジストパターン形成方法 | |
JP2018025739A (ja) | 化学増幅型レジスト材料及びレジストパターン形成方法 | |
JP2017173420A (ja) | 感放射線性組成物及びパターン形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 15752170 Country of ref document: EP Kind code of ref document: A1 |
|
WWE | Wipo information: entry into national phase |
Ref document number: 15117686 Country of ref document: US |
|
ENP | Entry into the national phase |
Ref document number: 20167022604 Country of ref document: KR Kind code of ref document: A |
|
REEP | Request for entry into the european phase |
Ref document number: 2015752170 Country of ref document: EP |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2015752170 Country of ref document: EP |
|
NENP | Non-entry into the national phase |
Ref country code: DE |