TWI566047B - 製作半導體裝置的方法與光敏材料 - Google Patents

製作半導體裝置的方法與光敏材料 Download PDF

Info

Publication number
TWI566047B
TWI566047B TW104114099A TW104114099A TWI566047B TW I566047 B TWI566047 B TW I566047B TW 104114099 A TW104114099 A TW 104114099A TW 104114099 A TW104114099 A TW 104114099A TW I566047 B TWI566047 B TW I566047B
Authority
TW
Taiwan
Prior art keywords
additive
photoresist
layer
additive material
photosensitive
Prior art date
Application number
TW104114099A
Other languages
English (en)
Other versions
TW201543165A (zh
Inventor
訾安仁
吳振豪
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201543165A publication Critical patent/TW201543165A/zh
Application granted granted Critical
Publication of TWI566047B publication Critical patent/TWI566047B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

製作半導體裝置的方法與光敏材料
本發明係關於微影製程,更特別關於其光敏材料。
半導體積體電路(IC)產業已快速成長一段時日。IC材料、設計、與製作工具的技術進步,讓每一代的IC均比前一代的IC具有更小且更複雜的電路。在這些進步中,製作方法、工具、與材料均面臨更小結構尺寸的需求。
微影係將圖案投影至基板(如具有光敏層形成其上之半導體晶圓)上的機制,圖案通常藉由穿過圖案化光罩之射線所引發。對今日的微影製程而言,重點在於影像平面位置之景深(DOF)的容忍度。改善微影製程中的DOF的方法之一與其他製程容許範圍參數類似,即提供光罩增強技術(RET)的解決方法如散射條至光罩。藉由提供RET結構如散射條之作法可改善解析度,但會產生其他問題。舉例來說,光敏材料需對光更敏感以搭配現有的射線源,但更高的敏感度可能會使RET結構產生不需要的圖案至目標基板上。
本發明一實施例提供之製作半導體裝置的方法,包括:提供光阻,其中光阻係正型光阻與負型光阻中的一者;選擇添加材料,其中添加材料係第一添加劑與第二添加劑中的 一者,其中第一添加劑具有氟成份與鹼成份連接至高分子,其中第二添加劑具有氟成份與酸成份連接至高分子,其中選擇添加劑之步驟包括:當光阻為正型光阻時選擇第一添加劑,且當光阻為負型光阻時選擇第二添加劑;以及施加光阻與選擇的添加材料至目標基板。
本發明一實施例提供之製作半導體裝置的方法,包括:形成光敏層於目標基板上;形成添加層於光敏層上,其中添加層包括添加材料;其中添加材料包括高分子,其具有氟單元,與鹼單元及酸單元中的一者;以及其中光敏層包括鹼單元與酸單元中的另一者;以及曝光具有光阻層與添加層位於其上之目標基板,以形成光敏層與添加層的曝光區及未曝光區;以及在曝光步驟後顯影目標基板,自目標基板移除曝光區及未曝光區中的一者。
本發明一實施例提供之光敏材料,包括:正型光阻;以及添加材料,與正型光阻混合,其中添加材料包括高分子鏈,其具有氟成份與鹼成份鍵結至高分子鏈。
100、600‧‧‧光微影系統
102‧‧‧光罩
104‧‧‧主要結構
106‧‧‧散射條
108‧‧‧射線束
110、302‧‧‧目標基板
112‧‧‧光敏層
114‧‧‧曝光區
200‧‧‧方法
202、204、206、208、210、212、214‧‧‧步驟
300‧‧‧裝置
304‧‧‧第一層
306‧‧‧光阻層
306a‧‧‧未曝光部份
306b‧‧‧曝光部份
308‧‧‧添加層
400、500‧‧‧添加材料
402‧‧‧高分子鏈
404‧‧‧漂浮單元
406‧‧‧鹼單元
502‧‧‧酸單元
602‧‧‧不透明區
702、802‧‧‧圖案化開口
900、1000、1100‧‧‧成份
第1圖係某些實施例中,習知光微影製程的示意圖。
第2圖係某些實施例中,製備與使用光敏材料之流程圖。
第3圖係某些實施例中,具有光敏材料層與添加層之剖視圖。
第4與5圖係某些實施例中,高分子的示意圖。
第6圖係某些實施例中,光微影製程的剖視圖。
第7與8圖係某些實施例中,在進行第6圖之光微影製程後之基板的剖視圖。
第9、10、與11圖係某些實施例中,製備之高分子的部份示意圖。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,下述說明中在第二結構上形成第一結構,指的可能是第一結構與第二結構直接接觸的實施例,也可能是其他結構形成於第一結構與第二結構之間的實施例(即第一結構與第二結構未直接接觸)。本發明的多個實例中將採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
另一方面,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖式中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖式中的方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖式中的方向。
第1圖係光微影系統100的示意圖。光微影系統100包含光罩102,其具有主要結構104與散射條106。射線束108穿過光罩102。光微影系統100亦包含目標基板110,其具有光敏層112於其上。上述的每一單元將詳述於下。在這些實施例中, 除非在申請專利範圍中特別提及,否則進行光微影之本發明的任何部份將不限於下述舉例之光微影系統100。舉例來說,雖然光微影系統100中的光罩具有二元材料,但其材料與方法並不限於應用UV射線,而可應用於電子束微影、其他光罩種類、浸潤式微影、及/或其他合適方法。同樣地,雖然下述之光微影方法係用以製作半導體裝置,本發明亦可用於任何光微影方法或系統,比如製作TFT-LCD,及/或本技術領域已知的其他光微影製程。
光罩102可包含氧化矽、熔融石英、氟化鈣(CaF2)、碳化矽、氧化矽-氧化鈦合金、或本技術領域已知的其他合適材料。主要結構104可為反射、吸收、或不透明層,或多層結構以圖案化入射的射線束。主要結構104可包含鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鋁銅(Al-Cu)、鈀、氮化鉭硼(TaBN)、氧化鋁(AlO)、鉬(Mo)如搭配矽或鈹的鉬、釕與釕化合物、及/或其他合適材料。散射條106為次解析、相轉移、或色條狀結構,位於光罩102上主要結構104之邊緣附近。散射條106可調整主要結構104的圖案,比如改善或維持圖案之焦距的合適深度與尺寸偏差值。
射線束108可為紫外線光源,比如深紫外線:氟化氟(KrF,248nm)、氟化氬(ArF,193nm)、氟(F2,157nm)、與極紫外線(EUV,13.5nm)。射線入射至光罩102後,被光罩102及形成其上之結構圖案化,形成圖案化之射線束108後入射目標基板110。
目標基板110可為半導體基板(如晶圓)。在一實施 例中,目標基板110為結晶結構的矽。在另一實施例中,目標基板110可包含其他半導體元素如鍺,或包含半導體化合物如碳化矽、砷化鎵、砷化銦、或磷化銦。目標基板110亦可包含絕緣層上矽(SOI)基板、可具有應力以提升效能如磊晶成長區、包含隔離區、包含掺雜區、包含一或多半導體裝置或其部份如導電層及/或絕緣層、及/或包含其他合適結構與層狀物。在一實施例中,目標基板110包含抗反射塗層(ARC)如底抗反射塗層(BARC)或頂抗反射塗層(TARC)、硬遮罩材料、及/或以光敏層112圖案化之其他目標層狀物。
位於目標基板110上的光敏層112可為習知正型或負型光阻。光敏層112之光敏材料通常用以圖案化目標層狀物(如位於半導體基板上),以形成裝置或部份裝置。光敏材料之一者為化學增幅光阻(CAR)。在某些實施例的化學增幅光阻中,光酸起始劑(PAG)在照射射線後轉為酸。在後烘烤(PEB)製程中,酸會使高分子中的酸活性基團(ALG)自高分子離去。ALG離去會形成酸,其可用以使其他的ALG自高分子離去。上述連鎖反應只有在酸接觸鹼後才會終止,而鹼又稱作鹼淬息劑或單純稱作淬息劑。當ALG離開光阻之高分子,高分子的分枝將轉為羧酸基,其可增加高分子於正型顯影劑中的溶解度。如此一來,顯影劑可移除光阻照射的區域,而未照射的區域將維持其不溶性並成後後續製程的遮罩單元(以正光阻為例)。PAG與淬息劑通常以溶劑型態施加。其他種類的光阻如負光阻亦可用於本發明。
如第1圖所示之光微影系統100的某些實施例之特 徵之一,為散射條106具有足夠的尺寸以圖案化入射射線束,以提供不需要的曝光區114於光敏層112上。當半導體主要結構的尺寸縮小,上述缺點將會更加明顯,而景深(DOF)也變得更具挑戰性。散射條106可改善DOF,但光敏材料如光敏層112對新發展的光源更敏感,散射條106更容易產生不需要的圖案於目標表面,如圖所示之曝光區114。
如第2圖所示,係本發明製備與採用光微影材料之方法的流程圖,可減少第1圖之光微影系統100中的一或多個問題。實施例之光微影系統100可用以實施方法200之一或多個步驟。方法200開始於步驟202,其提供目標基板。基板可為半導體基板如晶圓。在一實施例中,基板為結晶結構的矽。在另一實施例中,基板可包含其他半導體元素如鍺,或包含半導體化合物如碳化矽、砷化鎵、砷化銦、或磷化銦。基板110可包含絕緣層上矽(SOI)基板、可具有應力以提升效能如磊晶成長區、包含隔離區、包含掺雜區、包含一或多半導體裝置或其部份如導電層及/或絕緣層、及/或包含其他合適結構與層狀物。在一實施例中,基板包含抗反射塗層(ARC)如底抗反射塗層(BARC)或頂抗反射塗層(TARC)、硬遮罩材料、及/或以光敏層圖案化之其他目標層狀物。在一實施例中,基板或其部份為實質上導電,其電阻小於約103Ω.m。基板可包含至少一金屬、金屬合金、金屬氮化物/硫化物/硒化物/氧化物/矽化物,其結構可為MXa,其中M為金屬,X為氮、硫、硒、氧、及矽中至少一者,且a介於約0.4至約2.5之間。舉例來說,基板之上或之中的組成包含鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭、或 其他合適材料。在一實施例中,基板包含介電物,其介電常數介於約1至約40之間。在其他實施例中,基板包含矽、金屬氧化物、金屬氮化物、及/或其他合適材料。舉例來說,介電組成Mxb之M為金屬或矽,X為氮化氧,而b介於約0.4至約2.5之間。舉例來說,介電組成包含氧化矽、氮化矽、氧化鋁、氧化鉿、或氧化鑭。
在一實施例中,基板為CMOS製程技術之常見基板。雖然進行製程的基板可為半導體晶圓,但應理解其他種類的基板與製程亦可受益於本發明,比如印刷電路板基板、鑲嵌製程、或薄膜電晶體液晶顯示器(TFT-LCD)基板與對應製程。如第3圖之實例所示,提供目標基板302。目標基板302包含第一層304,其可為硬遮罩層、抗反射層、六甲基二矽胺烷(HDMS)、及/或其他合適層狀物。在一實施例中,第一層304為BARC的雙層物與有機層(或無機層如矽)。
接著進行方法200之步驟204,提供光敏材料(又稱作光阻)。光阻為射線(如光)敏材料,且可為正型光阻(PTD)或負型光阻(NTD)。正型光阻(或簡稱正光阻)係照光部份的光阻會溶解於光阻顯影劑的光阻,其未曝光之部份光阻仍維持不溶於光阻顯影劑的特性。負型光阻(或簡稱負光阻)係照光部份的光阻會不溶於光阻顯影劑的光阻,其未曝光之部份光阻可溶於光阻顯影劑。
在特定實施例中,光敏材料可包含有機高分子如正型或負型光阻高分子、有機為主的溶劑、及/或本技術領域已知的其他合適成份。其他成份取決於光阻型態,可包含光酸 起始劑(PAG)成份、熱酸起始劑(TAG)成份、淬息劑成份、光分解鹼(PDB)成份、及/或其他合適光敏成份。有機為主的溶劑可包含但不限於PGMEA(丙二醇單甲醚醋酸酯,2-甲氧基-1-甲基乙基醋酸酯)、PGME(丙二醇單甲醚)、GBL(γ-丁內酯)、環己酮、正丁基醋酸酯、或2-庚酮。光敏材料之有機高分子樹脂可包含用於KrF、ArF、浸潤式ARF、EUV、及/或電子束微影製程之光阻,比如Novolak(酚甲醛樹脂)、PHS(聚(4-羥基苯乙烯)衍生物)、聚脂肪族樹脂、酚類衍生物、及/或其他合適成份。
在步驟204施加光阻(可立即或之後顯影)後,方法200接著進行步驟206以提供添加材料。添加材料依據本發明之一或多個實施例製備。添加材料可包含高分子,其具有多個漂浮單元,且一個酸(PAG)或鹼(淬息劑)單元連接至高分子主鏈。在下述內容中,添加材料可提供至光阻(負型或正型)材料中。
添加材料可提供目標光敏層之較上層或區,有助於消除第1圖所述之散射條造成的不需要圖案,進而改善光微影製程。舉例來說,在沉積製程後,添加材料形成光敏層之較上層或區,其對曝光製程之敏感較低。在一實施例中,添加材料的性質可讓其位於或移至光敏材料的頂部,如下述之步驟208。換言之,添加材料的高分子性質可讓其漂浮至光阻層的頂部。上述漂浮現象來自於連接至添加材料之高分子鏈的漂浮成份或單元。如前所述,添加材料亦提供酸成份或鹼成份,其亦連結至高分子鏈。在照射射線及/或熱處理後,酸成份可產 生酸。在照射射線及/或熱處理後,鹼成份可產生鹼。釋放出的酸或鹼可只分布於光阻層上的添加層或區中。
添加材料將進一步詳述於下。如上述內容,添加材料包含之高分子具有漂浮單位與酸成份(或鹼成份)。漂浮單元與酸/鹼成份經由高分子主鏈鍵結在一起。
第4圖顯示添加材料400具有高分子鏈402,且漂浮單元404與鹼單元406鍵結至高分子鏈402。在一實施例中,鍵結至高分子鏈402之基團包含約50%之漂浮單元404與約50%之鹼單元406。然而,其他比例分佈亦可能存在。鹼單元406及/或漂浮單元404之百分比可控制添加材料之漂浮性與效能(比如消除不需要的曝光區)。在一實施例中,除了漂浮單元404與鹼單元406外,其他功能性基團可鍵結至高分子鏈402。添加材料400可為共聚物、混掺高分子、或其他高分子種類。在一實施例中,添加材料400係提供至正型光阻中。
第5圖顯示添加材料500具有高分子鏈402,且漂浮單元404與酸單元502鍵結至高分子鏈402。在一實施例中,鍵結至高分子鏈402之基團包含約50%之漂浮單元404與約50%之酸單元502。然而,其他比例分佈亦可能存在。酸單元502及/或漂浮單元404之百分比可控制添加材料之漂浮性與效能(比如消除不需要的曝光區)。在一實施例中,除了漂浮單元404與酸單元502外,其他功能性基團可鍵結至高分子鏈402。添加材料500可為共聚物、混掺高分子、或其他高分子種類。在一實施例中,添加材料500係提供至負型光阻中。
第4與5圖之添加材料的成份將進一步詳述。以第9 圖所示之成份900為例,其漂浮單元404連接至高分子鏈402。高分子鏈402可為PHS(如購自DupontTM之PHS高分子)、丙烯酸酯、C1-10碳鏈、及/或其他合適高分子鏈。CxFy單元鍵結至高分子鏈402。CxFy可提供添加材料(如第4圖之添加材料400或第5圖之添加材料500)之漂浮性質。CxFy成份可為鏈狀或分支單元,且碳數(x)介於1至9之間(包含1與9)。
CxFy成份可經由R1成份連接至高分子鏈402。在其他實施例中,可省略R1成份,而CxFy成份可直接連接至高分子鏈402。R1單元可為非分支或分支狀,環狀或非環狀、具有氫或鹵素之飽合的C1-9碳單元(如烷基)、或-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-、羧酸、醚、酮、酯單元、及/或其他合適成份。
舉例來說,漂浮單元404成份包含下列中任何一者:
如第10圖所示之實例,酸單元502連接至高分子鏈402,以形成成份1000。高分子鏈402可為PHS、丙烯酸酯、C1-10碳鏈、及/或其他合適高分子鏈。酸單元502鍵結至高分子鏈402。酸單元502可提供添加材料所需之性質,比如減少負型光阻中不需要的曝光結構。成份1000可為部份的第5圖之添加材料500。
酸單元可經由R2成份連接至高分子鏈402。在其他實施例中,可省略R2成份,而酸單元可直接連接至高分子鏈402。R2單元可為非分支或分支狀,環狀或非環狀、具有氫或鹵素之飽合的C1-9碳單元(如烷基)、或-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-、羧酸、醚、酮、酯單元、及/或其他合適成份,以連接酸與高分子鏈402。
舉例來說,酸單元502之酸可包含光活化成份(PAC)、光酸起始劑(PAG)、及/或熱酸起始劑(TAG)。酸可由陽離子及/或陰離子所組成。在熱及/或射線照射後,酸可擴散於添加層周圍。
舉例來說,酸單元502之成分包含下列之一:
如第11圖所示,鹼單元406連接至高分子鏈402,以形成成份1100。高分子鏈402可為PHS、丙烯酸酯、C1-10碳鏈、及/或其他合適高分子鏈。鹼單元406鍵結至高分子鏈402。鹼單元406可提供添加材料所需之性質,比如減少正型光阻中不需要的曝光結構。成份1100可為部份的第4圖之添加材料400。
鹼單元可經由R3成份連接至高分子鏈402。在其他實施例中,可省略R3成份,而鹼單元可直接連接至高分子鏈402。R3單元可為非分支或分支狀,環狀或非環狀、具有氫或 鹵素之飽合的C1-9碳單元(如烷基)、或-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-、羧酸、醚、酮、酯單元、及/或其他合適成份,以連接鹼與高分子鏈402。
舉例來說,鹼單元406之鹼可包含淬息劑或光分解鹼(PDB)、或熱分解鹼(TDB)。在照射含成份1100之添加材料後,鹼可擴散於添加層周圍。
舉例來說,鹼單元406之成分包含下列之一:
在下述內容中,本發明提供之實施例的添加材料400包含鹼單元406,且添加材料添加至及/或沉積於正型光敏層上。如此一來,添加材料的鹼可減少正型光阻的光酸反應。本發明亦提供實施例如含酸單元502之添加材料500,其添加至及/或沉積於負型光敏層上。如此一來,添加材料的酸可減少負型光阻的鹼產生。不論是添加至光阻、沉積於光阻上、或混合且沉積於另一光阻層上,添加材料均可形成層狀物或區於基板上,且添加材料下之光阻層或區可減少正光阻之光酸反應(或減少負光阻中產生的鹼)。
在此實施例中,步驟204中提及的添加材料與光敏材料,需在沉積於基板上前先混合。在一實施例中,添加材料與光敏材料之比例為約2%至約10%之間。在一實施例中,添加 材料與光敏材料之比例為約5%。在一實施例中,上述比例係添加材料於沉積之光敏材料中的比例。添加材料的比例可控制添加材料之厚度及/或效能(比如消除不想要的曝光區)。
在一實施例中,具有光敏材料(如負光阻或正光阻)與添加材料之混合物的材料,其形成方法為共聚合成份。換言之,添加劑為光阻材料的共聚物。添加劑可為週期共聚物、交替共聚物、統計共聚物、嵌段共聚物、及/或其他合適的共聚物種類。共聚物可為線型或分支。光敏材料與添加材料可提供至溶劑中。藉由施加至基板,添加材料可漂浮並形成沉積層之較上區域。
在另一實施例中,包含光敏材料(如負光阻或正光阻)與添加材料之材料的形成方法為混掺高分子。換言之,添加劑與光阻材料為高分子混掺物。高分子混掺物可為異相混掺物或均相混掺物。藉由施加至基板,添加材料可漂浮並形成沉積層之較上區域。
在又一實施例中,可製備採用習知光阻的第一光敏材料,並將其塗佈於目標上如下述。接著將含有添加材料之材料形成於基板上。在一實施例中,分開製備之添加材料亦包含光敏材料。舉例來說,添加材料400與正型光阻混合。在另一實例中,添加材料500與負型光阻混合。在一實施例中如前述,添加劑與光敏材料之比例可介於約1%至約10%之間,例如約5%。在此實施例中,可降低漂浮成份於添加材料之高分子鏈上的比例,甚至省略漂浮成份。
方法200接著進行步驟208,形成光阻與添加材料 與目標基板上。在一實施例中,光阻及/或添加材料形成於目標基板上的方法為旋轉塗佈製程。光阻材料與添加材料可同時沉積。舉例來說,一實施例中的添加材料與光阻材料混合如前述之步驟206,比如混合成共聚物或混掺高分子。在其他實施例中,可先形成光阻材料於目標基板上,再形成添加材料於光阻材料層上。在一實施例中,添加材料與光阻材料係依序沉積,且在沉積光阻材料與添加材料的步驟間沒有其他製程插入。
如第3圖所示之實例,光阻層306與添加層308係位於目標基板302上。光阻層306與前述步驟204中的光敏材料實質上相同。添加層308包含添加材料,如前述之步驟206。在一實施例中,添加層308亦包含與光阻層306同型的光敏材料。以裝置300為例的實施例中,光阻材料306為正型光阻,而添加層308包含之添加材料具有鹼成份,比如上述第4圖中的添加材料400。在另一實施例的裝置300中,光阻材料306為負型光阻,而添加層308包含之添加材料具有酸成份,比如上述第5圖中的添加材料500。光阻層306及/或添加層308之形成方法可為合適沉積製程,比如旋轉塗佈法。光阻層306與添加層308可形成於相同製程中,或各自形成於分開的製程中。在一實施例中,光阻層306與添加層308係同時沉積,且添加層308係由添加材料之漂浮現象形成,即形成較上部份的區域。在一實施例中,添加層308包含約5%的添加材料。
浸潤式微影的方法200接著進行步驟210,在具有光阻材料層之基板上進行曝光製程。第6圖顯示光微影系統 600。光微影系統600包含光罩102,其具有不透明區602以阻擋入射射線。射線束108穿過光罩102。光微影系統600更包含裝置300,如前述之第3圖。在這些包含光罩102的實施例中,除非在申請專利範圍中特別提及,否則進行光微影之本發明的任何部份將不限於下述舉例之光微影方式。舉例來說,雖然光微影系統600中的光罩具有二元材料,但其材料與方法並不限於應用UV射線,而可應用於電子束微影、其他光罩種類、浸潤式微影、及/或其他合適方法。
光罩102可包含氧化矽、熔融石英、氟化鈣(CaF2)、碳化矽、氧化矽-氧化鈦合金、或本技術領域已知的其他合適材料。不透明層602可為反射、吸收、或不透明層,或多層結構以圖案化入射的射線束。不透明層602可包含鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鋁銅(Al-Cu)、鈀、氮化鉭硼(TaBN)、氧化鋁(AlO)、鉬(Mo)如搭配矽或鈹的鉬、釕與釕化合物、及/或其他合適材料。
射線束108可為紫外線光源,比如深紫外線:氟化氪(KrF,248nm)、氟化氬(ArF,193nm)、氟(F2,157nm)、與極紫外線(EUV,13.5nm)。射線入射至光罩102後,被光罩102及形成其上之結構圖案化,形成圖案化之射線束108後入射裝置300。圖案化射線束提供曝光圖案於光阻層306及/或添加層308上。在特定實施例中,第6圖標示曝光部份306b與未曝光部份306a。
方法200接著進行步驟212,以烘烤製程加熱具有曝光後之光阻的基板,即曝光後烘烤(PEB)。PEB有利於高分子 溶解。舉例來說,烘烤可讓產生的光酸(或鹼)與高分子反應,有利於高分子溶解以圖案化光阻。
方法200接著進行步驟214,對光阻之曝光區(如正型光阻)或未曝光區(如負型光阻)進行圖案顯影製程,以保留所需的遮罩圖案。將基板浸入顯影液中一段預定的時間,以溶解移除部份光阻。此外,可進行分開的額外沖洗步驟。顯影溶液的組成取決於光阻的組成。在一實施例中,用於正型光阻的顯影溶液為2.38%之氫氧化四甲基銨(TMAH)的鹼性溶液。此外,用於負型光阻之顯影溶液可為正丁基醋酸酯(nBA)、2-庚酮、或甲基異丁基甲醇(MIBC)。顯影製程可形成圖案於光阻中,且光阻圖案可作為基板之後續製程(如形成半導體裝置或其部份)的遮罩單元。在步驟214中,顯影溶液亦移除部份添加層(包含光阻與添加材料)。
第7圖所示之實施例,係曝光(見第6圖)與顯影製程後之裝置300,其光阻層306為正光阻。在第7圖中,顯影溶液自目標基板302移除光阻層之曝光區域(如第6圖之曝光部份306b)。上述步驟形成圖案化開口702。上述步驟亦移除上方之添加層308的對應部份。如第7圖所示,添加層308包含添加材料400(如前述之第4圖)。在特定實施例中,添加層308包含高分子鏈402,其具有多個鹼單元406與漂浮單元404連接至高分子鏈402,如第4圖所示。在一實施例中,散射條不會形成於基板與層狀物上如前述之第1圖,因為添加劑可消除散射條的影響。
如第8圖所示之實例,在曝光(見第6圖)與顯影製程 後之裝置300中,光阻層306為負光阻。在第8圖中,顯影溶液自目標基板302移除負光阻之未曝光區域(如第6圖之未曝光部份306a)。上述步驟形成圖案化開口802於光阻層306之曝光部份306b之間。上述步驟亦移除上方之添加層308的對應部份。如第8圖所示,添加層308包含添加材料500(如前述之第5圖)。在特定實施例中,添加層308包含高分子鏈402,其具有多個酸單元502與漂浮單元404連接至高分子鏈402,如第5圖所示。
方法200可進行沖洗、乾燥、及/或其他合適製程。圖案化之光阻層可單獨或合併作為遮罩單元,可用於其下方之層狀物的一或多個製程如蝕刻、離子佈植、沉積、及/或其他合適製程如一般CMOS相容製程。接著可自基板剝除光敏材料層。
如此一來,一實施例之方法包含選擇光阻。光阻係擇自正型光阻或負型光阻。接著選擇添加材料。添加材料係第一添加劑或第二添加劑。第一添加劑具有氟成份與鹼成份連接至高分子。第二添加劑具有氟成份與酸成份連接至高分子。當光阻選擇正型光阻時,則選擇第一添加劑。當光阻選擇負型光阻時,則選擇第二添加劑。接著將選擇的光阻與添加材料施加至目標基板。
在另一實施例中,製作半導體裝置的方法包括:形成光敏層於目標基板上。形成添加層於光敏層上,添加層包括添加材料。添加材料包括高分子,其具有氟單元,與鹼單元及酸單元中的一者。光敏層包括鹼單元與酸單元中的另一者。舉例來說,一實施例之光敏層為正型光阻,其具有曝光活化的 酸,而添加材料包括鹼成份。在另一實例中,光敏層為負型光阻,其具有曝光活化的鹼,而添加材料包括酸成份。在此方法中,曝光具有光阻層與添加層位於其上之目標基板,以形成光敏層與添加層的曝光區及未曝光區。在曝光步驟後顯影目標基板,自目標基板移除曝光區及未曝光區中的一者。
在另一實施例中,光敏材料包括:正型光阻與添加材料,且添加材料與正型光阻混合。添加材料包括高分子鏈,其具有氟成份與鹼成份鍵結至高分子鏈。
在另一實施例中,光敏材料包括:負型光阻與添加材料,且添加材料與負型光阻混合。添加材料包括高分子鏈,其具有氟成份與酸成份鍵結至高分子鏈。
如此一來,上述實施例提供之光微影材料具有添加材料,藉由降低光敏層之較上層或區之光敏性,可消除不想要的結構。添加材料可漂浮至光敏層之頂部區域,或另外沉積於光敏層上。
藉由多個實施例之前述特徵,本技術領域中具有通常知識者對本發明可具有更佳理解。本技術領域中具有通常知識者應理解,以本發明為基礎可設計或調整其他製程與結構,用以達到這些實施例的相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解這些等效置換並未偏離本發明之精神與範疇,且可在不偏離本發明之精神與範疇的情況下進行多種改變、替換、及更改。
402‧‧‧高分子鏈
404‧‧‧漂浮單元
900‧‧‧成份

Claims (10)

  1. 一種製作半導體裝置的方法,包括:提供一光阻,其中該光阻係正型光阻與負型光阻中的一者;選擇一添加材料,其中該添加材料係第一添加劑與第二添加劑中的一者,其中該第一添加劑具有氟成份與鹼成份連接至高分子,其中該第二添加劑具有氟成份與酸成份連接至高分子,其中選擇該添加劑之步驟包括:當該光阻為正型光阻時選擇該第一添加劑,且當該光阻為負型光阻時選擇該第二添加劑;以及施加該光阻與選擇的該添加材料至一目標基板。
  2. 如申請專利範圍第1項所述之製作半導體裝置的方法,更包括:在施加該光阻與選擇的該添加材料至該目標基板前,先混合該光阻與選擇的該添加材料,其中該混合步驟包括提供該光阻與選擇的該添加材料之共聚物,或混掺該光阻與選擇的該添加材料之高分子。
  3. 如申請專利範圍第1項所述之製作半導體裝置的方法,更包括:使施加的該添加材料漂浮至施加的該光阻之頂部區域。
  4. 一種製作半導體裝置的方法,包括:形成一光敏層於一目標基板上;以及形成一添加層於該光敏層上,其中該添加層包括一添加材料;其中該添加材料包括一高分子,其具有一氟單元,與一鹼 單元及一酸單元中的一者;其中該光敏層包括該鹼單元與該酸單元中的另一者;曝光具有該光阻層與該添加層位於其上之該目標基板,以形成該光敏層與該添加層的一曝光區及一未曝光區;以及在該曝光步驟後顯影該目標基板,自該目標基板移除該曝光區及該未曝光區中的一者。
  5. 如申請專利範圍第4項所述之製作半導體裝置的方法,其中形成該添加層之步驟包括使該添加材料漂浮至該光敏層的頂部區域。
  6. 如申請專利範圍第4項所述之製作半導體裝置的方法,其中形成該光敏層之步驟係一第一沉積步驟,而形成該添加層之步驟係該第一沉積步驟之後的一第二沉積步驟。
  7. 一種光敏材料,包括:一正型光阻;以及一添加材料,與該正型光阻混合;其中該添加材料包括一高分子鏈,其具有氟成份與鹼成份鍵結至該高分子鏈。
  8. 如申請專利範圍第7項所述之光敏材料,其中該正型光阻與該添加材料的混合方式為形成上述兩者之共聚物,或形成上述兩者之高分子混掺物。
  9. 如申請專利範圍第7項所述之光敏材料,其中該氟成份具有組成CxFy,且x與y大於0。
  10. 如申請專利範圍第7項所述之光敏材料,其中該鹼成份經由R3成份鍵結至該高分子鏈,其中R3係具有氫或氟的C1-9碳單 元、-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-、羧酸、醚、酮、酯單元、或上述之組合。
TW104114099A 2014-05-05 2015-05-04 製作半導體裝置的方法與光敏材料 TWI566047B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461988691P 2014-05-05 2014-05-05
US14/310,656 US9529265B2 (en) 2014-05-05 2014-06-20 Method of preparing and using photosensitive material

Publications (2)

Publication Number Publication Date
TW201543165A TW201543165A (zh) 2015-11-16
TWI566047B true TWI566047B (zh) 2017-01-11

Family

ID=54355170

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104114099A TWI566047B (zh) 2014-05-05 2015-05-04 製作半導體裝置的方法與光敏材料

Country Status (4)

Country Link
US (1) US9529265B2 (zh)
KR (1) KR101698661B1 (zh)
CN (1) CN105093842B (zh)
TW (1) TWI566047B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US11822251B2 (en) 2016-02-09 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with polar-acid-labile-group
US10684545B2 (en) * 2017-11-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning assist layer having polymer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201341959A (zh) * 2011-12-21 2013-10-16 Tokyo Ohka Kogyo Co Ltd 光阻圖型之形成方法

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4123931A (en) 1976-08-03 1978-11-07 The Dow Chemical Company Method for uniformly coating liquid phases on glass beads and applications thereof
US4554237A (en) 1981-12-25 1985-11-19 Hitach, Ltd. Photosensitive resin composition and method for forming fine patterns with said composition
US5175124A (en) 1991-03-25 1992-12-29 Motorola, Inc. Process for fabricating a semiconductor device using re-ionized rinse water
TW494714B (en) 1995-04-19 2002-07-11 Tokyo Electron Ltd Method of processing substrate and apparatus for processing substrate
KR100187445B1 (ko) 1996-06-05 1999-04-15 김광호 웨이퍼 세정 방법 및 장치
DE19838241A1 (de) 1998-08-22 2000-02-24 Henrik Boettcher Verfahren zur chemischen Modifizierung von Feststoffoberflächen durch "lebende"/kontrollierte Radikalreaktionen
KR20000023292A (ko) 1998-09-22 2000-04-25 카나가와 치히로 레지스트 재료 및 패턴 형성 방법
US20010036725A1 (en) 1999-06-18 2001-11-01 Steven Chang Method for fabricating a bottom anti-reflectivity coating layer
US6770404B1 (en) 1999-11-17 2004-08-03 E. I. Du Pont De Nemours And Company Ultraviolet and vacuum ultraviolet transparent polymer compositions and their uses
US6594847B1 (en) 2000-03-28 2003-07-22 Lam Research Corporation Single wafer residue, thin film removal and clean
JP4177952B2 (ja) 2000-05-22 2008-11-05 富士フイルム株式会社 ポジ型レジスト組成物
JP4838437B2 (ja) 2000-06-16 2011-12-14 Jsr株式会社 感放射線性樹脂組成物
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6660828B2 (en) 2001-05-14 2003-12-09 Omnova Solutions Inc. Fluorinated short carbon atom side chain and polar group containing polymer, and flow, or leveling, or wetting agents thereof
US6613499B2 (en) 2001-06-12 2003-09-02 Macronix International Co., Ltd. Development method for manufacturing semiconductors
JP2003007664A (ja) 2001-06-22 2003-01-10 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
US6753071B1 (en) 2001-09-27 2004-06-22 Advanced Cardiovascular Systems, Inc. Rate-reducing membrane for release of an agent
JP2005532413A (ja) 2001-10-26 2005-10-27 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー エステル基を有するフッ素化ポリマー、およびマイクロリソグラフィー用フォトレジスト
US20030192570A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6849293B2 (en) 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6872505B1 (en) 2003-09-16 2005-03-29 Intel Corporation Enabling chain scission of branched photoresist
US7189493B2 (en) 2003-10-08 2007-03-13 Shin-Etsu Chemical Co., Ltd. Polymer, positive resist composition, and patterning process using the same
US7678527B2 (en) 2003-10-16 2010-03-16 Intel Corporation Methods and compositions for providing photoresist with improved properties for contacting liquids
US7232771B2 (en) 2003-11-04 2007-06-19 Regents Of The University Of Minnesota Method and apparatus for depositing charge and/or nanoparticles
US20050202351A1 (en) 2004-03-09 2005-09-15 Houlihan Francis M. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
EP1612604A3 (en) 2004-07-02 2006-04-05 Rohm and Haas Electronic Materials, L.L.C. Compositions and processes for immersion lithography
JP4448767B2 (ja) 2004-10-08 2010-04-14 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
US7595141B2 (en) 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
TWI332122B (en) 2005-04-06 2010-10-21 Shinetsu Chemical Co Novel sulfonate salts and derivatives, photoacid generators, resist compositions and patterning process
US20070122734A1 (en) 2005-11-14 2007-05-31 Roberts Jeanette M Molecular photoresist
TWI443461B (zh) * 2005-12-09 2014-07-01 Fujifilm Corp 正型光阻組成物、用於正型光阻組成物之樹脂、用於合成該樹脂之化合物及使用該正型光阻組成物之圖案形成方法
US20070166640A1 (en) * 2006-01-19 2007-07-19 Yayi Wei Defect reduction in immersion lithography
US20080299487A1 (en) 2007-05-31 2008-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography material and lithography process
US8435719B2 (en) 2006-08-08 2013-05-07 International Business Machines Corporation Tunable contact angle process for immersionlithography topcoats and photoresists
US20080156346A1 (en) 2006-12-28 2008-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for cleaning a substrate
US20080280230A1 (en) 2007-05-10 2008-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process including a chemical rinse
KR100971066B1 (ko) * 2007-06-29 2010-07-20 샌트랄 글래스 컴퍼니 리미티드 불소 함유 화합물, 불소 함유 고분자 화합물, 네거티브형레지스트 조성물 및 이것을 사용한 패턴 형성방법
US7951722B2 (en) 2007-08-08 2011-05-31 Xilinx, Inc. Double exposure semiconductor process for improved process margin
JP4813537B2 (ja) 2008-11-07 2011-11-09 信越化学工業株式会社 熱酸発生剤を含有するレジスト下層材料、レジスト下層膜形成基板及びパターン形成方法
JP5598351B2 (ja) * 2010-02-16 2014-10-01 信越化学工業株式会社 電子線用又はeuv用化学増幅ポジ型レジスト組成物及びパターン形成方法
IL213195A0 (en) 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
US8518634B2 (en) 2011-02-08 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning process for semiconductor device fabrication
US8476003B2 (en) 2011-03-09 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative rinse for semiconductor fabrication
US20130034966A1 (en) 2011-08-04 2013-02-07 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Chemical dispersion method and device
US9299593B2 (en) 2011-08-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning method and apparatus
JP5758263B2 (ja) 2011-10-11 2015-08-05 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 微細レジストパターン形成用組成物およびそれを用いたパターン形成方法
US20130302985A1 (en) 2012-05-10 2013-11-14 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Method of removing residue during semiconductor device fabrication
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201341959A (zh) * 2011-12-21 2013-10-16 Tokyo Ohka Kogyo Co Ltd 光阻圖型之形成方法

Also Published As

Publication number Publication date
US20150316846A1 (en) 2015-11-05
TW201543165A (zh) 2015-11-16
CN105093842B (zh) 2017-06-06
US9529265B2 (en) 2016-12-27
CN105093842A (zh) 2015-11-25
KR101698661B1 (ko) 2017-01-20
KR20150126766A (ko) 2015-11-13

Similar Documents

Publication Publication Date Title
US9012132B2 (en) Coating material and method for photolithography
TWI798185B (zh) 微影圖案化的方法
US8841058B2 (en) Photolithography material for immersion lithography processes
JP5568015B2 (ja) フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法
TWI417682B (zh) 微細化圖案之形成方法及用於它之光阻基板處理液
US8067148B2 (en) Pattern forming method
JP4679997B2 (ja) 微細パターン形成方法
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
JP2000315647A (ja) レジストパターン形成方法
TWI737856B (zh) 微影圖案化方法
TWI566047B (zh) 製作半導體裝置的方法與光敏材料
CN101025569A (zh) 用于形成半导体器件的微细图案的方法
JP2008003323A (ja) 微細化されたレジストパターンの形成方法
US8546069B2 (en) Method for enhancing lithographic imaging of isolated and semi-isolated features
JP2001267230A (ja) パターンの形成方法
KR20060071228A (ko) 반도체 소자의 패턴 및 그 형성방법
US20230108447A1 (en) Method for inspecting photosensitive composition and method for producing photosensitive composition
JP2009098395A (ja) バリア膜形成用材料及びパターン形成方法
JP5008275B2 (ja) 液浸リソグラフィ・プロセスとそのプロセス用構造
WO2001022170A1 (fr) Procede de formation d'un motif de resist presentant une resistance amelioree a la gravure seche
KR100272519B1 (ko) 반도체소자의 패터닝방법
KR20050038125A (ko) 미세 콘택홀 형성방법
Kajita et al. Influence of Glycerol in Developer on Novolak-Type Positive-Tone Resist Solubility
JP4613695B2 (ja) 半導体装置の製造方法
JPH06267934A (ja) 配線パターン形成材料およびパターン形成方法