JP2019507383A - 光増感化学増幅レジスト(ps−car)モデル較正 - Google Patents
光増感化学増幅レジスト(ps−car)モデル較正 Download PDFInfo
- Publication number
- JP2019507383A JP2019507383A JP2018544095A JP2018544095A JP2019507383A JP 2019507383 A JP2019507383 A JP 2019507383A JP 2018544095 A JP2018544095 A JP 2018544095A JP 2018544095 A JP2018544095 A JP 2018544095A JP 2019507383 A JP2019507383 A JP 2019507383A
- Authority
- JP
- Japan
- Prior art keywords
- sensitive material
- radiation
- car
- parameter
- radiation sensitive
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 206010034972 Photosensitivity reaction Diseases 0.000 title claims description 11
- 238000000034 method Methods 0.000 claims abstract description 139
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 88
- 239000002253 acid Substances 0.000 claims abstract description 84
- 230000008569 process Effects 0.000 claims abstract description 71
- 239000003504 photosensitizing agent Substances 0.000 claims abstract description 68
- 239000000463 material Substances 0.000 claims abstract description 47
- 230000005855 radiation Effects 0.000 claims abstract description 45
- 238000004088 simulation Methods 0.000 claims abstract description 34
- 230000004913 activation Effects 0.000 claims abstract description 20
- 230000004044 response Effects 0.000 claims description 40
- 238000011161 development Methods 0.000 claims description 23
- 230000003287 optical effect Effects 0.000 claims description 23
- 238000001459 lithography Methods 0.000 claims description 12
- 238000012795 verification Methods 0.000 claims description 7
- 238000010304 firing Methods 0.000 claims description 6
- 238000010200 validation analysis Methods 0.000 claims 2
- 238000004519 manufacturing process Methods 0.000 abstract description 3
- 238000000059 patterning Methods 0.000 description 36
- 238000010586 diagram Methods 0.000 description 28
- 238000013461 design Methods 0.000 description 22
- 239000000758 substrate Substances 0.000 description 19
- 238000012545 processing Methods 0.000 description 16
- 238000005457 optimization Methods 0.000 description 11
- 238000009792 diffusion process Methods 0.000 description 9
- 230000003321 amplification Effects 0.000 description 8
- 238000003199 nucleic acid amplification method Methods 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 125000005409 triarylsulfonium group Chemical group 0.000 description 8
- 238000004422 calculation algorithm Methods 0.000 description 6
- 238000004891 communication Methods 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- 238000005530 etching Methods 0.000 description 5
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 5
- YVGGHNCTFXOJCH-UHFFFAOYSA-N DDT Chemical compound C1=CC(Cl)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(Cl)C=C1 YVGGHNCTFXOJCH-UHFFFAOYSA-N 0.000 description 4
- 239000006117 anti-reflective coating Substances 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000010511 deprotection reaction Methods 0.000 description 4
- 230000000116 mitigating effect Effects 0.000 description 4
- 230000002093 peripheral effect Effects 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- -1 triarylsulfonium salt Chemical class 0.000 description 4
- 238000004364 calculation method Methods 0.000 description 3
- 238000013500 data storage Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000005286 illumination Methods 0.000 description 3
- 230000036211 photosensitivity Effects 0.000 description 3
- 230000035945 sensitivity Effects 0.000 description 3
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000001354 calcination Methods 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 125000000068 chlorophenyl group Chemical group 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000000835 fiber Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- YFSUTJLHUFNCNZ-UHFFFAOYSA-N perfluorooctane-1-sulfonic acid Chemical compound OS(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-N 0.000 description 2
- YNPNZTXNASCQKK-UHFFFAOYSA-N phenanthrene Chemical compound C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 description 2
- 238000010791 quenching Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- LWHOMMCIJIJIGV-UHFFFAOYSA-N (1,3-dioxobenzo[de]isoquinolin-2-yl) trifluoromethanesulfonate Chemical compound C1=CC(C(N(OS(=O)(=O)C(F)(F)F)C2=O)=O)=C3C2=CC=CC3=C1 LWHOMMCIJIJIGV-UHFFFAOYSA-N 0.000 description 1
- VLLPVDKADBYKLM-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;triphenylsulfanium Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 VLLPVDKADBYKLM-UHFFFAOYSA-M 0.000 description 1
- WRQROVPSTYSDFL-UHFFFAOYSA-N 1-methoxy-4-[2,2,2-tris(chloranyl)-1-(4-methoxyphenyl)ethyl]benzene Chemical compound C1=CC(OC)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(OC)C=C1.C1=CC(OC)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(OC)C=C1 WRQROVPSTYSDFL-UHFFFAOYSA-N 0.000 description 1
- JNPMLGQICFXYNY-UHFFFAOYSA-N BrC1C(CCC(C(CCC(C(CC1)Br)Br)Br)Br)Br.BrC1C(CCC(C(CCC(C(CC1)Br)Br)Br)Br)Br Chemical compound BrC1C(CCC(C(CCC(C(CC1)Br)Br)Br)Br)Br.BrC1C(CCC(C(CCC(C(CC1)Br)Br)Br)Br)Br JNPMLGQICFXYNY-UHFFFAOYSA-N 0.000 description 1
- DTPMMTAHTXQPRM-UHFFFAOYSA-N BrCCCCCCCCCCBr.BrCCCCCCCCCCBr Chemical compound BrCCCCCCCCCCBr.BrCCCCCCCCCCBr DTPMMTAHTXQPRM-UHFFFAOYSA-N 0.000 description 1
- GXKDLFJTKMEIGE-UHFFFAOYSA-N ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl.ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl Chemical compound ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl.ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl GXKDLFJTKMEIGE-UHFFFAOYSA-N 0.000 description 1
- 206010034960 Photophobia Diseases 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- SLGBZMMZGDRARJ-UHFFFAOYSA-N Triphenylene Natural products C1=CC=C2C3=CC=CC=C3C3=CC=CC=C3C2=C1 SLGBZMMZGDRARJ-UHFFFAOYSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 description 1
- 150000004056 anthraquinones Chemical class 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000012663 cationic photopolymerization Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000010835 comparative analysis Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- YLQWCDOCJODRMT-UHFFFAOYSA-N fluoren-9-one Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3C2=C1 YLQWCDOCJODRMT-UHFFFAOYSA-N 0.000 description 1
- 230000005283 ground state Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000000411 inducer Substances 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 239000003999 initiator Substances 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 208000013469 light sensitivity Diseases 0.000 description 1
- 238000012821 model calculation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- DCUJJWWUNKIJPH-UHFFFAOYSA-N nitrapyrin Chemical compound ClC1=CC=CC(C(Cl)(Cl)Cl)=N1 DCUJJWWUNKIJPH-UHFFFAOYSA-N 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 208000017983 photosensitivity disease Diseases 0.000 description 1
- 231100000434 photosensitization Toxicity 0.000 description 1
- 238000000053 physical method Methods 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000000171 quenching effect Effects 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 238000002922 simulated annealing Methods 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000003892 spreading Methods 0.000 description 1
- 230000007480 spreading Effects 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 229920000638 styrene acrylonitrile Polymers 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- YBNLWIZAWPBUKQ-UHFFFAOYSA-N trichloro(trichloromethylsulfonyl)methane Chemical compound ClC(Cl)(Cl)S(=O)(=O)C(Cl)(Cl)Cl YBNLWIZAWPBUKQ-UHFFFAOYSA-N 0.000 description 1
- 125000005580 triphenylene group Chemical group 0.000 description 1
- WLOQLWBIJZDHET-UHFFFAOYSA-N triphenylsulfonium Chemical compound C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 WLOQLWBIJZDHET-UHFFFAOYSA-N 0.000 description 1
- 239000012953 triphenylsulfonium Substances 0.000 description 1
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/70516—Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70216—Mask projection systems
- G03F7/70308—Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/70508—Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/7055—Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
- G03F7/70575—Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
Description
本願は、2016年2月19日に出願された、「光増感化学増幅レジスト(PS−CAR)モデル較正(Photo-sensitized Chemically Amplified Resist (PS-CAR) model
calibration)」と題する米国特許出願15/048,619に基づく優先権を主張するものであり、その全内容は引用により本明細書に組み込まれる。
あるいは、さらに、前述したように、少量の酸が生成されることができるが、それは、(PS−CARレジスト内に存在するクエンチャー(quencher)の量に応じた)クエンチングイベント(quenching events)などの競合する化学反応によって効果的に消費されることができる。
triarylsulfonium salt)、トリアリールスルホニウムヘキサフルオロアンチモネート塩(a
triarylsulfonium hexafluoroantimonate salt)、N−ヒドロキシナフタルイミドトリフラート(N-hydroxynaphthalimide triflate)、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタン(DDT)(1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane(DDT))、1,1−ビス[p−メトキシフェニル]−2,2,2−トリクロロエタン(1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane)、1,2,5,6,9,10−ヘキサブロモシクロドデカン(1,2,5,6,9,10-hexabromocyclododecane)、1,10−ジブロモデカン(1,10-dibromodecane)、1,1−ビス[p−クロロフェニル]2,2−ジクロロエタン(1,1-bis[p-chlorophenyl]2,2-dichloroethane)、4,4−ジクロロ−2−(トリクロロメチル)ベンズヒドロール(4,4-dichloro-2-(trichloromethyl)benzhydrol)、1,1−ビス(クロロフェニル)2−2,2−トリクロロエタノール(1,1-bis(chlorophenyl) 2-2,2-trichloroethanol)、ヘキサクロロジメチルスルホン(hexachlorodimethylsulfone)、2−クロロ−6−(トリクロロメチル)ピリジン(2-chloro-6-(trichloromethyl)pyridine)又はそれらの誘導剤のうちの少なくとも1つを含むことができる。
diffusion)プロセスのための加熱要素に近接して配置されることができる。さらに、PS−CARフォトレジスト層の1つ以上の部分は、湿式エッチング処理チャンバ、クリーニングチャンバ又はフォトレジスト選択的乾式エッチングチャンバ内でのエッチングの前に除去されることができる。さらに、(複数の)PS−CAR層は、専用の現像ユニット等の中で現像されることができる。これらの追加モジュール又はユニットは、本願明細書において提示される技術に関する議論を単純化するために図示されない。これらの追加の詳細は、当業者には公知である。
Claims (23)
- リソグラフィプロセスをシミュレーションするモデルを較正するための方法であって、当該方法は、
放射線感受性材料を用いたリソグラフィプロセスの少なくとも1つのプロセスパラメータのシミュレーションのために初期条件を較正するステップを含み、
前記放射線感受性材料は、
前記放射線感受性材料内において第1酸濃度まで酸の生成を制御し、前記放射線感受性材料内において光増感材分子の生成を制御する第1光波長活性化閾値と、
前記第1酸濃度より大きい第2酸濃度を有する酸を生じる前記放射線感受性材料内の前記光増感材分子を励起することができる第2光波長活性化閾値であって、第2光波長は第1光波長と異なる、第2光波長活性化閾値と、を備え、
当該方法はさらに、
予め特定された少なくとも1つのプロセスパラメータを用いてリソグラフィプロセスを行うステップを含む、
方法。 - 前記放射線感受性材料は光増感化学増幅レジスト(PS‐CAR)フォトレジスト材料である、
請求項1記載の方法。 - 前記放射線感受性材料の物理的パラメータを入力インタフェースにおいて受信するステップを
さらに含む、請求項1記載の方法。 - 前記第1光波長における放射線を放出するように構成された第1放射線源及び前記第2光波長における放射線を放出するように構成された第2放射線源のうちの少なくとも1つに関連する放射線露光パラメータを入力インタフェースにおいて受信するステップを
さらに含む、請求項3記載の方法。 - 前記放射線感受性材料のプロファイルを、リソグラフィプロセスモデルに従って、前記物理的パラメータ及び前記放射線露光パラメータに応答して、データプロセッサを用いて計算するステップを
さらに含む、請求項4記載の方法。 - 前記放射線感受性材料のプロファイルとモデルの実験的検証との比較に対応するエラー値を表すフィードバックを入力インタフェースにおいて受信するステップを
さらに含む、請求項5記載の方法。 - 前記フィードバックに応答して、前記物理的パラメータ及び前記放射線露光パラメータのうちの少なくとも1つを、データプロセッサを用いて最適化するステップを
さらに含む、請求項6記載の方法。 - 前記フィードバックに応答して、光学的パラメータを最適化するステップを
さらに含む、請求項6記載の方法。 - 前記フィードバックに応答して、酸生成パラメータ及び焼成パラメータのうちの1つ以上を最適化するステップを
さらに含む、請求項6記載の方法。 - 前記フィードバックに応答して、現像パラメータを最適化するステップを
さらに含む、請求項6記載の方法。 - 前記エラー値がエラー閾値マージン内にあるとの判定に応答して、前記の最適化された物理的パラメータ及び前記の最適化された放射線露光パラメータのうちの少なくとも1つを含む、出力インタフェースにおける出力を生成するステップを
さらに含む、請求項6記載の方法。 - シミュレーションされたリソグラフィプロセスのモデルを較正するためのシステムであって、当該システムは、
放射線感受性材料を用いたリソグラフィプロセスの少なくとも1つのプロセスパラメータのシミュレーションのために初期条件を較正するように構成されたデータプロセッサを備え、
前記放射線感受性材料は、
前記放射線感受性材料内において第1酸濃度まで酸の生成を制御し、前記放射線感受性材料内において光増感材分子の生成を制御する第1光波長活性化閾値と、
前記第1酸濃度より大きい第2酸濃度を有する酸を生じる前記放射線感受性材料内の前記光増感材分子を励起することができる第2光波長活性化閾値であって、第2光波長は第1光波長と異なる、第2光波長活性化閾値と、を備える、
システム。 - 前記放射線感受性材料は光増感化学増幅レジスト(PS‐CAR)フォトレジスト材料である、
請求項12記載のシステム。 - 前記放射線感受性材料の物理的パラメータを受信するように構成された入力インタフェースを
さらに備える、請求項12記載のシステム。 - 前記入力インタフェースはさらに、前記第1光波長における放射線を放出するように構成された第1放射線源及び前記第2光波長における放射線を放出するように構成された第2放射線源のうちの少なくとも1つに関連する放射線露光パラメータを受信するように構成されている、
請求項14記載のシステム。 - 前記データプロセッサはさらに、前記放射線感受性材料のプロファイルを、リソグラフィプロセスモデルに従って、前記物理的パラメータ及び前記放射線露光パラメータに応答して計算するように構成されている、
請求項15記載のシステム。 - 前記入力インタフェースはさらに、前記放射線感受性材料のプロファイルと前記モデルの実験的検証との比較に対応するエラー値を表すフィードバックを受信するように構成されている、
請求項16記載のシステム。 - 前記データプロセッサはさらに、前記フィードバックに応答して、前記物理的パラメータ及び前記放射線露光パラメータのうちの少なくとも1つを最適化するように構成されている、
請求項17記載のシステム。 - 前記データプロセッサはさらに、前記フィードバックに応答して、光学的パラメータを最適化するように構成されている、
請求項17記載のシステム。 - 前記データプロセッサはさらに、前記フィードバックに応答して、酸生成パラメータ及び焼成パラメータのうちの1つ以上を最適化するように構成されている、
請求項17記載のシステム。 - 前記データプロセッサはさらに、前記フィードバックに応答して、現像パラメータを最適化するように構成されている、
請求項17記載のシステム。 - 前記エラー値がエラー閾値マージン内にあるとの判定に応答して、前記の最適化された物理的パラメータ及び前記の最適化された露光パラメータのうちの少なくとも1つを含む出力を生成するように構成された出力インタフェースを
さらに備える、請求項17記載のシステム。 - シミュレーションされたリソグラフィプロセスのモデルを較正するための方法であって、当該方法は、
前記リソグラフィプロセスで使用するための放射線感受性材料の物理的パラメータを、入力インタフェースにおいて受信するステップと、
前記リソグラフィプロセスの第1放射線露光ステップ及び第2放射線露光ステップのうちの少なくとも1つに関連する放射線露光パラメータを、前記入力インタフェースにおいて受信するステップと、
前記放射線感受性材料のプロファイルを、リソグラフィプロセスモデルに従って、前記物理的パラメータ及び前記放射線露光パラメータに応答して、データプロセッサを用いて計算するステップと、
前記放射線感受性材料のプロファイルとモデルの実験的検証との比較に対応するエラー値を表すフィードバックを、前記入力インタフェースにおいて受信するステップと、
前記フィードバックに応答して、前記物理的パラメータ及び前記放射線露光パラメータの少なくとも1つを、前記データプロセッサを用いて最適化するステップと、
前記エラー値がエラー閾値マージン内にあるとの判定に応答して、前記の最適化された前記物理的パラメータ及び前記の最適化された放射線露光パラメータのうちの少なくとも1つを含む、出力インタフェースにおける出力を生成するステップと、
を含む方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/048,619 US10048594B2 (en) | 2016-02-19 | 2016-02-19 | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US15/048,619 | 2016-02-19 | ||
PCT/US2017/018337 WO2017143166A1 (en) | 2016-02-19 | 2017-02-17 | Photo-sensitized chemically amplified resist (ps-car) model calibration |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2019507383A true JP2019507383A (ja) | 2019-03-14 |
JP7064080B2 JP7064080B2 (ja) | 2022-05-10 |
Family
ID=59625421
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2018544095A Active JP7064080B2 (ja) | 2016-02-19 | 2017-02-17 | 光増感化学増幅レジスト(ps-car)モデル較正 |
Country Status (5)
Country | Link |
---|---|
US (1) | US10048594B2 (ja) |
JP (1) | JP7064080B2 (ja) |
CN (1) | CN109073985B (ja) |
TW (1) | TWI651623B (ja) |
WO (1) | WO2017143166A1 (ja) |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9618848B2 (en) * | 2014-02-24 | 2017-04-11 | Tokyo Electron Limited | Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes |
US10020195B2 (en) * | 2014-02-25 | 2018-07-10 | Tokyo Electron Limited | Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists |
US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
KR102475021B1 (ko) | 2016-05-13 | 2022-12-06 | 도쿄엘렉트론가부시키가이샤 | 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어 |
KR102177192B1 (ko) | 2016-05-13 | 2020-11-10 | 도쿄엘렉트론가부시키가이샤 | 광 작용제의 사용에 의한 임계 치수 제어 |
US11462929B2 (en) * | 2016-10-04 | 2022-10-04 | University Of Washington | Systems and methods for direct estimation of battery parameters using only charge/discharge curves |
TWI687781B (zh) | 2017-12-22 | 2020-03-11 | 荷蘭商Asml荷蘭公司 | 用於減少光阻模型預測錯誤之系統及方法 |
KR20200123247A (ko) | 2018-03-19 | 2020-10-28 | 도쿄엘렉트론가부시키가이샤 | 교정된 조정 선량을 사용하여 임계 치수를 보정하기 위한 방법 |
WO2019240279A1 (ja) * | 2018-06-14 | 2019-12-19 | 国立大学法人大阪大学 | レジストパターン形成方法 |
KR20210092238A (ko) * | 2018-11-21 | 2021-07-23 | 도쿄엘렉트론가부시키가이샤 | 기판 처리의 조건 설정 지원 방법, 기판 처리 시스템, 기억 매체 및 학습 모델 |
US11493850B2 (en) | 2019-07-23 | 2022-11-08 | Samsung Electronics Co., Ltd. | Lithography method using multi-scale simulation, semiconductor device manufacturing method and exposure equipment |
KR20220046598A (ko) | 2019-08-16 | 2022-04-14 | 도쿄엘렉트론가부시키가이샤 | 확률 중심 결함 교정을 위한 방법 및 공정 |
KR20210094191A (ko) | 2020-01-20 | 2021-07-29 | 삼성전자주식회사 | 광분해성 화합물 및 이를 포함하는 포토레지스트 조성물과 집적회로 소자의 제조 방법 |
US11846886B2 (en) * | 2020-11-23 | 2023-12-19 | International Business Machines Corporation | Photoacid generator |
KR20220149823A (ko) * | 2021-04-30 | 2022-11-09 | 삼성전자주식회사 | 멀티-스케일 시뮬레이션을 이용한 리소그라피 방법, 및 그 리소그라피 방법을 기반으로 한 반도체 소자 제조방법 및 노광 설비 |
CN118732409A (zh) * | 2023-03-28 | 2024-10-01 | 腾讯科技(深圳)有限公司 | 用于生成光刻胶图案的光刻胶模型的确定方法和装置 |
Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000232057A (ja) * | 1999-02-10 | 2000-08-22 | Hitachi Ltd | レジストパターンのシミュレーション方法およびパターン形成方法 |
CN1746878A (zh) * | 2004-09-08 | 2006-03-15 | 上海先进半导体制造有限公司 | 计算机模拟光刻工艺的参数拟合方法 |
JP2006210936A (ja) * | 2005-01-28 | 2006-08-10 | Asml Masktools Bv | 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置 |
JP2007025034A (ja) * | 2005-07-13 | 2007-02-01 | Toshiba Corp | リソグラフィシミュレーション方法、マスクパターン作成方法および半導体装置の製造方法 |
JP2010123959A (ja) * | 2008-11-24 | 2010-06-03 | Brion Technologies Inc | リソグラフィ装置で使用するための調和レジストモデル及びデバイス製造方法 |
US20100273099A1 (en) * | 2009-04-27 | 2010-10-28 | Tokyo Electron Limited | Flood exposure process for dual tone development in lithographic applications |
JP2013004672A (ja) * | 2011-06-15 | 2013-01-07 | Toshiba Corp | シミュレーションモデル作成方法 |
JP2013008967A (ja) * | 2011-06-22 | 2013-01-10 | Asml Netherlands Bv | 光源と画像の安定性を確保するシステム及び方法 |
JP2013162125A (ja) * | 2012-02-03 | 2013-08-19 | Asml Netherlands Bv | 3dレジストプロファイルのシミュレーション用のリソグラフィモデル |
US20150213161A1 (en) * | 2014-01-30 | 2015-07-30 | International Business Machines Corporation | Optical model employing phase transmission values for sub-resolution assist features |
JP2015156472A (ja) * | 2014-01-20 | 2015-08-27 | 東京エレクトロン株式会社 | 露光装置、レジストパターン形成方法及び記憶媒体 |
Family Cites Families (88)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4402571A (en) | 1981-02-17 | 1983-09-06 | Polaroid Corporation | Method for producing a surface relief pattern |
US4609615A (en) | 1983-03-31 | 1986-09-02 | Oki Electric Industry Co., Ltd. | Process for forming pattern with negative resist using quinone diazide compound |
EP0203215B1 (de) | 1985-05-29 | 1990-02-21 | Ibm Deutschland Gmbh | Verfahren zur Reparatur von Transmissionsmasken |
US4931380A (en) | 1985-07-18 | 1990-06-05 | Microsi, Inc. | Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist |
JPH0654390B2 (ja) | 1986-07-18 | 1994-07-20 | 東京応化工業株式会社 | 高耐熱性ポジ型ホトレジスト組成物 |
JPH0740543B2 (ja) | 1987-02-17 | 1995-05-01 | 松下電子工業株式会社 | 半導体装置の製造方法 |
JP2723405B2 (ja) | 1991-11-12 | 1998-03-09 | 松下電器産業株式会社 | 微細電極の形成方法 |
US5294680A (en) | 1992-07-24 | 1994-03-15 | International Business Machines Corporation | Polymeric dyes for antireflective coatings |
JP3158710B2 (ja) | 1992-09-16 | 2001-04-23 | 日本ゼオン株式会社 | 化学増幅レジストパターンの形成方法 |
US5534970A (en) | 1993-06-11 | 1996-07-09 | Nikon Corporation | Scanning exposure apparatus |
TW276353B (ja) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
JPH0990621A (ja) | 1995-09-21 | 1997-04-04 | Canon Inc | レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法 |
JP2910654B2 (ja) | 1996-01-30 | 1999-06-23 | 日本電気株式会社 | レジストパターン形成方法 |
JP2867964B2 (ja) | 1996-06-27 | 1999-03-10 | 日本電気株式会社 | レジスト膜パターンの形成方法 |
US5703375A (en) | 1996-08-02 | 1997-12-30 | Eaton Corporation | Method and apparatus for ion beam neutralization |
US5905019A (en) | 1997-09-26 | 1999-05-18 | International Business Machines Corporation | Thin resist process by sub-threshold exposure |
JPH11237737A (ja) | 1997-12-19 | 1999-08-31 | Kansai Shingijutsu Kenkyusho:Kk | 感光性樹脂組成物およびその製造方法 |
US6180320B1 (en) | 1998-03-09 | 2001-01-30 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby |
US6245492B1 (en) | 1998-08-13 | 2001-06-12 | International Business Machines Corporation | Photoresist system and process for aerial image enhancement |
JP2000208408A (ja) | 1999-01-19 | 2000-07-28 | Nec Corp | 化学増幅系レジストのパタ―ン形成方法 |
JP4557328B2 (ja) | 1999-02-01 | 2010-10-06 | 富士フイルム株式会社 | ポジ型フォトレジスト組成物 |
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
KR100693691B1 (ko) * | 2000-04-25 | 2007-03-09 | 동경 엘렉트론 주식회사 | 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치 |
JP2002006512A (ja) | 2000-06-20 | 2002-01-09 | Mitsubishi Electric Corp | 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法 |
WO2001098838A2 (en) | 2000-06-22 | 2001-12-27 | Koninklijke Philips Electronics N.V. | Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method |
US6548219B2 (en) | 2001-01-26 | 2003-04-15 | International Business Machines Corporation | Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions |
US6555479B1 (en) | 2001-06-11 | 2003-04-29 | Advanced Micro Devices, Inc. | Method for forming openings for conductive interconnects |
WO2003001297A2 (en) | 2001-06-26 | 2003-01-03 | Kla-Tencor Corporation | Method for determining lithographic focus and exposure |
US7136796B2 (en) | 2002-02-28 | 2006-11-14 | Timbre Technologies, Inc. | Generation and use of integrated circuit profile-based simulation information |
JP4410977B2 (ja) | 2002-07-09 | 2010-02-10 | 富士通株式会社 | 化学増幅レジスト材料及びそれを用いたパターニング方法 |
US6900001B2 (en) | 2003-01-31 | 2005-05-31 | Applied Materials, Inc. | Method for modifying resist images by electron beam exposure |
US6968253B2 (en) | 2003-05-07 | 2005-11-22 | Kla-Tencor Technologies Corp. | Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process |
SG115693A1 (en) | 2003-05-21 | 2005-10-28 | Asml Netherlands Bv | Method for coating a substrate for euv lithography and substrate with photoresist layer |
US7186486B2 (en) | 2003-08-04 | 2007-03-06 | Micronic Laser Systems Ab | Method to pattern a substrate |
JP4974049B2 (ja) | 2004-02-20 | 2012-07-11 | 株式会社ニコン | 露光方法、露光装置、並びにデバイス製造方法 |
US20050214674A1 (en) | 2004-03-25 | 2005-09-29 | Yu Sui | Positive-working photoimageable bottom antireflective coating |
JP4481723B2 (ja) | 2004-05-25 | 2010-06-16 | 株式会社東芝 | 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム |
JP4524154B2 (ja) | 2004-08-18 | 2010-08-11 | 富士フイルム株式会社 | 化学増幅型レジスト組成物及びそれを用いたパターン形成方法 |
US20060269879A1 (en) | 2005-05-24 | 2006-11-30 | Infineon Technologies Ag | Method and apparatus for a post exposure bake of a resist |
US7488933B2 (en) | 2005-08-05 | 2009-02-10 | Brion Technologies, Inc. | Method for lithography model calibration |
KR100703007B1 (ko) | 2005-11-17 | 2007-04-06 | 삼성전자주식회사 | 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법 |
EP1788441B1 (en) * | 2005-11-18 | 2010-07-07 | Agfa Graphics N.V. | Method of making a lithographic printing plate |
US20070275330A1 (en) | 2006-05-25 | 2007-11-29 | International Business Machines Corporation | Bottom anti-reflective coating |
JP2007334036A (ja) | 2006-06-15 | 2007-12-27 | Sekisui Chem Co Ltd | 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ |
US7687205B2 (en) | 2006-06-15 | 2010-03-30 | The Boeing Company | Photolithographic method and apparatus employing a polychromatic mask |
DE102006053074B4 (de) | 2006-11-10 | 2012-03-29 | Qimonda Ag | Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung |
JP4678383B2 (ja) | 2007-03-29 | 2011-04-27 | 信越化学工業株式会社 | 化学増幅ネガ型レジスト組成物及びパターン形成方法 |
US20090096106A1 (en) | 2007-10-12 | 2009-04-16 | Air Products And Chemicals, Inc. | Antireflective coatings |
US8088548B2 (en) | 2007-10-23 | 2012-01-03 | Az Electronic Materials Usa Corp. | Bottom antireflective coating compositions |
JP4961324B2 (ja) | 2007-10-26 | 2012-06-27 | 富士フイルム株式会社 | 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法 |
KR101585992B1 (ko) | 2007-12-20 | 2016-01-19 | 삼성전자주식회사 | 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법 |
US20090214985A1 (en) | 2008-02-27 | 2009-08-27 | Tokyo Electron Limited | Method for reducing surface defects on patterned resist features |
US20090274974A1 (en) | 2008-04-30 | 2009-11-05 | David Abdallah | Spin-on graded k silicon antireflective coating |
US7966582B2 (en) | 2008-05-23 | 2011-06-21 | Synopsys, Inc. | Method and apparatus for modeling long-range EUVL flare |
KR20110025211A (ko) | 2008-06-12 | 2011-03-09 | 바스프 에스이 | 술포늄 유도체 및 잠재성 산으로서의 그의 용도 |
NL2003654A (en) | 2008-11-06 | 2010-05-10 | Brion Tech Inc | Methods and system for lithography calibration. |
NL2003696A (en) * | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Scanner model representation with transmission cross coefficients. |
US8455176B2 (en) | 2008-11-12 | 2013-06-04 | Az Electronic Materials Usa Corp. | Coating composition |
KR101668505B1 (ko) | 2009-02-19 | 2016-10-28 | 브레우어 사이언스 인코포레이션 | 산-민감성, 현상제-용해성 바닥부 반사방지 코팅 |
DE102009015717B4 (de) | 2009-03-31 | 2012-12-13 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage |
JP5011345B2 (ja) | 2009-05-15 | 2012-08-29 | 東京エレクトロン株式会社 | レジストパターンのスリミング処理方法 |
WO2011023517A1 (en) | 2009-08-24 | 2011-03-03 | Asml Netherlands B.V. | Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets |
US8428762B2 (en) | 2009-08-28 | 2013-04-23 | Kla-Tencor Corporation | Spin coating modeling |
US8589827B2 (en) | 2009-11-12 | 2013-11-19 | Kla-Tencor Corporation | Photoresist simulation |
US8623458B2 (en) | 2009-12-18 | 2014-01-07 | International Business Machines Corporation | Methods of directed self-assembly, and layered structures formed therefrom |
US8795952B2 (en) | 2010-02-21 | 2014-08-05 | Tokyo Electron Limited | Line pattern collapse mitigation through gap-fill material application |
US8124319B2 (en) | 2010-04-12 | 2012-02-28 | Nanya Technology Corp. | Semiconductor lithography process |
US8443308B2 (en) | 2011-05-02 | 2013-05-14 | Synopsys Inc. | EUV lithography flare calculation and compensation |
EP2729844B1 (en) | 2011-07-08 | 2021-07-28 | ASML Netherlands B.V. | Lithographic patterning process and resists to use therein |
CN103034048B (zh) | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 光刻方法 |
JP5705103B2 (ja) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | パターン形成方法 |
US8647817B2 (en) | 2012-01-03 | 2014-02-11 | Tokyo Electron Limited | Vapor treatment process for pattern smoothing and inline critical dimension slimming |
CN103309164A (zh) | 2012-03-09 | 2013-09-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9851639B2 (en) | 2012-03-31 | 2017-12-26 | International Business Machines Corporation | Photoacid generating polymers containing a urethane linkage for lithography |
JP2014143415A (ja) | 2012-12-31 | 2014-08-07 | Rohm & Haas Electronic Materials Llc | イオン注入法 |
US9977332B2 (en) | 2013-02-20 | 2018-05-22 | Osaka University | Resist patterning method, latent resist image forming device, resist patterning device, and resist material |
KR102357133B1 (ko) | 2014-02-21 | 2022-01-28 | 도쿄엘렉트론가부시키가이샤 | 광증감 화학 증폭형 레지스트 재료 및 이를 이용한 패턴 형성 방법, 반도체 디바이스, 리소그래피용 마스크와, 나노임프린트용 템플릿 |
US9618848B2 (en) | 2014-02-24 | 2017-04-11 | Tokyo Electron Limited | Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes |
US9746774B2 (en) | 2014-02-24 | 2017-08-29 | Tokyo Electron Limited | Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR) |
US9519227B2 (en) | 2014-02-24 | 2016-12-13 | Tokyo Electron Limited | Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR) |
US10020195B2 (en) | 2014-02-25 | 2018-07-10 | Tokyo Electron Limited | Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists |
US9645495B2 (en) | 2014-08-13 | 2017-05-09 | Tokyo Electron Limited | Critical dimension control in photo-sensitized chemically-amplified resist |
JP6512994B2 (ja) | 2015-08-20 | 2019-05-15 | 国立大学法人大阪大学 | 化学増幅型レジスト材料 |
JP6809843B2 (ja) | 2015-08-20 | 2021-01-06 | 国立大学法人大阪大学 | パターン形成方法 |
JP6774814B2 (ja) | 2015-08-20 | 2020-10-28 | 国立大学法人大阪大学 | 化学増幅型レジスト材料及びパターン形成方法 |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
KR102475021B1 (ko) | 2016-05-13 | 2022-12-06 | 도쿄엘렉트론가부시키가이샤 | 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어 |
-
2016
- 2016-02-19 US US15/048,619 patent/US10048594B2/en active Active
-
2017
- 2017-02-17 CN CN201780021440.7A patent/CN109073985B/zh active Active
- 2017-02-17 WO PCT/US2017/018337 patent/WO2017143166A1/en active Application Filing
- 2017-02-17 JP JP2018544095A patent/JP7064080B2/ja active Active
- 2017-02-18 TW TW106105403A patent/TWI651623B/zh active
Patent Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000232057A (ja) * | 1999-02-10 | 2000-08-22 | Hitachi Ltd | レジストパターンのシミュレーション方法およびパターン形成方法 |
CN1746878A (zh) * | 2004-09-08 | 2006-03-15 | 上海先进半导体制造有限公司 | 计算机模拟光刻工艺的参数拟合方法 |
JP2006210936A (ja) * | 2005-01-28 | 2006-08-10 | Asml Masktools Bv | 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置 |
JP2007025034A (ja) * | 2005-07-13 | 2007-02-01 | Toshiba Corp | リソグラフィシミュレーション方法、マスクパターン作成方法および半導体装置の製造方法 |
JP2010123959A (ja) * | 2008-11-24 | 2010-06-03 | Brion Technologies Inc | リソグラフィ装置で使用するための調和レジストモデル及びデバイス製造方法 |
US20100273099A1 (en) * | 2009-04-27 | 2010-10-28 | Tokyo Electron Limited | Flood exposure process for dual tone development in lithographic applications |
JP2013004672A (ja) * | 2011-06-15 | 2013-01-07 | Toshiba Corp | シミュレーションモデル作成方法 |
JP2013008967A (ja) * | 2011-06-22 | 2013-01-10 | Asml Netherlands Bv | 光源と画像の安定性を確保するシステム及び方法 |
JP2013162125A (ja) * | 2012-02-03 | 2013-08-19 | Asml Netherlands Bv | 3dレジストプロファイルのシミュレーション用のリソグラフィモデル |
JP2015156472A (ja) * | 2014-01-20 | 2015-08-27 | 東京エレクトロン株式会社 | 露光装置、レジストパターン形成方法及び記憶媒体 |
US20150213161A1 (en) * | 2014-01-30 | 2015-07-30 | International Business Machines Corporation | Optical model employing phase transmission values for sub-resolution assist features |
Also Published As
Publication number | Publication date |
---|---|
TWI651623B (zh) | 2019-02-21 |
KR20180110138A (ko) | 2018-10-08 |
CN109073985A (zh) | 2018-12-21 |
CN109073985B (zh) | 2021-11-09 |
WO2017143166A1 (en) | 2017-08-24 |
JP7064080B2 (ja) | 2022-05-10 |
US10048594B2 (en) | 2018-08-14 |
TW201738788A (zh) | 2017-11-01 |
US20170242344A1 (en) | 2017-08-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7064080B2 (ja) | 光増感化学増幅レジスト(ps-car)モデル較正 | |
JP7255058B2 (ja) | 光増感化学増幅レジスト(ps-car)シミュレーション | |
US9645495B2 (en) | Critical dimension control in photo-sensitized chemically-amplified resist | |
JP5198588B2 (ja) | モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置 | |
JP6283120B2 (ja) | 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー | |
US8321818B2 (en) | Model-based retargeting of layout patterns for sub-wavelength photolithography | |
CN110012672B (zh) | 用于负型可显影光致抗蚀剂的计算机建模及模拟的改进方法 | |
JP2019517137A5 (ja) | ||
JP2017507372A (ja) | 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術 | |
KR102678974B1 (ko) | 플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법 | |
US7493590B1 (en) | Process window optical proximity correction | |
Gao et al. | Calibration and verification of a stochastic model for EUV resist | |
Gao et al. | Application of an inverse Mack model for negative tone development simulation | |
US20210048749A1 (en) | Method and Process for Stochastic Driven Defectivity Healing | |
KR102720392B1 (ko) | 감광되고 화학적으로 증폭된 레지스트(ps-car) 모델 캘리브레이션 | |
US20160140278A1 (en) | Modeling Photoresist Shrinkage Effects In Lithography | |
US9678435B1 (en) | Horizontal development bias in negative tone development of photoresist | |
JP7360799B2 (ja) | レジストパターンをシミュレーションする方法、レジスト材料の組成の最適化方法、及び放射線の照射条件又は目標パターンの最適化方法 | |
Isoyan et al. | Modeling of nanolithography processes |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20200213 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20201209 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20201215 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210315 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20210629 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210929 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220104 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20220201 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20220201 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7064080 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |