JP2019517137A5 - - Google Patents

Download PDF

Info

Publication number
JP2019517137A5
JP2019517137A5 JP2018559865A JP2018559865A JP2019517137A5 JP 2019517137 A5 JP2019517137 A5 JP 2019517137A5 JP 2018559865 A JP2018559865 A JP 2018559865A JP 2018559865 A JP2018559865 A JP 2018559865A JP 2019517137 A5 JP2019517137 A5 JP 2019517137A5
Authority
JP
Japan
Prior art keywords
dose
overcoat layer
layer
exposing
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018559865A
Other languages
English (en)
Other versions
JP2019517137A (ja
JP6750155B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2017/032450 external-priority patent/WO2017197288A1/en
Publication of JP2019517137A publication Critical patent/JP2019517137A/ja
Publication of JP2019517137A5 publication Critical patent/JP2019517137A5/ja
Application granted granted Critical
Publication of JP6750155B2 publication Critical patent/JP6750155B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (22)

  1. 基板をパターン化する方法であって、
    下地層及び前記下地層上に形成されたパターン化層を有する基板を受け取るステップであって、前記パターン化層は、放射線感受性材料を含み、第1領域において第1限界寸法を有し、第2領域において第2限界寸法を有するさまざまな高さのパターンをさらに有し、前記第2領域は前記第1領域と異なり、前記第2限界寸法は前記第1限界寸法と異なるステップと、
    オーバーコート層を前記パターン化層上に適用するステップであって、前記オーバーコート層は、光増感剤発生剤化合物、光増感剤化合物、光酸発生剤化合物、光活性剤、酸含有化合物又はそれらの2つ以上の組み合わせから選択される光剤を含むステップと、
    前記オーバーコート層を電磁放射に露光するステップであって、前記第1領域に入射する電磁放射の第1線量は前記第2領域に入射する電磁放射の第2線量と異なり、前記第1線量と前記第2線量との間の差異は前記第1限界寸法と前記第2限界寸法との間の限界寸法差異(CD差異)に基づく、ステップと、
    前記オーバーコート層及びパターン化層を加熱するステップと、
    前記オーバーコート層及び前記パターン化層を現像するステップであって、前記CD差異を減少させるステップと、
    を含む方法。
  2. 前記オーバーコート層を露光する前記ステップは、前記オーバーコート層を紫外線(UV)放射に露光するステップを含む、
    請求項1に記載の方法。
  3. 紫外線(UV)放射線の波長は、175nmから450nmまでである、
    請求項2に記載の方法。
  4. 前記オーバーコート層を露光する前記ステップは、前記基板をスキャンするステップ、放射源をスキャンするステップ、前記基板を回転するステップ、又は、その2つ以上の組み合わせを含む、
    請求項1に記載の方法。
  5. 前記オーバーコート層を露光する前記ステップは、前記オーバーコート層をスキャンレーザービームに露光し、前記第1線量及び前記第2線量を、パルス周波数及びパルス出力のうちの少なくとも一方を前記第1線量と前記第2線量との間で変化させることによって生成するステップを含む、
    請求項1に記載の方法。
  6. 前記オーバーコート層を露光する前記ステップは、前記オーバーコート層をデジタルライトプロジェクション(DLP)システムからの電磁放射に露光し、前記第1線量及び前記第2線量を、光源出力及び源成形、投影ミラー振動率、及び/又は、ミラー「オン」状態のうちの少なくとも1つを前記第1線量と前記第2線量との間で変化させることによって生成するステップを含む、
    請求項1に記載の方法。
  7. 前記方法は、第1計測データを、前記基板を受け取る前記ステップの前に実行される第1現像後検査(ADI)から受け取るステップをさらに含む、
    請求項1に記載の方法。
  8. CD差異は、受け取った前記第1計測データに基づく、
    請求項7に記載の方法。
  9. 前記方法は、前記オーバーコート層及び前記パターン化層を現像する前記ステップの後に実行される第2現像後検査(ADI)において第2計測データを測定するステップをさらに含む、
    請求項1に記載の方法。
  10. 前記方法は、前記オーバーコート層を露光する前記ステップの間、前記第2計測データに基づいて、次に処理される基板の異なる領域に適用される電磁放射の量を変えるステップをさらに含む、
    請求項9に記載の方法。
  11. 前記方法は、
    減少した前記CD差異を有する前記パターン化層をパターンとして用いて、前記下地層をエッチングするステップと、
    前記下地層をエッチングする前記ステップの後に実行されるエッチング後検査(AEI)において第3計測データを測定するステップと、
    をさらに含む、
    請求項1に記載の方法。
  12. 前記方法は、前記オーバーコート層を露光する前記ステップの間、前記第3計測データに基づいて、次に処理される基板の異なる領域に適用される電磁放射の量を変えるステップをさらに含む、
    請求項11に記載の方法。
  13. 前記オーバーコート層を適用し露光する前記ステップと、前記オーバーコート層及び前記パターン化層を加熱し現像する前記ステップと、は前記パターン化層が形成されたのと同じフォトリソグラフィ・トラックツール内で実行される、
    請求項1に記載の方法。
  14. 前記オーバーコート層を適用し露光する前記ステップと、前記オーバーコート層及び前記パターン化層を加熱し現像する前記ステップと、は前記パターン化層が形成されたフォトリソグラフィ・トラックツールとは別のツール内で実行される
    請求項1に記載の方法。
  15. 前記方法は、
    前記オーバーコート層を適用するステップの前に、
    1波長の光を、パターン化マスクを介して前記放射線感受性材料層上に露光し、露光後ベークを実行するステップと、
    パターン露光された前記放射線感受性材料層を第1現像するステップであって、前記第1領域において第1限界寸法を有し、前記第2領域において前記第2限界寸法を有するさまざまな高さの前記パターン化層を形成するステップと、
    前記第1現像の後、前記パターン化層を検査するステップと、
    含む請求項1に記載の方法。
  16. 前記オーバーコート層を露光する前記ステップは、前記基板をスキャンするステップ、放射源をスキャンするステップ、前記基板を回転するステップ、又は、その2つ以上の組み合わせを含む、
    請求項15に記載の方法。
  17. 前記オーバーコート層を露光する前記ステップは、前記オーバーコート層をスキャンレーザービームに露光し、前記第1線量及び前記第2線量を、パルス周波数及びパルス出力のうちの少なくとも一方を前記第1線量と前記第2線量との間で変化させることによって生成するステップを含む、
    請求項15に記載の方法。
  18. 前記オーバーコート層を露光する前記ステップは、前記オーバーコート層をデジタルライトプロジェクション(DLP)システムからの電磁放射に露光し、前記第1線量及び前記第2線量を、光源出力及び源成形、投影ミラー振動率、及び/又は、ミラー「オン」状態のうちの少なくとも1つを前記第1線量と前記第2線量との間で変化させることによって生成するステップを含む、
    請求項15に記載の方法。
  19. 前記方法は、減少した前記CD差異を有する前記パターン化層をパターンとして用いて、前記下地層をエッチングするステップをさらに含む、
    請求項15に記載の方法。
  20. 前記オーバーコート層及び前記パターン化層を現像するステップ及び/又は前記下地層をエッチングする前記ステップの後に実行されるエッチング後検査(AEI)において第3計測データを測定するステップの後に実行される第2現像後検査(ADI)において第2計測データを測定するステップと、
    前記オーバーコート層を露光する前記ステップの間、前記第2計測データ、前記第3計測データ又は前記第2計測データと前記第2計測データとの組み合わせに基づいて、次に処理される基板の異なる露光領域に入射する電磁放射の線量を変えるステップと、
    をさらに含む、
    請求項19に記載の方法。
  21. 前記オーバーコート層及び前記パターン化層を現像するステップ及び/又は前記下地層をエッチングする前記ステップの後に実行されるエッチング後検査(AEI)において第3計測データを測定するステップの後に実行される第2現像後検査(ADI)において第2計測データを測定するステップと、
    前記オーバーコート層を露光する前記ステップの間、前記第2計測データ、前記第3計測データ又は前記第2計測データと前記第2計測データとの組み合わせに基づいて、次に処理される基板の異なる露光領域に入射する電磁放射の線量を変えるステップと、
    をさらに含む、
    請求項15に記載の方法。
  22. 基板上に形成されるパターンの第1領域における第1限界寸法と第2領域における第2限界寸法との間の限界寸法差異(CD差異)を減少するシステムであって、
    オーバーコート層を前記基板上のパターン化層上に堆積するためのオーバーコート・スピンカップと、
    前記オーバーコート層を電磁放射に露光するための露光システムと、
    前記基板を加熱するための加熱モジュールと、
    現像剤を前記オーバーコート層及びパターン化層に適用し、前記パターン化層の前記限界寸法を減少するための現像剤スピンカップと、
    前記オーバーコート・スピンカップ、前記露光システム、前記加熱モジュール及び前記現像剤スピンカップを制御するためのコントローラと、
    を備え、
    前記コントローラは、前記第2領域に入射する前記電磁放射の第2線量とは異なる前記第1領域に入射する前記電磁放射の第1線量を生じさせ、前記第1線量と前記第2線量との間の前記CD差異は、前記システムが前記基板を受け取る前に実行される第1現像後検査(ADI)から受け取られる第1計測データに基づいて、又は、前記システム内で限界寸法の変更の後に実行される第2現像後検査(ADI)から受け取られる第2計測データに基づいて、又は、前記パターン化層をパターンとして用いたエッチングプロセスの後に実行されるエッチング後検査(AEI)から受け取られる第3計測データに基づいて、又は、その2つ以上の組み合わせに基づく、ように構成される、
    システム。
JP2018559865A 2016-05-13 2017-05-12 光剤を用いた限界寸法制御 Active JP6750155B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662335991P 2016-05-13 2016-05-13
US62/335,991 2016-05-13
PCT/US2017/032450 WO2017197288A1 (en) 2016-05-13 2017-05-12 Critical dimension control by use of a photo agent

Publications (3)

Publication Number Publication Date
JP2019517137A JP2019517137A (ja) 2019-06-20
JP2019517137A5 true JP2019517137A5 (ja) 2020-06-18
JP6750155B2 JP6750155B2 (ja) 2020-09-02

Family

ID=58745491

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018559865A Active JP6750155B2 (ja) 2016-05-13 2017-05-12 光剤を用いた限界寸法制御

Country Status (6)

Country Link
US (2) US10096528B2 (ja)
JP (1) JP6750155B2 (ja)
KR (1) KR102177192B1 (ja)
CN (1) CN109313395B (ja)
TW (1) TWI662360B (ja)
WO (1) WO2017197288A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
DE102016221261A1 (de) * 2016-10-28 2018-05-03 Carl Zeiss Smt Gmbh Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente
EP3663856A1 (en) * 2018-12-07 2020-06-10 ASML Netherlands B.V. Method for adjusting a target feature in a model of a patterning process based on local electric fields
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN113809117B (zh) * 2020-06-16 2023-12-22 联华电子股份有限公司 半导体元件及其制作方法
CN111856888B (zh) * 2020-07-03 2023-06-23 儒芯微电子材料(上海)有限公司 一种增强密集图形光刻分辨率的方法
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness
US20220113635A1 (en) * 2020-10-08 2022-04-14 Tokyo Electron Limited Non-Destructive Coupon Generation via Direct Write Lithography for Semiconductor Process Development

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04239116A (ja) 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) * 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
TW276353B (ja) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
JPH0990621A (ja) 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
US5703375A (en) 1996-08-02 1997-12-30 Eaton Corporation Method and apparatus for ion beam neutralization
US5905019A (en) 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
JP2000035672A (ja) * 1998-03-09 2000-02-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6180320B1 (en) * 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
TW449799B (en) * 1998-03-09 2001-08-11 Mitsubishi Electric Corp Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000208408A (ja) 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
WO2001098838A2 (en) 2000-06-22 2001-12-27 Koninklijke Philips Electronics N.V. Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6548219B2 (en) 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4974049B2 (ja) 2004-02-20 2012-07-11 株式会社ニコン 露光方法、露光装置、並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
JP2007334036A (ja) 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
US7687205B2 (en) 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
DE102006053074B4 (de) 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
CN102056913A (zh) 2008-06-12 2011-05-11 巴斯夫欧洲公司 锍衍生物及其作为潜酸的用途
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
WO2010096615A2 (en) 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5011345B2 (ja) * 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
JP6236000B2 (ja) 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
JP5846046B2 (ja) 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) * 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP5741518B2 (ja) 2012-04-24 2015-07-01 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
KR101779683B1 (ko) 2013-02-20 2017-09-18 오사카 유니버시티 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
KR20160083080A (ko) * 2013-11-08 2016-07-11 도쿄엘렉트론가부시키가이샤 화학적 폴리싱 및 평탄화를 위한 방법
JP2016539361A (ja) * 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
WO2015125788A1 (ja) 2014-02-21 2015-08-27 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR101846109B1 (ko) 2014-02-24 2018-04-05 도쿄엘렉트론가부시키가이샤 감광성 화학적 증폭 레지스트 내에서의 감광제 농도의 측정을 위한 계측
JP6524388B2 (ja) 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
JP6895600B2 (ja) 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
JP2017521715A (ja) * 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法
WO2016025210A1 (en) 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6512994B2 (ja) 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制

Similar Documents

Publication Publication Date Title
JP2019517137A5 (ja)
JP7064080B2 (ja) 光増感化学増幅レジスト(ps-car)モデル較正
JP6750155B2 (ja) 光剤を用いた限界寸法制御
TWI227382B (en) Inspection method and device manufacturing method
JP6321189B2 (ja) パターン化膜の臨界寸法をシフトするシステムおよび方法
JP7255058B2 (ja) 光増感化学増幅レジスト(ps-car)シミュレーション
JP2019517026A5 (ja)
TWI464540B (zh) 微影裝置及器件製造方法
JP5669871B2 (ja) リソグラフィ方法および装置
KR102257460B1 (ko) 리소그래피 공정 모니터링 방법
JP2006269936A (ja) 回路パターン転写装置及び方法
JP7296439B2 (ja) 微細構造化部品のマイクロリソグラフィ製造のための方法
CN114641730A (zh) 图案化层状结构的光刻设备、图案化系统与方法
KR101791729B1 (ko) 노출 강도를 조정함으로써 극성 불균형을 감소시키는 방법 및 시스템
Lambrechts et al. 3 The Importance of
JP2014232809A (ja) ウェーハ欠陥補正方法、及び半導体製造装置
JPH0425114A (ja) レジストパターン形成方法
JPH04337702A (ja) 回折格子の作成方法
JPWO2019183056A5 (ja)