WO2001009683A1 - Reduction of resist poisoning - Google Patents

Reduction of resist poisoning Download PDF

Info

Publication number
WO2001009683A1
WO2001009683A1 PCT/US2000/020383 US0020383W WO0109683A1 WO 2001009683 A1 WO2001009683 A1 WO 2001009683A1 US 0020383 W US0020383 W US 0020383W WO 0109683 A1 WO0109683 A1 WO 0109683A1
Authority
WO
WIPO (PCT)
Prior art keywords
cap layer
gases
flow
forming
silicon
Prior art date
Application number
PCT/US2000/020383
Other languages
French (fr)
Inventor
Gill Yong Lee
Original Assignee
Infineon Technologies North America Corp.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies North America Corp. filed Critical Infineon Technologies North America Corp.
Publication of WO2001009683A1 publication Critical patent/WO2001009683A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors

Definitions

  • the invention relates generally to fabrication of devices such as integrated circuits. More particularly, the invention relates to the improving critical dimension control during patterning.
  • features are formed on a substrate.
  • the features for example, correspond to components such as transistors, capacitors, and resistors. These components are then interconnected to achieve the desired electrical function.
  • Lithographic techniques are used to pattern the device layer or layers. Such techniques typically use an exposure source to project a light image from a mask onto a photoresist (resist) layer formed on the surface of the substrate. The light illuminates the resist layer, exposing it with the desired pattern. Depending on whether a positive or negative tone resist is used, the exposed or unexposed portions of the resist layer are removed. The portions not protected by the resist are then, for example, etched to form the features in the substrate.
  • the imaging mechanism of photoresist is a photo-acid generator, which produces an acid to catalyze a chemical reaction in the resist when exposed to light.
  • the chemical reaction changes the resist solubility, enabling exposed or unexposed portions to be removed by a developer .
  • the dimensions of the features depend on the resolution capability of the lithographic systems.
  • the minimum feature size (F) achieved by a given generation of lithographic systems is referred to as the lithographic groundrule (GR) .
  • Critical dimension (CD) is defined as the minimum feature size that must be controlled. This includes, for example, linewidths, spacings, and contact widths.
  • CD control Due to the variations of light or reflectance into the resist layer, variations in CD occur. Controlling the variations in CD (CD control) becomes a critical issue, particularly with deep ultra-violet lithography (DUV) . CD control is facilitated by the use of an antireflective coating (ARC) beneath the resist to reduce reflectance variations into the resist caused by underlying layers .
  • ARC antireflective coating
  • Inorganic ARCs such as dielectric ARCs (DARCs) are attractive candidates for improving lithographic process window for DUV lithography. This is because inorganic ARCs possess desirable characteristics such as tunable properties, low defect levels, good conformality, high etch selectivity to resist, and small swing ratio.
  • DARCs dielectric ARCs
  • Resist poisoning refers to the contamination of the resist by, for example, amine radicals from the inorganic ARC, neutralizing the acid- generators which makes the contaminated portions of the resist insoluble by the developer. As a result, a foot in the resist profile is formed after development.
  • a conventional technique to prevent resist poisoning is to provide an oxide layer between the ARC and the resist layer. The oxide layer can be deposited on the ARC or formed by plasma treating the surface of the ARC. However, the oxide layer is ineffective in preventing resist poisoning with certain resist chemistry such as JSR TM 399 from JSR Microelectronics. In fact, the oxide layer seems to exacerbate the resist poisoning problem.
  • Fig. 1 shows an illustrative embodiment of the invention
  • Figs. 2-3 show the resist profile with and without silicon treatment in accordance with the invention.
  • the invention relates to reducing resist poisoning.
  • a cap layer is provided beneath the resist.
  • the cap layer comprises a silicon-rich layer.
  • the cap layer comprises an amorphous silicon layer.
  • excess dangling bonds can be created on a device layer beneath the resist layer to reduce resist poisoning.
  • the invention relates to the fabrication of devices, such as integrated circuits (ICs) .
  • the invention relates to reducing resist poisoning in order to improve the lithographic process window.
  • resist poisoning is reduced by providing a cap layer comprising silicon beneath the resist.
  • Fig. 1 shows one embodiment of the present invention for reducing resist poisoning.
  • a substrate 110 is provided.
  • the substrate comprises, for example, a semiconductor substrate such as a silicon wafer.
  • Other types of substrates such as those comprising gallium arsenide, germanium, silicon-on-insulator, or other semiconductor materials are also useful.
  • Non- semiconductor substrates can also be used.
  • the substrate can be at various stages of the process flow. For example, the substrate can be at the beginning of the process flow or partially processed to include features (not shown) .
  • the features are used to form, for example, integrated circuits (ICs) such as dynamic random access memories (DRAMs) or other types of ICs.
  • the features can also be used to form electromechanical or mechanical devices.
  • the term "substrate” is used to refer to a substrate which can be at any stage in the process flow.
  • a device layer 130 is formed above the substrate.
  • the device layer in one embodiment, comprises an ARC layer.
  • the ARC layer comprises an inorganic ARC layer.
  • the inorganic ARC layer comprises, for example, a DARC, such as silicon nitride (Si x N y ) , silicon oxynitride, (SiN x O y ) , hydrogenated silicon oxynitride, or other types of dielectric antireflective materials.
  • the DARC comprises silicon oxynitride.
  • Metallic ARCs or other inorganic antireflective materials are also useful.
  • the inorganic ARC layer is deposited using conventional techniques such as, for example, chemical vapor deposition (CVD) .
  • a multi-layer ARC stack utilizing absorption and destructive interference properties can be provided.
  • Multi-lyaer ARC stacks are described in copending US patent application USSN (attorney docket number 98P 7982 US) titled “Improved CD Control", which is herein incorporated by reference for all purposes.
  • An ARC layer comprising a graded refractive index is also useful.
  • Graded refractive index ARC layers are described in copending US patent application USSN 09/276,026 (attorney docket number 98P 7982 USOl) titled "Arc for improving CD control" , and which is also herein incorporated by reference for all purposes.
  • the device layer 110 comprises a dielectric layer.
  • Dielectric material such as silicon oxide, silicon nitride, or doped silicate glass such as boron-phosphorus-silicate glass, can be useful to serve as the device layer. Other types of dielectric materials are also useful.
  • the dielectric layer can be formed using conventional techniques.
  • a thin cap layer 140 is formed over the device layer to reduce resist poisoning between the ARC and the subsequently formed resist.
  • the cap layer comprises silicon.
  • the cap layer comprises a thin amorphous silicon layer.
  • the silicon comprising layer is sufficiently thin to avoid causing standing waves and interference in the resist.
  • the cap layer in one embodiment, is less than about 3 ⁇ A thick, preferably less than about lOA thick, and more preferably less than about 3A thick. The thickness of the cap layer can be optimized to produce the desired resist profile.
  • the cap layer comprises a mono-atomic layer that alters the surface morphology of the device layer.
  • the mono-atomic layer in one embodiment, comprises excess silicon dangling bonds on the surface of the device layer.
  • the cap layer comprises a silicon-rich layer.
  • the composition of the cap layer depends on the underlying device layer.
  • the cap layer would comprise a silicon-rich oxide or silicon-rich oxynitride if the underlying device layer comprised a silicon oxide or an oxynitride layer.
  • the resist layer 170 comprises, for example, any conventional resist used in lithography. Such resist can either be positive or negative tone resist.
  • the resist comprises JSR TM 399.
  • the resist is formed by conventional techniques.
  • the thickness of the resist is, for example, sufficiently thick to serve as an etch mask for the ARC open process. Typically, the thickness of the resist layer is about 0.2 - 10 ⁇ m.
  • the invention provides a silicon comprising cap layer underneath the resist to prevent resist poisoning.
  • the high mismatch in the refractive indices of silicon and resist can cause stancing waves or interference.
  • the cap layer is very thin (in some cases the thickness may not be measurable as in the case of a mono-atomic layer) , the impact of standing waves or interference is reduced or minimized.
  • the silicon cap layer is formed by chemical vapor deposition (CVD) , such as low pressure CVD (LPCVD) , plasma enhanced CVD (PECVD), high density plasma CVD (CVD), electron cyclotron resonance (ECR) CVD.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • CVD high density plasma CVD
  • ECR electron cyclotron resonance
  • a silicon precursor gas and a dilute gas or gas mixture are introduced into the chamber containing the substrate with the device layer.
  • a silicon precursor gas comprising SiH 4 is employed.
  • Other types of silicon precursors such as TEOS and Si 2 H 6 are also useful.
  • Dilute gas can include, for example, helium (He) , argon (Ar) , or Neon (Ne) .
  • the gases react in the chamber, depositing the silicon cap layer on the device layer .
  • the process parameters of forming the cap layer are as follows:
  • the deposition process forms a thin cap layer comprising silicon on the surface of the device layer.
  • the cap layer comprises a thin amorphous silicon layer.
  • the deposition process can also be used to alter the surface morphology of the device layer by creating excess silicon dangling bonds thereon.
  • the silicon comprising cap layer in one embodiment, is less than about 30A thick, preferably less than about lOA thick, and more preferably less than about 3A thick.
  • the process duration is about a range of 0.1 to 120 sec.
  • the process for forming the cap can be performed in the same process as the formation of the device layer (i.e., in-situ) .
  • the flow of gases that are not needed for forming the cap layer into the chamber are turned off.
  • the reactor power remains on and the flow of gases that are needed such as the silicon precursor and dilute (e.g., He) gases remain on or are turned on.
  • the oxygen gas sources such as N 2 0 or 0 2 are turned off while the RF plasma power, silicon precursor gas and dilute gas remain on to form the cap layer.
  • the duration of the process is typically about 0.1 - 120 sec.
  • the gas flow rates, power settings, pressure, and other parameters can be gradually adjusted after the end of the formation of the device layer to the desired levels for forming the cap layer.
  • a stabilization step can be performed prior to the commencement of the formation of the cap layer to stabilize gas flow rates. This is particularly useful when the gas flow regimes are different for the different processes.
  • Fig. 2 shows a SEM of a resist layer 270 formed over a silicon oxynitride ARC 230 without the silicon comprising cap layer as described.
  • the resist was patterned to form openings 210.
  • the resist profile narrows at the bottom of the opening. This phenomenon is referred to as footing. Footing occurs as a result of resist poisoning from the oxynitride ARC. Footing is undesirable as it distorts the dimensions of the features that are to be formed.
  • a silicon comprising cap layer 320 in accordance with one embodiment of the invention is provided between a resist layer 370 and a silicon oxynitride ARC 370. Openings 310 were formed in the resist.
  • the resist profile shows that the dimensions at the top and bottom of the openings are about the same, indicating that resist poisoning is avoided by the use of the silicon cap layer.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

A silicon-rich layer is provided beneath a resist to prevent resist poisoning by an underlying device layer.

Description

REDUCTION OF RESIST POISONING
Field of the Invention
The invention relates generally to fabrication of devices such as integrated circuits. More particularly, the invention relates to the improving critical dimension control during patterning.
Background of the Invention
In the fabrication of devices such as integrated circuits (ICs), features are formed on a substrate. The features, for example, correspond to components such as transistors, capacitors, and resistors. These components are then interconnected to achieve the desired electrical function.
To form the devices, layers are repeatedly deposited on the substrate and selectively patterned. Lithographic techniques are used to pattern the device layer or layers. Such techniques typically use an exposure source to project a light image from a mask onto a photoresist (resist) layer formed on the surface of the substrate. The light illuminates the resist layer, exposing it with the desired pattern. Depending on whether a positive or negative tone resist is used, the exposed or unexposed portions of the resist layer are removed. The portions not protected by the resist are then, for example, etched to form the features in the substrate.
The imaging mechanism of photoresist is a photo-acid generator, which produces an acid to catalyze a chemical reaction in the resist when exposed to light. The chemical reaction changes the resist solubility, enabling exposed or unexposed portions to be removed by a developer .
The dimensions of the features depend on the resolution capability of the lithographic systems. The minimum feature size (F) achieved by a given generation of lithographic systems is referred to as the lithographic groundrule (GR) . Critical dimension (CD) is defined as the minimum feature size that must be controlled. This includes, for example, linewidths, spacings, and contact widths.
Due to the variations of light or reflectance into the resist layer, variations in CD occur. Controlling the variations in CD (CD control) becomes a critical issue, particularly with deep ultra-violet lithography (DUV) . CD control is facilitated by the use of an antireflective coating (ARC) beneath the resist to reduce reflectance variations into the resist caused by underlying layers .
Inorganic ARCs, such as dielectric ARCs (DARCs), are attractive candidates for improving lithographic process window for DUV lithography. This is because inorganic ARCs possess desirable characteristics such as tunable properties, low defect levels, good conformality, high etch selectivity to resist, and small swing ratio.
However, the use of inorganic ARCs has been limited due to "resist poisoning." Resist poisoning refers to the contamination of the resist by, for example, amine radicals from the inorganic ARC, neutralizing the acid- generators which makes the contaminated portions of the resist insoluble by the developer. As a result, a foot in the resist profile is formed after development. A conventional technique to prevent resist poisoning is to provide an oxide layer between the ARC and the resist layer. The oxide layer can be deposited on the ARC or formed by plasma treating the surface of the ARC. However, the oxide layer is ineffective in preventing resist poisoning with certain resist chemistry such as JSR TM 399 from JSR Microelectronics. In fact, the oxide layer seems to exacerbate the resist poisoning problem.
As evident from the above discussion, it is desirable to effectively reduce resist poisoning to improve the lithographic process window.
Description of the Drawings
Fig. 1 shows an illustrative embodiment of the invention; and
Figs. 2-3 show the resist profile with and without silicon treatment in accordance with the invention.
Summary of the Invention
The invention relates to reducing resist poisoning. In one embodiment, a cap layer is provided beneath the resist. In one embodiment, the cap layer comprises a silicon-rich layer. Preferably, the cap layer comprises an amorphous silicon layer. Alternatively, excess dangling bonds can be created on a device layer beneath the resist layer to reduce resist poisoning. By reducing resist poisoning, the lithographic process window can be improved.
Description of the Invention
The invention relates to the fabrication of devices, such as integrated circuits (ICs) . In particular, the invention relates to reducing resist poisoning in order to improve the lithographic process window. In accordance with the invention, resist poisoning is reduced by providing a cap layer comprising silicon beneath the resist.
Fig. 1 shows one embodiment of the present invention for reducing resist poisoning. As shown, a substrate 110 is provided. The substrate comprises, for example, a semiconductor substrate such as a silicon wafer. Other types of substrates such as those comprising gallium arsenide, germanium, silicon-on-insulator, or other semiconductor materials are also useful. Non- semiconductor substrates can also be used. The substrate can be at various stages of the process flow. For example, the substrate can be at the beginning of the process flow or partially processed to include features (not shown) . The features are used to form, for example, integrated circuits (ICs) such as dynamic random access memories (DRAMs) or other types of ICs. The features can also be used to form electromechanical or mechanical devices. For purposes of discussion, the term "substrate" is used to refer to a substrate which can be at any stage in the process flow.
A device layer 130 is formed above the substrate. The device layer, in one embodiment, comprises an ARC layer. In one embodiment, the ARC layer comprises an inorganic ARC layer. The inorganic ARC layer comprises, for example, a DARC, such as silicon nitride (SixNy) , silicon oxynitride, (SiNxOy) , hydrogenated silicon oxynitride, or other types of dielectric antireflective materials. In a preferred embodiment, the DARC comprises silicon oxynitride. Metallic ARCs or other inorganic antireflective materials are also useful. The inorganic ARC layer is deposited using conventional techniques such as, for example, chemical vapor deposition (CVD) .
In another embodiment, a multi-layer ARC stack utilizing absorption and destructive interference properties can be provided. Multi-lyaer ARC stacks are described in copending US patent application USSN (attorney docket number 98P 7982 US) titled "Improved CD Control", which is herein incorporated by reference for all purposes. An ARC layer comprising a graded refractive index is also useful. Graded refractive index ARC layers are described in copending US patent application USSN 09/276,026 (attorney docket number 98P 7982 USOl) titled "Arc for improving CD control" , and which is also herein incorporated by reference for all purposes.
Alternatively, the device layer 110 comprises a dielectric layer. Dielectric material such as silicon oxide, silicon nitride, or doped silicate glass such as boron-phosphorus-silicate glass, can be useful to serve as the device layer. Other types of dielectric materials are also useful. The dielectric layer can be formed using conventional techniques.
A thin cap layer 140 is formed over the device layer to reduce resist poisoning between the ARC and the subsequently formed resist. In accordance with one embodiment of the invention, the cap layer comprises silicon. Preferably, the cap layer comprises a thin amorphous silicon layer. The silicon comprising layer is sufficiently thin to avoid causing standing waves and interference in the resist. The cap layer, in one embodiment, is less than about 3θA thick, preferably less than about lOA thick, and more preferably less than about 3A thick. The thickness of the cap layer can be optimized to produce the desired resist profile.
Alternatively, the cap layer comprises a mono-atomic layer that alters the surface morphology of the device layer. The mono-atomic layer, in one embodiment, comprises excess silicon dangling bonds on the surface of the device layer. In such a case, the cap layer comprises a silicon-rich layer. The composition of the cap layer depends on the underlying device layer. For example, the cap layer would comprise a silicon-rich oxide or silicon-rich oxynitride if the underlying device layer comprised a silicon oxide or an oxynitride layer.
The resist layer 170 comprises, for example, any conventional resist used in lithography. Such resist can either be positive or negative tone resist. In one embodiment, the resist comprises JSR TM 399. The resist is formed by conventional techniques. The thickness of the resist is, for example, sufficiently thick to serve as an etch mask for the ARC open process. Typically, the thickness of the resist layer is about 0.2 - 10 μm.
As described, the invention provides a silicon comprising cap layer underneath the resist to prevent resist poisoning. The high mismatch in the refractive indices of silicon and resist can cause stancing waves or interference. However, since the cap layer is very thin (in some cases the thickness may not be measurable as in the case of a mono-atomic layer) , the impact of standing waves or interference is reduced or minimized.
In one embodiment, the silicon cap layer is formed by chemical vapor deposition (CVD) , such as low pressure CVD (LPCVD) , plasma enhanced CVD (PECVD), high density plasma CVD (CVD), electron cyclotron resonance (ECR) CVD. Other types of plasma processes such as PVD are also useful. A silicon precursor gas and a dilute gas or gas mixture are introduced into the chamber containing the substrate with the device layer. In one embodiment, a silicon precursor gas comprising SiH4 is employed. Other types of silicon precursors such as TEOS and Si2H6 are also useful. Dilute gas can include, for example, helium (He) , argon (Ar) , or Neon (Ne) . The gases react in the chamber, depositing the silicon cap layer on the device layer .
The process parameters of forming the cap layer are as follows:
RF plasma power : about 10 - 5,000 watts chamber pressure : about 0.1 mTorr to 20 Torr spacing between showerhead and wafer: about 10 - 5000 mils silicon precursor flow rate (SiH4) : about 0 - 100 seem The deposition process forms a thin cap layer comprising silicon on the surface of the device layer. Preferably, the cap layer comprises a thin amorphous silicon layer. The deposition process can also be used to alter the surface morphology of the device layer by creating excess silicon dangling bonds thereon. The silicon comprising cap layer, in one embodiment, is less than about 30A thick, preferably less than about lOA thick, and more preferably less than about 3A thick. Typically, the process duration is about a range of 0.1 to 120 sec.
The process for forming the cap can be performed in the same process as the formation of the device layer (i.e., in-situ) . For in-situ processes, the flow of gases that are not needed for forming the cap layer into the chamber are turned off. The reactor power remains on and the flow of gases that are needed such as the silicon precursor and dilute (e.g., He) gases remain on or are turned on. For example, after forming a silicon oxynitride device layer by PECVD, the oxygen gas sources such as N20 or 02 are turned off while the RF plasma power, silicon precursor gas and dilute gas remain on to form the cap layer. The duration of the process is typically about 0.1 - 120 sec.
The gas flow rates, power settings, pressure, and other parameters can be gradually adjusted after the end of the formation of the device layer to the desired levels for forming the cap layer. A stabilization step can be performed prior to the commencement of the formation of the cap layer to stabilize gas flow rates. This is particularly useful when the gas flow regimes are different for the different processes.
Examples
Fig. 2 shows a SEM of a resist layer 270 formed over a silicon oxynitride ARC 230 without the silicon comprising cap layer as described. The resist was patterned to form openings 210. As seen from the SEM, the resist profile narrows at the bottom of the opening. This phenomenon is referred to as footing. Footing occurs as a result of resist poisoning from the oxynitride ARC. Footing is undesirable as it distorts the dimensions of the features that are to be formed.
Referring to Fig. 3, a silicon comprising cap layer 320 in accordance with one embodiment of the invention is provided between a resist layer 370 and a silicon oxynitride ARC 370. Openings 310 were formed in the resist. The resist profile shows that the dimensions at the top and bottom of the openings are about the same, indicating that resist poisoning is avoided by the use of the silicon cap layer.
While the invention has been particularly shown and described with reference to various embodiments, it will be recognized by those skilled in the art that modifications and changes may be made to the present invention without departing from its scope. The scope of the invention should therefore be determined not with reference to the above description but with reference to the appended claims along with their full scope of equivalents .

Claims

What is claimed is:
1. In the fabrication of devices, a method for reducing resist poisoning comprising: providing a substrate with a device layer; depositing a cap layer comprising silicon on the device layer; and depositing a resist layer over the cap layer.
2. The method of claim 1 wherein the device layer comprises an anti-reflective coating (ARC) .
3. The method of claim 2 wherein the device layer comprises an inorganic ARC.
4. The method of claim 4 wherein the inorganic ARC comprises a dielectric ARC.
5. The method of claim 4 wherein the dielectric ARC is selected from a group consisting of
6. The method of claim 3 wherein the inorganic ARC is selected from a group consisting
7. The method of claim 1 wherein the device layer comprises a dielectric layer.
8. The method of claim 7 wherein the device layer is selected from a group consisting of
9. The method of claim 1, 2, 3, 4, 5, 6, 7, or 8 wherein the cap layer comprises a silicon-rich layer.
10. The method of claim 9 wherein the cap layer comprises an amorphous silicon layer.
11. The method of claim 10 wherein the cap layer is thin to avoid interference.
12. The method of claim 11 wherein the cap layer comprises a thickness of less than about 30A
13. The method of claim 11 wherein the cap layer comprises a thickness of less than about lOA
14. The method of claim 11 wherein the cap layer comprises a thickness of less than about 3A
15. The method of claim 1, 2, 3, 4, 5, 6, 7, or 8 wherein forming the cap layer comprises forming excess dangling silicon bonds on the surface of the device layer.
16. The method of claim 15 wherein the excess dangling silicon bonds are formed by altering the surface morphology of the device layer.
17. The method of claim 15 wherein the cap layer is formed with in-situ process.
18. The method of claim 17 further comprises a stabilization step prior to forming the cap layer to stabilize flow of gases into a reaction chamber.
19. The method of claim 18 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
20. The method of claim 17 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
21. The method of claim 15 wherein forming the cap layer comprises : introducing silicon precursor and dilute gases into a reaction chamber; and causing a reaction to form the silicon cap layer.
22. The method of claim 1 wherein the cap layer is formed with in-situ process.
23. The method of claim 22 further comprises a stabilization step prior to forming the cap layer to stabilize flow of gases into a reaction chamber.
24. The method of claim 23 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
25. The method of claim 22 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
26. The method of claim 1 wherein forming the cap layer comprises : introducing silicon precursor and dilute gases into a reaction chamber; and causing a reaction to form the silicon cap layer.
27. The method of claim 9 wherein the cap layer is formed with in-situ process.
28. The method of claim 27 further comprises a stabilization step prior to forming the cap layer to stabilize flow of gases into a reaction chamber.
29. The method of claim 28 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
30. The method of claim 27 wherein forming the cap layer c3omprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
31. The method of claim 9 wherein forming the cap layer comprises : introducing silicon precursor and dilute gases into a reaction chamber; and causing a reaction to form the silicon cap layer.
32. The method of claim 10 wherein the cap layer is formed with in-situ process.
33. The method of claim 32 further comprises a stabilization step prior to forming the cap layer to stabilize flow of gases into a reaction chamber.
34. The method of claim 33 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
35. The method of claim 32 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
36. The method of claim 10 wherein forming the cap layer comprises : introducing silicon precursor and dilute gases into a reaction chamber; and causing a reaction to form the silicon cap layer.
37. The method of claim 11 wherein the cap layer is formed with in-situ process.
38. The method of claim 37 further comprises a stabilization step prior to forming the cap layer to stabilize flow of gases into a reaction chamber.
39. The method of claim 38 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
40. The method of claim 37 wherein forming the cap layer comprises leaving the reactor power and flow of silicon precursor and dilute gases while turning off flow of other gases after formation of the device layer.
41. The method of claim 11 wherein forming the cap layer comprises : introducing silicon precursor and dilute gases into a reaction chamber; and causing a reaction to form the silicon cap layer.
42. The method of claim 1 wherein the device comprises an integrated circuit.
43. The method of claim 1 wherein the device comprises memory integrated circuit.
PCT/US2000/020383 1999-08-02 2000-07-26 Reduction of resist poisoning WO2001009683A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36613199A 1999-08-02 1999-08-02
US09/366,131 1999-08-02

Publications (1)

Publication Number Publication Date
WO2001009683A1 true WO2001009683A1 (en) 2001-02-08

Family

ID=23441790

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/020383 WO2001009683A1 (en) 1999-08-02 2000-07-26 Reduction of resist poisoning

Country Status (2)

Country Link
TW (1) TW480583B (en)
WO (1) WO2001009683A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211626B2 (en) 2003-11-28 2012-07-03 Applied Materials, Inc. Maintenance of photoresist activity on the surface of dielectric arcs for 90 nm feature sizes
US8816710B2 (en) 2010-04-19 2014-08-26 Nidec-Read Corporation Inspection contact element and inspecting jig
US20180135183A1 (en) * 2016-11-13 2018-05-17 Applied Materials, Inc. Surface Treatment For EUV Lithography

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2145243A (en) * 1983-08-18 1985-03-20 Gen Electric Optical lithographic processes
EP0757290A2 (en) * 1995-08-03 1997-02-05 Matsushita Electric Industrial Co., Ltd. Pattern formation method and surface treating agent
EP0840361A2 (en) * 1996-11-04 1998-05-06 Applied Materials, Inc. Method and apparatus for depositing a film over a substrate
US5783365A (en) * 1994-12-16 1998-07-21 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2145243A (en) * 1983-08-18 1985-03-20 Gen Electric Optical lithographic processes
US5783365A (en) * 1994-12-16 1998-07-21 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device
EP0757290A2 (en) * 1995-08-03 1997-02-05 Matsushita Electric Industrial Co., Ltd. Pattern formation method and surface treating agent
EP0840361A2 (en) * 1996-11-04 1998-05-06 Applied Materials, Inc. Method and apparatus for depositing a film over a substrate

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
BASSOUS E ET AL: "TRIPLE LAYER SYSTEM FOR HIGH RESOLUTION MICROLITHOGRAPHY", IBM TECHNICAL DISCLOSURE BULLETIN,US,IBM CORP. NEW YORK, vol. 25, no. 11B, April 1983 (1983-04-01), pages 5916 - 5917, XP000807495, ISSN: 0018-8689 *
MORI S ET AL: "INVESTIGATION OF SUBSTRATE-EFFECT IN CHEMICALLY AMPLIFIED RESIST", PROCEEDINGS OF THE SPIE, XP000956033 *
STEPHEN A ET AL: "Antireflective coating strategies for 193 nm lithography", PROCEEDINGS OF THE SPIE,SPIE, BELLINGHAM, VA,US, VOL. 3678, PT.1-2, PAGE(S) 1315-1322, XP002150384 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211626B2 (en) 2003-11-28 2012-07-03 Applied Materials, Inc. Maintenance of photoresist activity on the surface of dielectric arcs for 90 nm feature sizes
US8816710B2 (en) 2010-04-19 2014-08-26 Nidec-Read Corporation Inspection contact element and inspecting jig
US20180135183A1 (en) * 2016-11-13 2018-05-17 Applied Materials, Inc. Surface Treatment For EUV Lithography

Also Published As

Publication number Publication date
TW480583B (en) 2002-03-21

Similar Documents

Publication Publication Date Title
US8465903B2 (en) Radiation patternable CVD film
KR101155141B1 (en) Techniques for the use of amorphous carbonapf for various etch and litho integration scheme
US8211626B2 (en) Maintenance of photoresist activity on the surface of dielectric arcs for 90 nm feature sizes
KR100655536B1 (en) Silylation method for reducing critical dimension loss and resist loss
US8293460B2 (en) Double exposure patterning with carbonaceous hardmask
US7901869B2 (en) Double patterning with a double layer cap on carbonaceous hardmask
JP3161040B2 (en) Method for manufacturing semiconductor device
KR101164690B1 (en) A semiconductor device having an organic anti-reflective coatingarc and method therefor
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
US7052988B1 (en) Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
KR20010104377A (en) Anti-reflective coatings and methods regarding same
US6589715B2 (en) Process for depositing and developing a plasma polymerized organosilicon photoresist film
US10361112B2 (en) High aspect ratio gap fill
WO2001009683A1 (en) Reduction of resist poisoning
US6903007B1 (en) Process for forming bottom anti-reflection coating for semiconductor fabrication photolithography which inhibits photoresist footing
KR100477386B1 (en) Improved dry photolithography process for deep ultraviolet exposure
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
EP1658635A1 (en) A method of forming a TEOS cap layer at low temperature and reduced deposition rate
KR100308421B1 (en) Method for forming metal layer of semiconductor devices
JP3988873B2 (en) Manufacturing method of semiconductor device
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
KR19990087968A (en) Method for manufacturing a semiconductor device
KR100367492B1 (en) Method for manufacturing conductive line in semiconductor device
KR20030058508A (en) Method for forming pattern of semiconductor device
KR20020056016A (en) Method for fabricating minute pattern

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
ENP Entry into the national phase

Country of ref document: RU

Kind code of ref document: A

Format of ref document f/p: F

Ref document number: 2002135618

Country of ref document: RU

Kind code of ref document: A

Format of ref document f/p: F

NENP Non-entry into the national phase

Ref country code: JP