KR20160105896A - 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발 - Google Patents

비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발 Download PDF

Info

Publication number
KR20160105896A
KR20160105896A KR1020167021530A KR20167021530A KR20160105896A KR 20160105896 A KR20160105896 A KR 20160105896A KR 1020167021530 A KR1020167021530 A KR 1020167021530A KR 20167021530 A KR20167021530 A KR 20167021530A KR 20160105896 A KR20160105896 A KR 20160105896A
Authority
KR
South Korea
Prior art keywords
amorphous carbon
carbon layer
hard mask
forming
dopant
Prior art date
Application number
KR1020167021530A
Other languages
English (en)
Other versions
KR102311036B1 (ko
Inventor
프라미트 만나
아브히지트 바수 말릭
루도빅 고데트
용메이 첸
준 수에
무쿤드 스리니바산
엘리에 와이. 이예
스리니바스 디. 네마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160105896A publication Critical patent/KR20160105896A/ko
Application granted granted Critical
Publication of KR102311036B1 publication Critical patent/KR102311036B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

본원에서 설명되는 실시예들은, 에칭 선택성 하드마스크를 형성하는 방법을 제공한다. 비정질 탄소 하드마스크에 다양한 도펀트들이 주입되어, 하드마스크의 경도 및 밀도가 증가된다. 비정질 탄소 하드마스크의 이온 주입은 또한, 하드마스크의 내부 응력을 유지하거나 또는 감소시킨다. 에칭 선택성 하드마스크는 일반적으로, 진보된 NAND 및 DRAM 디바이스들에서의 개선된 패터닝을 제공한다.

Description

비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발{DEVELOPMENT OF HIGH ETCH SELECTIVE HARDMASK MATERIAL BY ION IMPLANTATION INTO AMORPHOUS CARBON FILMS}
[0001] 본원에서 설명되는 실시예들은 일반적으로, 반도체 디바이스들을 위한 하드마스크(hardmask) 재료들을 형성하는 방법들에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은, 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성(high etch selective) 하드마스크 재료의 개발(development)에 관한 것이다.
[0002] 하드마스크들은 NAND 및 DRAM(dynamic random access memory) 디바이스들을 제작하기 위해 사용된다. 하드마스크들은 통상적으로, 리소그래픽 패터닝(lithographic patterning)에서 희생 층들로서 사용되고, 에칭 프로세스를 통해, 반도체 디바이스의 층 상으로의 피처(feature)들의 패터닝을 가능하게 한다. 패터닝된 피처들은, 예컨대, NAND 및 DRAM 디바이스들이 동작하게 허용하는 인터커넥트(interconnect)들 및 트랜지스터들을 형성할 수 있다.
[0003] 하드마스크 재료의 중요한 특성들은, 에칭 저항, 압축 응력, 기계적인 특성들, 및 제거성(removability)이다. 이상적인 하드마스크는 에칭될 층(이하, "하층(underlayer)")과 비교하여 높은 에칭 저항을 갖고, 그에 따라, 에칭 프로세스에서, 하드마스크는 에칭되지 않는 반면에, 하층은 에칭된다. 높은 에칭 저항은 하층으로의 하드마스크의 패턴의 효율적인 전사(transfer)를 허용한다. 에칭 저항은 일반적으로, 하드마스크 밀도와 정적 상관(positive correlation)을 나타낸다. 이상적인 하드마스크는 또한, 낮은 압축 응력을 갖는다. 더 낮은 압축 응력은, 추가적인 디바이스 제작을 어렵게 만들 수 있는, 하드마스크 증착 후의 바람직하지 않은 웨이퍼 휨(bow)을 제거한다. 부가하여, 이상적인 하드마스크는 강한 기계적인 특성들을 갖는다. 예컨대, 높은 모듈러스(modulus)(영률(Young's modulus)) 또는 경도는, 마스크 개방 단계 후의 고 종횡비 구조들의 라인 벤딩(line bending)을 감소시킬 것이다. 마지막으로, 이상적인 하드마스크는, 제작 프로세스를 단순화하도록, 쉽게 제거된다.
[0004] 현재의 하드마스크들은, 차세대 NAND 및 DRAM 디바이스들을 개발하는데 있어서 불충분하다. NAND 및 DRAM 디바이스들 상의 피처 사이즈들이 감소됨에 따라, 하드마스크 재료들은, 증가된 에칭 선택성(etch selectivity) 및 감소된 압축 응력 특성들을 나타낼 필요가 있다. 그러나, 에칭 선택성을 증가시키는 것에서의 현재의 시도들은 압축 응력에서의 증가를 초래하였고, 압축 응력을 감소시키는 것에서의 시도들은 에칭 선택성에서의 감소를 초래하였다.
[0005] 따라서, 하드마스크 재료의 압축 응력을 유지하거나 또는 감소시키면서, 증가된 에칭 선택성을 나타내는 하드마스크 재료를 형성하는 방법들이 본 기술분야에서 필요하다.
[0006] 본원에서 설명되는 실시예들은, 에칭 선택성 하드마스크를 형성하는 방법을 제공한다. 비정질 탄소 하드마스크에 다양한 도펀트(dopant)들이 주입되어, 하드마스크의 경도 및 밀도가 증가된다. 비정질 탄소 하드마스크의 이온 주입은 또한, 하드마스크의 내부 응력을 유지하거나 또는 감소시킨다. 에칭 선택성 하드마스크는 일반적으로, 진보된 NAND 및 DRAM 디바이스들에서의 개선된 패터닝을 제공한다.
[0007] 일 실시예에서, 비정질 탄소 막을 형성하는 방법이 제공된다. 방법은, 하층 상에 비정질 탄소 층을 증착하는 단계, 및 비정질 탄소 층을 패터닝하는 단계를 포함한다. 비정질 탄소 층의 적어도 부분이 에칭되고, 틸트(tilt) 프로세싱에 의해, 비정질 탄소 층 내로 도펀트가 주입된다. 최종적으로, 하층이 에칭된다.
[0008] 다른 실시예에서, 비정질 탄소 층을 형성하는 방법이 제공된다. 방법은, 하층 상에 비정질 탄소 층을 증착하는 단계, 및 비정질 탄소 층을 패터닝하는 단계를 포함한다. 비정질 탄소 층의 적어도 부분이 에칭되고, 비정질 탄소 층 내로 도펀트가 주입된다. 비정질 탄소 층의 적어도 부분을 에칭하는 단계, 및 비정질 탄소 층 내로 도펀트를 주입하는 단계는, 하층이 노출될 때까지, 연속적으로 반복된다.
[0009] 또 다른 실시예에서, 비정질 탄소 층을 형성하는 방법이 제공된다. 방법은, 하층 상에 비정질 탄소 층의 부분을 증착하는 단계, 및 비정질 탄소 층의 증착된 부분 내로 도펀트를 주입하는 단계를 포함한다. 비정질 탄소 층의 부분을 증착하는 단계, 및 도펀트를 주입하는 단계는, 비정질 탄소 층의 원하는 두께가 달성될 때까지, 연속적으로 반복된다. 그 후에, 비정질 탄소 층이 패터닝되고, 에칭된다.
[0010] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 실시예들의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a 내지 도 1h는, 본원에서 개시되는 일 실시예에 따른 하드마스크 형성 시퀀스를 예시하는, 기판의 개략적인 단면도들이다.
[0012] 도 2a 내지 도 2h는, 본원에서 개시되는 일 실시예에 따른 하드마스크 형성 시퀀스를 예시하는, 기판의 개략적인 단면도들이다.
[0013] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이, 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0014] 이상적인 하드마스크 재료들은, 유전체 스택(stack)들과 같은 하층 재료들의 에칭과 비교하여 매우 높은 에칭 저항을 나타내야 한다. 부가하여, 하드마스크 재료들은 또한, 낮은 응력 및 강한 기계적인 특성들을 나타내야 한다. 더 낮은 응력은 일반적으로, 하드마스크 증착 후의 바람직하지 않은 웨이퍼 휨을 감소시키거나 또는 제거하는 반면에, 증가된 경도는 일반적으로, 하드마스크가 개방된 후의 고 종횡비 구조들의 바람직하지 않은 라인 벤딩을 감소시킨다.
[0015] 본원에서 설명되는 실시예들은, 에칭 선택성 하드마스크를 형성하는 방법을 제공한다. 비정질 탄소 하드마스크에 다양한 도펀트들이 주입되어, 하드마스크의 경도 및 밀도가 증가된다. 비정질 탄소 하드마스크의 이온 주입은 또한, 하드마스크의 내부 응력을 유지하거나 또는 감소시킨다. 에칭 선택성 하드마스크는 일반적으로, 진보된(advanced) NAND 및 DRAM 디바이스들에서의 개선된 패터닝을 제공한다.
[0016] 도 1a 내지 도 1h는, 일 실시예에 따른 하드마스크 형성 시퀀스를 예시하는, 스택(100)의 개략적인 단면도들이다. 본원에서 활용되는 바와 같이, 스택은, 기판(102), 및 기판(102) 상에 배치된 하나 또는 그 초과의 층들을 포함한다. 스택(100)은 기판(102) 및 하층(104)을 포함한다. 하층(104), 본원에서 활용되는 바와 같이, 하층은, 비정질 탄소 하드마스크(106) 아래에 배치된 임의의 층을 포함한다. 예컨대, 하드마스크(106)는, 하드마스크(106)와 하층(104)이 서로 물리적으로 접촉하도록, 하층(104) 바로 위에 배치될 수 있다.
[0017] 도 1a에서 예시된 바와 같이, 스택은, 기판(102), 및 기판(102) 위에 배치된 하층(104)을 포함한다. 하층(104)은, 예컨대, 실리콘 이산화물 또는 실리콘 질화물과 같은 유전체 층, 또는 실리콘 또는 게르마늄과 같은 반도체 재료일 수 있다. 하층(104)은, 유전체 스택과 같은, 다수의 층들의 스택, 또는 단일 산화물 또는 질화물 층과 같은 단일 층일 수 있다.
[0018] 도 1b에서, 비정질 탄소 하드마스크(106)가 하층(104) 위에 형성된다. 하드마스크(106)는, 다양한 플라즈마 강화 화학 기상 증착(PECVD) 챔버들 또는 시스템들, 예컨대, CENTURA? ULTIMA HDP-CVD? 시스템, PRODUCER? APFTM PECVD 시스템, 또는 PRODUCER? TEOS FSG PECVD 시스템에 의해 증착될 수 있고, 이러한 예들은, 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 위에서 언급된 시스템들에 부가하여, 다른 제조자들로부터의 시스템들이 또한, 하드마스크(106)를 증착하기 위해 활용될 수 있다는 것이 고려된다.
[0019] 하드마스크(106)는, 블랭킷(blanket) 증착 프로세스에 의해, 하층(104) 위에 증착된다. 하드마스크(106)는, 하층(104)의 후속 에칭 요건들에 대응하는 두께로 증착될 수 있다. 일 예에서, 하드마스크(106)는, 약 0.5 μm 내지 약 1.5 μm, 예컨대 약 1.0 μm의 두께를 갖는다.
[0020] 도 1c에서 예시된 바와 같이, 패터닝된 포토레지스트 층(108)이 하드마스크(106) 위에 형성된다. 광 에너지와 같은 에너지 소스를 활용하여, 포토마스크로부터 포토레지스트(108)에 피처들 또는 패턴들이 전사될 수 있다. 일 실시예에서, 포토레지스트는 폴리머릭 재료이고, 패터닝 프로세스는, 193 nm 침지 포토리소그래피 프로세스, 또는 다른 유사한 포토리소그래피 프로세스에 의해 수행된다. 유사하게, 레이저들이 또한, 패터닝 프로세스를 수행하기 위해 활용될 수 있다.
[0021] 도 1d에서 예시된 바와 같이, 하드마스크가, 예컨대, 플라즈마 에칭 프로세스에 의해 개방된다. 에칭 프로세스는, 도 1b에 대하여 설명된 챔버들과 유사한 챔버에서 수행될 수 있다. 도 1e에서, 포토레지스트(108)가 제거된다. 포토레지스트(108)는, 다양한 유리한 포토레지스트 제거 프로세스들에 의해 제거될 수 있다.
[0022] 도 1f에서 예시된 바와 같이, 이온 주입 프로세스가 하드마스크(106)를 도핑(dope)한다. 개략적인 예시에서, 주입되는 이온들(110)은 하드마스크(106)에 충격을 가하고(bombard), 일반적으로, 하드마스크(106)에 침투(penetrate)한다. 주입되는 이온들(110)은, 이온들의 타입 및 사이즈, 및 이온들(110)을 에너자이징(energize)하기 위해 활용된 바이어스 및 전력에 따라, 다양한 깊이들로, 하드마스크(106)에 침투한다. 주입되는 이온들(110)의 예들은, 원자 붕소, 탄소, 실리콘, 질소, 인, 비소, 알루미늄, 및 텅스텐을 포함한다. 주입되는 이온들(110)의 종들은, 하층(104)의 증가된 에칭 선택성을 제공하기 위해, 조정될 수 있다. 따라서, 주입되는 종들은, 하드마스크(106)의 에칭 선택성을 강화하도록 적응된 임의의 모노머(monomer) 또는 분자 이온일 수 있다.
[0023] 이온 주입 프로세스는 빔라인 또는 플라즈마 주입 툴들에 의해 수행될 수 있다. 주입 프로세스를 수행하기 위해 활용되는 예시적인 시스템들은, 예컨대, VARIAN VIISTA TRIDENT 시스템, VIISTA 3000XP 시스템, VIISTA 900XP 시스템, VIISTA HCP 시스템, 및 VIISTA PLAD 시스템을 포함하고, 이러한 예들은, 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 위에서 언급된 시스템들에 관하여 설명되지만, 다른 제조자들로부터의 시스템들이 또한, 이온 주입 프로세스를 수행하기 위해 활용될 수 있다는 것이 고려된다.
[0024] 일 실시예에서, 이온 주입 프로세스는, 붕소, 탄소, 질소, 인, 비소, 알루미늄, 및 텅스텐으로 구성된 그룹으로부터 선택될 수 있는 도펀트를, 하드마스크(106) 내로 주입한다. 도펀트를 에너자이징하기 위해 활용되는 주입 에너지는, 활용되는 도펀트의 타입, 하드마스크(106)로서 활용되는 재료의 타입, 및 원하는 주입의 깊이에 따라, 약 0.5 keV 내지 약 60 keV이다. 이온 선량(dosage)(이온/cm2)은 약 5 x 1016 내지 약 1 x 1017이다. 예컨대, 하드마스크(106)가 개방되고, 하층(104)이 노출된 후에, 이온 주입 프로세스가 수행되는 경우에, 이온들은, 약 0.5 keV 내지 약 40 keV와 같은 낮은 또는 중간 에너지, 및 약 1 x 1017 초과와 같은 높은 선량(dose)으로 주입된다. 이온들이 하드마스크(106)를 향하여 지향되는 입사각은, 하드마스크(106)에 형성된 피처의 종횡비에 의해 결정된다. 예컨대, 원하는 각도로 이온들을 주입하기 위한 틸트 프로세싱은, 하층(104)의 주입을 피하도록 구성된다.
[0025] 일반적으로, 하드마스크(106)의 증가된 경도는, 하드마스크(106)가 개방된 후의 하층(104)에서의 고 종횡비 구조들의 감소된 라인 벤딩을 제공한다. 주입되는 이온들(110)이, 비정질 탄소 하드마스크(106)의 댕글링(dangling) 탄소-수소 결합들로부터 잔여의 수소 원자들을 추출(abstract)하고, 하드마스크(106) 내에 탄화물 구조를 형성하는 것으로 생각된다. 탄화물 구조는, 도핑되지 않은 하드마스크와 비교하는 경우에, 증가된 경도를 나타낸다. 부가적으로, 주입되는 이온들(110)은, 비정질 탄소 하드마스크(106) 내에 존재하는 틈새 공극(interstitial void)들을 점유하는 것으로 생각되고, 이는, 하드마스크(106)의 밀도를 증가시킨다. 증가된 밀도는, 하드마스크(106)의 기계적인 무결성(integrity)을 추가로 증가시킨다.
[0026] 주입되는 이온들(110)이 붕소 또는 알루미늄과 같은 헤테로원자(heteroatom)들인 경우에, 하드마스크(106)의 내부 응력이 유지되거나 또는 감소되는 것으로 생각된다. 일 실시예에서, 주입되는 이온들(110)로서 하나 또는 그 초과의 III 족 원소들이 활용된다. 통상적인 인-시튜 도핑은 일반적으로, 막의 내부 응력을 증가시키고, 이는, 블랭킷 막으로서 증착되는 경우에, 기판(102)의 바람직하지 않은 휨을 야기할 수 있다. 막의 감소된 내부 응력이 일반적으로, 에칭 선택성에서의 감소와 연관되지만, 하드마스크(106)의 증가된 경도 및 밀도가 에칭 선택성을 개선하도록 기능하는 한편, 헤테로원자들은 저 응력 막의 바람직하지 않은 효과들을 감소시키거나 또는 제거한다. 조합하면, 증가된 경도 및 밀도는, 개선된 에칭 선택성을 나타내는 기계적으로 강한 하드마스크(106)를 제공하는 한편, 하드마스크(106)의 내부 응력은 일정하게 유지되거나, 또는 특정한 실시예들에서, 감소되고, 이는, 바람직하지 않은 기판(102) 변형을 제거한다.
[0027] 일 실시예에서, 하드마스크(106)를 도핑하기 위해, 이온 주입 프로세스가 한번 수행된다. 다른 실시예에서, 도 1d에서 표현된 하드마스크(106) 에칭, 및 도 1f에서 설명된 이온 주입 프로세스가, 연속적인 방식으로 반복된다. 예컨대, 하드마스크(106)의 전체 두께보다 더 작은 부분이 에칭되고, 후속하여, 이온들(110)로 충격이 가해진다. 이러한 프로세스는, 하층(104)이 노출될 때까지, 필요한 횟수만큼 반복될 수 있다. 이러한 프로세스에서, 하드마스크(106)는, 하드마스크(106)의 전체 두께 전반에 걸쳐, 주입되는 이온들(110)로 도핑된다. 하드마스크(106)의 두께 전반에 걸친 실질적으로 균일한 도핑 프로파일이 하드마스크(106)의 에칭 선택성을 추가로 증가시킬 수 있는 것으로 생각된다.
[0028] 에칭 및 이온 주입이 반복되는 실시예들에서, 약 0.5 keV 내지 약 20 keV와 같은 낮은 에너지, 및 약 1 x 1017과 같은 중간 선량이 하드마스크(106)를 도핑하기 위해 활용된다.
[0029] 선택적으로, 설명된 반복되는 에칭 및 이온 주입 프로세스들은 다양한 다른 재료들에 대해 채용될 수 있다. 다른 재료들은, 패터닝을 위한 하드마스크들을 포함할 수 있거나, 또는 반도체 디바이스들, 광전자기기들(optoelectronics), 발광 다이오드 디바이스들, 솔라 디바이스들, 및 의료 디바이스들을 형성하는데 유리하게 활용되는 임의의 재료일 수 있다. 예컨대, 반복되는 에칭 및 이온 주입의 양상들은, 재료 전반에 걸쳐 원하는 불순물 프로파일을 통합하는 재료를 형성하기 위해 채용될 수 있다. 일 예에서, 폴리실리콘 또는 다른 유사한 재료들과 같은 재료는, 예컨대, p-i-n 접합들을 형성하는데 사용하기 위한 바람직한 막들을 형성하기 위해, 다수의 에칭 및 이온 주입 프로세스들을 겪는다.
[0030] 일 실시예에서, 이온 주입 프로세스에 후속하여, 스택(100)이 열 처리된다. 적합한 이온 주입-후 열 처리 기법들은, UV 처리, 열 어닐링, 및 레이저 어닐링을 포함한다. 도핑된 하드마스크(106)의 열 처리는, 하드마스크(106)의 프레임워크(framework) 내로, 주입된 이온들(110)을 추가로 통합(incorporate)시킨다. 예컨대, 주입된 이온들(110)은, 더 균일한 도핑 프로파일을 형성하기 위해, 하드마스크(106) 내에서 재분배될(redistributed) 수 있다. 열 처리가 하드마스크(106)의 비정질 탄소와 주입된 이온들(110) 사이의 상호작용 및 결합을 증가시킬 수 있는 것으로 생각된다. 주입된 이온들(110)의 재분배 및 결합은, 하드마스크(106)의 경도, 밀도, 및 에칭 선택성을 추가로 증가시키도록 기능할 수 있다.
[0031] 도 1g에서 예시된 바와 같이, 하층(104)이 에칭된다. 하층(104) 에칭은, 도 1b에 관하여 설명된 챔버들 및 시스템들과 같은 플라즈마 프로세싱 챔버에서 수행될 수 있다. 플루오로카본들과 같은 에천트들이, 하층의 노출된 부분들을 제거한다. 에천트들의 활성 종들은, 하드마스크(106)의 재료들, 즉 주입된 이온들(110)과 실질적으로 비반응적이다. 따라서, 에천트들은 하층(104) 재료에 대해 선택적이다. 에천트들의 적합한 예들은, 특히, CF4,CHF3, HBr, BCl3, 및 Cl2를 포함한다. 에천트들은 비활성 캐리어 가스와 함께 제공될 수 있다.
[0032] 도 1h에서 예시된 바와 같이, 하드마스크(106)가 제거된다. 하드마스크(106)는, 유리한 하드마스크 제거 프로세스들에 의해 제거될 수 있다. 일 예에서, 산소 플라즈마가 하드마스크(106)를 제거하기 위해 활용된다. 결과적인 스택(100)은, 하층(104)에 형성된, 고 종횡비 피처와 같은 피처를 갖는 하층(104)을 포함한다. 그 후에, 스택(100)은, 기능적인 반도체 디바이스를 형성하기 위해, 추가적인 프로세싱을 겪을 수 있다.
[0033] 도 2a 내지 도 2h는, 일 실시예에 따른 하드마스크 형성 시퀀스를 예시하는, 스택(200)의 개략적인 단면도들이다. 도 2a 및 도 2b는 도 1a 및 도 1b와 유사하고, 간결함을 위해 설명되지 않을 것이다. 따라서, 스택(200)은, 기판(202), 및 기판(202) 위에 배치된 하층(204)을 포함한다. 도 2c는, 주입되는 이온들(208)로 하드마스크(206)가 도핑되는 이온 주입 프로세스를 예시한다. 이온 주입 프로세스는 도 1f에 관하여 더 상세히 설명된다.
[0034] 일 실시예에서, 전체 하드마스크(206)가 하층(204) 위에 증착되고, 후속하여, 주입되는 이온들(208)로 도핑된다. 다양한 프로세싱 파라미터들에 따라, 하드마스크(206) 증착에 후속하는 단일 이온 주입 프로세스를 활용하여, 실질적으로 균일한 도핑 프로파일을 획득하는 것이 가능할 수 있다. 다른 실시예에서, 하드마스크(206)의 전체 두께보다 더 작은 부분이 하층 위에 증착되고, 하드마스크(206)의 그러한 부분이 이온 주입 프로세스를 겪는다. 하드마스크(206) 증착 및 이온 주입 프로세스는, 원하는 두께의 하드마스크(206)가 달성될 때까지, 연속적인 방식으로 반복된다. 증착된 하드마스크(206)의 최종적인 두께는, 약 0.5 μm 내지 약 1.5 μm, 예컨대 약 1.0 μm일 수 있다. 하드마스크(206)의 두께 전반에 걸친 실질적으로 균일한 도핑 프로파일이 하드마스크(206)의 에칭 선택성을 증가시키는 것으로 생각된다.
[0035] 하드마스크(206)가 블랭킷 증착되고, 한번 도핑되는 실시예에서, 주입 에너지는 약 40 keV 내지 약 60 keV와 같이 높고, 선량이 또한, 약 1 x 1017 초과와 같이 높다. 이러한 실시예에서, 이온들은 하드마스크(206)에 대해 실질적으로 수직인 입사각을 갖는다. 하드마스크(206) 증착 및 이온 주입 프로세스가 반복되는 실시예에서, 약 0.5 keV 내지 약 20 keV와 같은 낮은 에너지, 및 약 1 x 1017과 같은 중간 선량이 하드마스크(206)를 도핑하기 위해 활용된다. 하드마스크(206) 블랭킷 증착 및 단일 이온 주입 실시예와 유사하게, 반복되는 증착 및 이온 주입 실시예에서, 이온들은 하드마스크(206)에 대해 실질적으로 수직인 입사각으로 제공된다.
[0036] 선택적으로, 설명된 반복되는 증착 및 이온 주입 프로세스들은 다양한 다른 재료들에 대해 채용될 수 있다. 다른 재료들은, 패터닝을 위한 하드마스크들을 포함할 수 있거나, 또는 반도체 디바이스들, 광전자기기들, 발광 다이오드 디바이스들, 솔라 디바이스들, 및 의료 디바이스들을 형성하는데 유리하게 활용되는 임의의 재료일 수 있다. 예컨대, 반복되는 증착 및 이온 주입의 양상들은, 재료 전반에 걸쳐 원하는 불순물 프로파일을 통합하는 재료를 형성하기 위해 채용될 수 있다. 일 예에서, 폴리실리콘 또는 다른 유사한 재료들과 같은 재료는, 예컨대, p-i-n 접합들을 형성하는데 사용하기 위한 바람직한 막들을 형성하기 위해, 다수의 증착 및 이온 주입 프로세스들을 겪는다.
[0037] 도 2d 내지 도 2f는 도 1c 내지 도 1e와 유사하다. 도 2d에서 예시된 바와 같이, 패터닝된 포토레지스트(210)가 하드마스크(206) 위에 형성되고, 하드마스크(206)에 패턴을 전사하기 위해, 광 에너지가 활용될 수 있다. 도 2e에서, 하층(204)을 노출시키기 위해, 하드마스크(206)가 에칭된다. 도 2f에서, 포토레지스트(210)가 제거된다.
[0038] 일 실시예에서, 이온 주입 프로세스에 후속하여, 스택(200)이 열 처리된다. 스택(200)은, 도 2c 내지 도 2f에서 예시된 프로세스들 중 임의의 것 후에 어닐링될 수 있다. 적합한 이온 주입-후 열 처리 기법들은, UV 처리, 열 어닐링, 및 레이저 어닐링을 포함한다. 도핑된 하드마스크(106)의 열 처리는, 하드마스크(206)의 프레임워크 내로, 주입된 이온들(208)을 추가로 통합시킨다. 예컨대, 주입된 이온들(208)은, 더 균일한 도핑 프로파일을 형성하기 위해, 하드마스크(206) 내에서 재분배될 수 있다. 열 처리가 하드마스크(206)의 비정질 탄소와 주입된 이온들(208) 사이의 상호작용 및 결합을 증가시킬 수 있는 것으로 생각된다. 주입된 이온들(208)의 재분배 및 결합은, 하드마스크(206)의 경도, 밀도, 및 에칭 선택성을 추가로 증가시키도록 기능할 수 있다.
[0039] 도 2g 및 도 2h는 도 1g 및 도 1h와 유사하다. 도 2g에서 예시된 바와 같이, 하층(204)은 고 종횡비 피처와 같은 피처를 형성하기 위해 에칭된다. 도 2h에서, 하드마스크(206)가 제거되고, 이는, 기판(202) 위에 배치된 하층(204)에 형성된 하나 또는 그 초과의 피처들을 갖는 스택(200)을 야기한다.
[0040] 하층 상에 비정질 탄소 하드마스크를 형성하는 다양한 방법들이 제공된다. 하드마스크는 포토레지스트로 패터닝되고, 하드마스크는 포토레지스트의 패턴을 전사하기 위해 에칭된다. 이온들은 하드마스크를 도핑하기 위해 하드마스크 내로 주입된다. 이론에 의해 구속되도록 의도하지 않지만, 주입되는 이온들이, 하드마스크의 내부 응력을 유지하거나 또는 감소시키면서, 하드마스크의 기계적인 무결성 및 밀도를 증가시키도록 기능하는 것으로 생각된다. 하드마스크의 증가된 기계적인 무결성 및 밀도는, 하드마스크가 에칭된 후의 라인 벤딩을 감소시키고, 하드마스크의 유지되는 또는 감소되는 응력은, 바람직하지 않은 기판 휨 또는 변형을 감소시키거나 또는 제거한다. 조합하면, 하드마스크의 에칭 선택성이 증가된다.
[0041] 이온 주입 프로세스는, 하드마스크 형성 시퀀스 내에서 다양한 횟수들로 수행될 수 있다. 일 실시예에서, 이온 주입은 하드마스크가 개방된 직후에 수행된다. 이러한 실시예에서, 이온 주입 프로세스는, 한번 수행될 수 있거나, 또는 하드마스크 에칭 프로세스와 순차적으로 반복될 수 있다. 다른 실시예에서, 이온 주입은 하드마스크가 증착된 직후에 수행된다. 이러한 실시예에서, 이온 주입 프로세스는, 한번 수행될 수 있거나, 또는 하드마스크 증착 프로세스와 순차적으로 반복될 수 있다. 특정한 실시예들에서, 하드마스크는, 하층을 에칭하기 전에, 열 처리된다.
[0042] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 비정질 탄소 층을 형성하는 방법으로서,
    하층 상에 비정질 탄소 층을 증착하는 단계;
    상기 비정질 탄소 층을 패터닝하는 단계;
    상기 비정질 탄소 층의 적어도 부분을 에칭하는 단계;
    틸트(tilt) 프로세싱에 의해, 상기 비정질 탄소 층 내로 도펀트(dopant)를 주입하는 단계; 및
    상기 하층을 에칭하는 단계
    를 포함하는,
    비정질 탄소 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 비정질 탄소 층 내로 도펀트를 주입하는 단계 후에, 상기 비정질 탄소 층을 어닐링하는 단계를 더 포함하는,
    비정질 탄소 층을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 비정질 탄소 층의 적어도 부분을 에칭하는 단계는, 상기 비정질 탄소 층 내로 도펀트를 주입하는 단계 전에 수행되는,
    비정질 탄소 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 비정질 탄소 층의 원하는 두께가 달성될 때까지, 반복적으로, 상기 비정질 탄소 층의 부분이 증착되고, 후속하여, 상기 도펀트가 주입되는,
    비정질 탄소 층을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 도펀트는, 붕소, 탄소, 질소, 인, 비소, 알루미늄, 및 텅스텐으로 구성된 그룹으로부터 선택되는,
    비정질 탄소 층을 형성하는 방법.
  6. 비정질 탄소 층을 형성하는 방법으로서,
    하층 상에 비정질 탄소 층을 증착하는 단계;
    상기 비정질 탄소 층을 패터닝하는 단계;
    상기 비정질 탄소 층의 적어도 부분을 에칭하는 단계;
    상기 비정질 탄소 층 내로 도펀트를 주입하는 단계; 및
    상기 하층이 노출될 때까지, 연속적으로, 상기 비정질 탄소 층의 적어도 부분을 에칭하는 단계, 및 상기 비정질 탄소 층 내로 도펀트를 주입하는 단계를 반복하는 단계
    를 포함하는,
    비정질 탄소 층을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 비정질 탄소 층의 원하는 두께가 증착된 후에, 상기 비정질 탄소 층을 어닐링하는 단계를 더 포함하는,
    비정질 탄소 층을 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 하층을 에칭하는 단계를 더 포함하는,
    비정질 탄소 층을 형성하는 방법.
  9. 제 6 항에 있어서,
    상기 도펀트는, 붕소, 탄소, 실리콘, 질소, 인, 비소, 알루미늄, 및 텅스텐으로 구성된 그룹으로부터 선택되는,
    비정질 탄소 층을 형성하는 방법.
  10. 비정질 탄소 층을 형성하는 방법으로서,
    하층 상에 비정질 탄소 층의 부분을 증착하는 단계;
    상기 비정질 탄소 층의 증착된 부분 내로 도펀트를 주입하는 단계;
    상기 비정질 탄소 층의 원하는 두께가 달성될 때까지, 연속적으로, 상기 비정질 탄소 층의 부분을 증착하는 단계, 및 상기 도펀트를 주입하는 단계를 반복하는 단계;
    상기 비정질 탄소 층을 패터닝하는 단계; 및
    상기 비정질 탄소 층을 에칭하는 단계
    를 포함하는,
    비정질 탄소 층을 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 비정질 탄소 층을 어닐링하는 단계를 더 포함하는,
    비정질 탄소 층을 형성하는 방법.
  12. 제 11 항에 있어서,
    상기 하층을 에칭하는 단계를 더 포함하는,
    비정질 탄소 층을 형성하는 방법.
  13. 제 10 항에 있어서,
    상기 도펀트는, 붕소, 탄소, 질소, 인, 비소, 알루미늄, 및 텅스텐으로 구성된 그룹으로부터 선택되는,
    비정질 탄소 층을 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 도펀트는 III 족 원소인,
    비정질 탄소 층을 형성하는 방법.
  15. 제 14 항에 있어서,
    상기 도펀트는 붕소 또는 알루미늄인,
    비정질 탄소 층을 형성하는 방법.
KR1020167021530A 2014-01-08 2014-12-18 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발 KR102311036B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461925139P 2014-01-08 2014-01-08
US61/925,139 2014-01-08
PCT/US2014/071094 WO2015105651A1 (en) 2014-01-08 2014-12-18 Development of high etch selective hardmask material by ion implantation into amorphous carbon films

Publications (2)

Publication Number Publication Date
KR20160105896A true KR20160105896A (ko) 2016-09-07
KR102311036B1 KR102311036B1 (ko) 2021-10-07

Family

ID=53495763

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167021530A KR102311036B1 (ko) 2014-01-08 2014-12-18 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발

Country Status (5)

Country Link
US (1) US9412613B2 (ko)
JP (1) JP2017507477A (ko)
KR (1) KR102311036B1 (ko)
TW (1) TWI636485B (ko)
WO (1) WO2015105651A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190105111A (ko) * 2017-02-01 2019-09-11 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 적용들을 위한 붕소 도핑 텅스텐 탄화물
KR20200084365A (ko) * 2017-12-01 2020-07-10 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
KR20210011945A (ko) * 2018-06-22 2021-02-02 어플라이드 머티어리얼스, 인코포레이티드 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102222909B1 (ko) * 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US20150235864A1 (en) * 2014-02-17 2015-08-20 Infineon Technologies Ag Method for processing a layer and a method for manufacturing an electronic device
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10109498B2 (en) 2016-08-09 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Composite patterning mask using angled ion beam deposition
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10811257B2 (en) * 2018-03-27 2020-10-20 Varian Semiconductor Equipment Associates, Inc. Techniques for forming low stress etch-resistant mask using implantation
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
US10515802B2 (en) 2018-04-20 2019-12-24 Varian Semiconductor Equipment Associates, Inc. Techniques for forming low stress mask using implantation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN110265290B (zh) * 2019-06-27 2020-06-30 英特尔半导体(大连)有限公司 增强半导体蚀刻能力的方法
US11011378B2 (en) * 2019-07-01 2021-05-18 Micron Technology, Inc. Atom implantation for reduction of compressive stress
US11430898B2 (en) 2020-03-13 2022-08-30 Applied Materials, Inc. Oxygen vacancy of amorphous indium gallium zinc oxide passivation by silicon ion treatment
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11527412B2 (en) * 2020-08-09 2022-12-13 Applied Materials, Inc. Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11694902B2 (en) 2021-02-18 2023-07-04 Applied Materials, Inc. Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
JP2022128270A (ja) 2021-02-22 2022-09-01 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11501977B1 (en) 2021-05-10 2022-11-15 Nanya Technology Corporation Semiconductor device and manufacturing method thereof
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN113517188B (zh) * 2021-06-29 2024-04-26 上海华力集成电路制造有限公司 采用多层掩模板的图形化工艺方法
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN114735625B (zh) * 2022-04-13 2024-04-05 浙江大学杭州国际科创中心 一种非晶碳膜加工用惰性物质植入设备
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN115181934A (zh) * 2022-06-21 2022-10-14 广州国显科技有限公司 掩膜板及掩膜板的制备方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000043508A (ko) * 1998-12-29 2000-07-15 윤종용 반도체소자의 제조방법
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
JP2005072518A (ja) * 2003-08-28 2005-03-17 Hitachi Ltd 半導体装置の製造方法およびその装置
KR20060129412A (ko) * 2004-01-30 2006-12-15 어플라이드 머티어리얼스, 인코포레이티드 다양한 에칭 및 리소 집적 설계를 위한 비결정형탄소(apf)의 사용 기술
KR20120120236A (ko) * 2009-12-16 2012-11-01 쌘디스크 3디 엘엘씨 탄소/터널링-장벽/탄소 다이오드
KR20130113958A (ko) * 2010-05-20 2013-10-16 어플라이드 머티어리얼스, 인코포레이티드 초고도 선택비 애쉬어블 하드마스크 막

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6989332B1 (en) 2002-08-13 2006-01-24 Advanced Micro Devices, Inc. Ion implantation to modulate amorphous carbon stress
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US8536034B2 (en) 2011-08-24 2013-09-17 Globalfoundries Inc. Methods of forming stressed silicon-carbon areas in an NMOS transistor
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000043508A (ko) * 1998-12-29 2000-07-15 윤종용 반도체소자의 제조방법
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
JP2005072518A (ja) * 2003-08-28 2005-03-17 Hitachi Ltd 半導体装置の製造方法およびその装置
KR20060129412A (ko) * 2004-01-30 2006-12-15 어플라이드 머티어리얼스, 인코포레이티드 다양한 에칭 및 리소 집적 설계를 위한 비결정형탄소(apf)의 사용 기술
KR20120120236A (ko) * 2009-12-16 2012-11-01 쌘디스크 3디 엘엘씨 탄소/터널링-장벽/탄소 다이오드
KR20130113958A (ko) * 2010-05-20 2013-10-16 어플라이드 머티어리얼스, 인코포레이티드 초고도 선택비 애쉬어블 하드마스크 막

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190105111A (ko) * 2017-02-01 2019-09-11 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 적용들을 위한 붕소 도핑 텅스텐 탄화물
KR20200084365A (ko) * 2017-12-01 2020-07-10 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
KR20210011945A (ko) * 2018-06-22 2021-02-02 어플라이드 머티어리얼스, 인코포레이티드 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입

Also Published As

Publication number Publication date
TW201528334A (zh) 2015-07-16
KR102311036B1 (ko) 2021-10-07
US9412613B2 (en) 2016-08-09
WO2015105651A1 (en) 2015-07-16
TWI636485B (zh) 2018-09-21
JP2017507477A (ja) 2017-03-16
US20150194317A1 (en) 2015-07-09

Similar Documents

Publication Publication Date Title
KR102311036B1 (ko) 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US8501605B2 (en) Methods and apparatus for conformal doping
JP5064572B2 (ja) 基板からの表面ドーパントの除去
US11031246B2 (en) EUV pattern transfer with ion implantation and reduced impact of resist residue
US11289332B2 (en) Directional processing to remove a layer or a material formed over a substrate
US9202693B2 (en) Fabrication of ultra-shallow junctions
KR102336347B1 (ko) 붕소-도핑된 비정질 탄소 하드 마스크 및 방법
US20150235864A1 (en) Method for processing a layer and a method for manufacturing an electronic device
US6723611B2 (en) Vertical hard mask
US10310379B2 (en) Multiple patterning approach using ion implantation
US7867911B2 (en) Method for forming pattern using hard mask
JP2004071973A (ja) 半導体装置の製造方法
JP2002016013A (ja) 炭化珪素半導体装置の製造方法
KR101708206B1 (ko) 반도체 장치의 제조 방법
US20150104948A1 (en) Facilitating etch processing of a thin film via partial implantation thereof
US20050130418A1 (en) Semiconductor device and manufacturing method therefor
US9337314B2 (en) Technique for selectively processing three dimensional device
KR20090009388A (ko) 반도체 소자의 제조방법
KR100891532B1 (ko) 반도체 소자의 패턴 형성방법
CN106206284B (zh) 改进型蚀刻工艺
CN112750835B (zh) 反熔丝结构及其制作方法
US20080308905A1 (en) Semi-conductor device, and method of making the same
KR100299515B1 (ko) 반도체 소자의 제조방법
JP2000357689A (ja) 酸化物領域を有する集積回路デバイス
KR100721621B1 (ko) 반도체 소자의 베리드 콘택 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant