CN111954921A - 用于图案化应用的碳硬掩模及相关的方法 - Google Patents

用于图案化应用的碳硬掩模及相关的方法 Download PDF

Info

Publication number
CN111954921A
CN111954921A CN201980024613.XA CN201980024613A CN111954921A CN 111954921 A CN111954921 A CN 111954921A CN 201980024613 A CN201980024613 A CN 201980024613A CN 111954921 A CN111954921 A CN 111954921A
Authority
CN
China
Prior art keywords
power
substrate
less
amorphous carbon
carbon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980024613.XA
Other languages
English (en)
Other versions
CN111954921B (zh
Inventor
E·文卡塔苏布磊曼聂
杨扬
P·曼纳
K·拉马斯瓦米
T·越泽
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111954921A publication Critical patent/CN111954921A/zh
Application granted granted Critical
Publication of CN111954921B publication Critical patent/CN111954921B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

此处的实施例提供一种使用等离子体增强化学气相沉积(PECVD)工艺沉积非晶碳层的方法,及由此形成的硬掩模。在一个实施例中,一种处理基板的方法,包括以下步骤:将基板定位在基板支撑件上,基板支撑件安置于处理腔室的处理容积中;使包含碳氢化合物气体及稀释气体的处理气体流至处理容积中;将处理容积维持在小于约100mTorr的处理压力下;通过施加第一功率至处理腔室的一或更多功率电极之一者,点燃并维持处理气体的沉积等离子体;将基板支撑件维持在小于约350℃的处理温度下;将基板的表面暴露至沉积等离子体;及在基板的表面上沉积非晶碳层。

Description

用于图案化应用的碳硬掩模及相关的方法
背景
技术领域
此处所述的实施例大致关于半导体器件制造的领域,且更具体而言,关于在电子器件制造工艺中使用的非晶碳层及沉积非晶碳层的方法。
背景技术
由非晶碳形成的碳硬掩模在半导体器件制造中用作为在基板表面或其材料表面层中形成高深宽比开口(例如,2:1或更大的高度对宽度比率)的蚀刻掩模。一般而言,关于形成高深宽比开口的处理问题,包括堵塞、孔形状扭曲、图案变形、顶部关键尺寸放大、线弯曲及轮廓折弯,都是传统地沉积的碳硬掩模的非所欲材料特性的结果。举例而言,具有较低材料密度及较低材料硬度(即,杨氏模量)之一者或其组合的碳硬掩模,已知当与具有较高密度或较高硬度的硬掩模材料比较时,会造成高深宽比开口增加的变形。类似地,在硬掩模材料和其下方安置的待蚀刻的基板材料之间的较低蚀刻选择性、以及具有较高膜应力(压缩或拉伸)的硬掩模材料两者,已知当与使用对下方基板材料具有较高蚀刻选择性及较低膜应力的硬掩模材料的工艺比较时,会造成增加的裂缝图案变形及线弯曲。再者,随着关键尺寸(CD)缩小及高深宽比开口的高度增加,用以形成高深宽比开口的传统地沉积的碳硬掩模的厚度亦增加。不幸地,归因于低光学K及增加的厚度之一者或两者的具有较低透明度的硬掩模在后续光刻工艺中可造成对齐问题。对下方基板材料具有较高蚀刻选择性的硬掩模材料与具有较低蚀刻选择性的硬掩模比较,允许减少的厚度,且因此为所希望的。再者,在硬掩模材料和下方基板材料之间具有较低蚀刻选择性的工艺通常依赖相对较厚的硬掩模,这非所欲地增加沉积的处理时间及成本,导致降低的基板处理能力及增加的装置成本。
因此,本领域中需要改良的非晶碳硬掩模及形成改良的非晶碳硬掩模的改良的方法。
发明内容
本公开的实施例大致说明使用等离子体增强化学气相沉积(PECVD)工艺及根据其形成的硬掩模,将非晶碳层沉积至基板上的方法,包括沉积在基板上先前形成的层上。
在一个实施例中,一种处理基板的方法,包括以下步骤:将基板定位在基板支撑件上,基板支撑件安置于处理腔室的处理容积中;使包含碳氢化合物气体及稀释气体的处理气体流至处理容积中;将处理容积维持在小于约100mTorr的处理压力下;通过施加第一功率至处理腔室的一或更多功率电极之一者,点燃并维持处理气体的沉积等离子体;将基板支撑件维持在小于约350℃的处理温度下;将基板的表面暴露至沉积等离子体;及在基板的表面上沉积非晶碳层。
在另一实施例中,一种处理基板的方法,包括以下步骤:将基板定位在基板支撑件上,基板支撑件安置于处理腔室的处理容积中;使包含碳氢化合物气体及稀释气体的处理气体流至处理容积中;将处理容积维持在小于约20mTorr的处理压力下;通过施加第一ac功率至该基板支撑件的一或更多功率电极之一者,点燃并维持处理气体的沉积等离子体,其中第一ac功率在基板支撑件的基板接收表面每cm2介于约0.7W与约15W之间;将基板支撑件维持在小于约100℃的处理温度下;将基板的表面暴露至沉积等离子体;及在基板的表面上沉积非晶碳层。
在另一实施例中,一种碳硬掩模,包括非晶碳层,安置于基板的表面上,其中非晶碳层具有大于约1.8g/cm3的密度、大于约50GPa的杨氏模量、小于约500MPa的膜应力、且在约633nm的波长下具有小于约0.15的吸收系数(光学K)。
附图说明
通过以上所载本公开的特征的方式可详细理解,而以上简要概述的本公开的更具体说明可通过参考实施例而获得,某些实施例图示于随附附图中。然而,应理解,随附附图仅图示本公开的典型实施例,且因此不应视为对范围的限制,因为本公开可认可其他均等效果的实施例。
图1根据一个实施例,为用以实践此处所提及的方法的示例性处理腔室的示意性剖视图。
图2根据一个实施例,为沉积非晶碳层的方法的流程图。
图3根据一个实施例,图示由根据图2中提及的方法沉积的非晶碳层所形成的碳硬掩模。
具体实施方式
本公开的实施例大致关于使用等离子体增强化学气相沉积(PECVD)工艺,用于将非晶碳层沉积至基板上的方法,包括沉积在基板上先前形成的层上。具体而言,此处所述的方法提供,与在沉积非晶碳层的传统方法中所典型地使用的相比,较低的处理压力,例如小于约100mTorr,较低的处理温度,例如小于约350℃,及较高的功率,例如大于约1000W。在此处的某些实施例中,用以点燃并维持沉积等离子体的功率被输送至安置于或耦合至具有基板安置于其上的基板支撑件的一或更多功率电极。较低的处理压力、较低的处理温度、较高的功率以及基板水平等离子体(通过与基板支撑件的功率电极电容耦合形成的等离子体)中的各者或组合,增加沉积期间基板表面处的离子能量,这导致当与传统沉积方法比较时sp3含量(类金刚石碳)对sp2含量(类石墨碳)为所希望的较高比率的非晶碳层。因为得到较高的sp3含量,所以当与传统地沉积的非晶碳层比较时,此处所述的方法提供具有改良的密度、硬度、透明度、蚀刻选择性及膜应力的非晶碳层。
图1根据一个实施例,为用以实践此处所提及的方法的示例性处理腔室的示意性剖视图。可用以实践此处所述的方法的其他示例性处理腔室包括从美国加利福尼亚州圣克拉拉市的应用材料公司可取得的
Figure BDA0002715326100000031
Figure BDA0002715326100000032
Figure BDA0002715326100000033
处理腔室,以及来自其他制造商的适合的沉积腔室。
处理腔室100包括腔室盖组件101、一或更多侧壁102及腔室底座104。腔室盖组件101包括腔室盖106、安置于腔室盖106中且电气耦合至腔室盖106的喷淋头107、及安置于腔室盖106与一或更多侧壁102之间的电气绝缘环108。喷淋头107、一或更多侧壁102及腔室底座104一起限定处理容积105。穿过腔室盖106安置的气体入口109流体耦合至气源110。具有穿过其安置的多个开口111的喷淋头107用以从气源110均匀分配处理气体至处理容积105中。此处,腔室盖组件101,且因此喷淋头107,电气耦合至接地。在其他实施例中,腔室盖组件101,及因此安置于其中的喷淋头107,电气耦合至功率供应器(未显示),例如连续波(CW)RF功率供应器、脉冲RF功率供应器、DC功率供应器、脉冲DC功率供应器、或它们的组合,所述功率供应器输送一或更多偏压至腔室盖组件101且因此至喷淋头107。在其他实施例中,处理腔室100不包括喷淋头107,且处理气体通过经由腔室盖106或一或更多侧壁102安置的一或更多气体入口而输送至处理容积105。
此处,处理容积105通过真空出口114流体耦合至真空源,例如流体耦合至一或更多专用真空泵,这维持处理容积105在次大气压条件下且从中排空处理气体及其他气体。安置于处理容积105中的基板支撑件115被安置在可移动支撑杆116上,可移动支撑杆116密封地延伸穿过腔室底座104,例如在腔室底座104下方的区域中由波纹管(未显示)环绕。此处,处理腔室100配置成促进基板117通过一或更多侧壁102之一者中的开口118往来于基板支撑件115的传送,此开口118在基板处理期间以门或阀门(未显示)密封。
通常,安置于基板支撑件115上的基板117使用加热器(例如,电阻加热元件119)及安置于基板支撑件115中的一或更多冷却通道120之一者或两者而维持在所欲处理温度下。一或更多冷却通道120流体耦合至冷却剂源(未显示),例如具有相对高的电阻的修改的水源、或制冷剂源。
在某些实施例中,嵌在基板支撑件115的介电材料中或耦合至此的一或更多功率电极(未显示)经由匹配电路122耦合至一或更多RF或其他ac频率功率供应器,例如第一功率供应器121A及第二功率供应器121B。此处,沉积等离子体123通过将在处理容积105中的处理气体与一或更多功率电极之一者以从第一功率供应器121A输送至该一或更多功率电极之一者的ac功率电容耦合而在处理容积105中点燃并维持。在某些实施例中,沉积等离子体123通过与一或更多功率电极之一者以从第二功率供应器121B输送至该一或更多功率电极之一者的ac功率电容耦合而进一步维持。此处,第一功率供应器121A及第二功率供应器121B的各者输送具有介于约350kHz与约100MHz之间的频率的ac功率,其中来自第一功率供应器121A的功率的频率不同于来自第二功率供应器121B的频率。
图2根据一个实施例,为在基板的表面上沉积非晶碳层的方法的流程图。在动作201处,方法200包括将基板定位在基板支撑件上。此处,基板支撑件安置于处理腔室(例如在图1中所述的处理腔室100)的处理容积中。在动作202处,方法200包括使处理气体流至处理容积中。通常,处理气体包括:碳源气体,例如碳氢化合物气体,举例而言CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8及C5H10,或它们的组合;及稀释气体,举例而言惰性气体,例如Ar、He、Ne、Kr或Xe,或它们的组合。在某些实施例中,稀释气体包含惰性气体、N2、H2,或它们的组合。在某些实施例中,碳氢化合物气体对稀释气体的流率的比率(以下称比率)介于约1:10与约10:1之间,例如介于约1:5与约5:1之间。举例而言,在一个实施例中,C2H2对He的比率介于约1:3与约3:1之间。在某些实施例中,稀释气体包含H2,且H2与碳源气体之间的比率介于约0.5:1与约1:10之间,例如介于约1:1与约1:5之间。在动作203处,方法200包括将处理容积维持在介于约0.1mTorr与约100mTorr之间的处理压力下,例如介于约0.1mTorr与约50mTorr之间、介于约0.1mTorr与约30mTorr之间、介于约0.1mTorr与约20mTorr之间、介于约0.1mTorr与约15mTorr之间,举例而言介于约0.1mTorr与约10mTorr之间,或小于约100mTorr、小于约50mTorr、小于约20mTorr、小于约15mTorr,举例而言约小于约10mTorr。
在动作203处,方法200包括通过施加第一功率至处理腔室的一或更多功率电极之一者来点燃并维持处理气体的沉积等离子体。此处,一或更多功率电极为一或更多顶部电极(例如,处理腔室的腔室盖或安置于腔室盖中的喷淋头)、一或更多侧电极(例如,处理腔室的一或更多侧壁)之一者,或为基板支撑件的部分(例如,嵌在基板支撑件的介电材料中或耦合至基板支撑件的介电材料的一或更多电极)。通常,对于尺寸设计成处理300mm直径的基板的处理腔室而言,第一功率为介于约500W与约8kW之间,例如介于约1000W与约5kW之间。适当的规模可用于经尺寸设计成处理不同尺寸的基板的处理腔室。
在某些实施例中,一或更多功率电极为嵌在基板支撑件的介电材料中或耦合至基板支撑件的介电材料的一者或组合。在某些实施例中,第一功率为RF或其他ac频率功率,在基板支撑件的基板接收表面每cm2介于约0.7W与约11.3W之间,此处称W/cm2,例如介于约1.4W/cm2与约7.1W/cm2之间,或对于具有经尺寸设计成支撑300mm直径的基板的基板支撑表面的基板支撑件而言介于约500W与约5kW之间,例如介于约1000W与约5kW之间。
在某些实施例中,方法200进一步包括施加第二功率至一或更多功率电极之一者,其中第二功率为RF或其他ac频率功率,介于约0.14W/cm2与约7.1W/cm2之间,例如介于约0.14W/cm2与约3.5W/cm2之间,或对于具有经尺寸设计成支撑300mm直径的基板的基板支撑表面的基板支撑件而言介于约100W与约5kW之间,例如介于约100W与约2.5kW之间。此处,第二功率的频率不同于第一功率的频率。通常,第一功率及第二功率之一者或两者的频率为介于约350kHz与约100MHz之间,例如约350KHz、约2MHz、约13.56MHz、约27MHz、约40MHz、约60MHz、以及约100MHz。在某些实施例中,第一功率及第二功率被施加至彼此电气绝缘的不同功率电极,举例而言嵌在基板支撑件的介电材料中且通过该介电材料彼此绝缘的双功率电极。在某些实施例中,第一功率及第二功率使用传统阻抗匹配电路施加至相同的功率电极。
在动作204处,方法200包括将基板支撑件且因此安置于其上的基板维持在介于约-50℃与约350℃之间的温度下,例如介于约-50℃与约150℃之间、介于约-50℃与约100℃之间或介于约-50℃与约50℃之间,举例而言介于约-25℃与约25℃之间,或小于约350℃的温度,诸如小于约200℃、小于约150℃,或小于100℃,举例而言小于约50℃。
在动作205及206处,方法200分别包括将基板的表面暴露至沉积等离子体,以及在基板的表面上沉积非晶碳层。
图3根据一个实施例,图示根据图2中提及的方法所沉积的碳硬掩模。在图3中,碳硬掩模303,此处为图案化碳硬掩模,包括非晶碳层302,非晶碳层302具有在其中形成的多个开口304,且被安置在基板300的待图案化表面上。通常,基板300或其一或更多材料层由结晶硅、氧化硅、氮氧化硅、氮化硅、应变硅、硅锗、钨、氮化钛、掺杂或未掺杂的多晶硅、碳掺杂的氧化硅、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石及低k介电材料之一者或组合而形成。
此处,非晶碳层具有:介于约
Figure BDA0002715326100000071
与约
Figure BDA0002715326100000072
之间的厚度,例如介于约
Figure BDA0002715326100000073
与约
Figure BDA0002715326100000074
之间,举例而言介于约
Figure BDA0002715326100000075
与约
Figure BDA0002715326100000076
之间;大于约1.8g/cm3的密度;大于约50GPa的杨氏模量;以及在约633nm的波长下小于约0.15的吸收系数(光学K)。在某些实施例中,非晶碳层具有小于约500MPa的拉伸或压缩膜应力。在某些实施例中,非晶碳层具有小于约500MPa的拉伸膜应力。在某些实施例中,开口304的各者具有大于约2:1的深宽比(高度对宽度),例如大于约3:1、大于约4:1、大于约5:1、大于约6:1、大于约7:1、大于约8:1、大于约9:1,举例而言大于约10:1。
此处所述的方法提供非晶碳层,及由此形成的碳硬掩模,当与传统地沉积的非晶碳层比较时具有改良的密度、硬度、透明度、蚀刻选择性及应力。再者,此处所述的方法意图与目前的碳硬掩模工艺整合方案兼容,意味着将方法引入现有装置制造线将无须在相关的上游或下游处理方法或装备中作实质改变。
尽管以上针对的是本公开的实施例,但可衍生本公开的其他及进一步实施例而不会悖离其基本范围,且其范围通过以下权利要求来决定。

Claims (15)

1.一种处理基板的方法,包含以下步骤:
将基板定位在基板支撑件上,所述基板支撑件安置于处理腔室的处理容积中;
使包含碳氢化合物气体及稀释气体的处理气体流至所述处理容积中;
将所述处理容积维持在小于约100mTorr的处理压力下;
通过施加第一功率至所述处理腔室的一或更多功率电极之一者,点燃并维持所述处理气体的沉积等离子体;
将所述基板支撑件维持在小于约350℃的处理温度下;
将所述基板的表面暴露至所述沉积等离子体;及
在所述基板的所述表面上沉积非晶碳层。
2.如权利要求1所述的方法,其中沉积的所述非晶碳层具有大于约1.8g/cm3的密度。
3.如权利要求1所述的方法,其中沉积的所述非晶碳层具有大于约50GPa的杨氏模量。
4.如权利要求1所述的方法,其中沉积的所述非晶碳层具有小于约500MPa的膜应力。
5.如权利要求1所述的方法,其中沉积的所述非晶碳层在约633nm的波长下具有小于约0.15的吸收系数(光学K)。
6.如权利要求1所述的方法,其中沉积的所述非晶碳层具有大于约1.8g/cm3的密度、大于约50GPa的杨氏模量、小于约500MPa的膜应力、且在约633nm的波长下具有小于约0.15的吸收系数(光学K)。
7.如权利要求1所述的方法,其中所述碳氢化合物气体包含CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、C5H10、或它们的组合中的一者。
8.如权利要求7所述的方法,其中所述处理温度小于约100℃。
9.如权利要求8所述的方法,其中所述第一功率为ac功率、在所述基板支撑件的基板接收表面每cm2介于约0.7W与约11.3W之间,其中所述第一功率具有介于约350kHz与约100MHz之间的频率。
10.如权利要求9所述的方法,进一步包含以下步骤:施加第二功率至所述一或更多功率电极之一者,其中所述第二功率为ac功率、在所述基板支撑件的所述基板接收表面每cm2介于约0.14W与约11.3W之间,其中所述第二功率具有介于约350kHz与约100MHz之间的频率,且其中所述第一功率的频率不同于所述第二功率的频率。
11.一种处理基板的方法,包含以下步骤:
将基板定位在基板支撑件上,所述基板支撑件安置于处理腔室的处理容积中;
使包含碳氢化合物气体及稀释气体的处理气体流至所述处理容积中,其中所述碳氢化合物气体包含CH4、C2H2、C3H8、C4H10、C2H4、C3H6、C4H8、C5H10、或它们的组合中的一者;
将所述处理容积维持在小于约20mTorr的处理压力下;
通过施加第一ac功率至所述基板支撑件的一或更多功率电极之一者,点燃并维持所述处理气体的沉积等离子体,其中所述第一ac功率在所述基板支撑件的基板接收表面每cm2介于约0.7W与约15W之间;
将所述基板支撑件维持在小于约100℃的处理温度下;
将所述基板的表面暴露至所述沉积等离子体;及
在所述基板的所述表面上沉积非晶碳层。
12.如权利要求11所述的方法,其中所述稀释气体包含H2,且其中所述处理气体中所述H2对碳氢化合物气体的比率介于约0.5:1与约1:10之间。
13.如权利要求11所述的方法,进一步包含以下步骤:施加第二ac功率至所述基板支撑件的所述一或更多功率电极之一者,其中所述第二ac功率、在所述基板支撑件的所述基板接收表面每cm2介于约0.14W与约7.1W之间,其中所述第一ac功率与所述第二ac功率各自具有介于约350kHz与约100MHz之间的频率,且其中所述第一ac功率的频率不同于所述第二ac功率的。
14.一种碳硬掩模,包括:
非晶碳层,安置于基板的表面上,其中所述非晶碳层具有大于约1.8g/cm3的密度、大于约50GPa的杨氏模量、小于约500MPa的膜应力、且在约633nm的波长下具有小于约0.15的吸收系数(光学K)。
15.如权利要求14所述的碳硬掩模,其中所述非晶碳层具有穿过其形成的多个开口,且其中所述多个开口的各者具有大于约2:1的高度对宽度比率。
CN201980024613.XA 2018-04-09 2019-04-08 用于图案化应用的碳硬掩模及相关的方法 Active CN111954921B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862655049P 2018-04-09 2018-04-09
US62/655,049 2018-04-09
PCT/US2019/026354 WO2019199681A1 (en) 2018-04-09 2019-04-08 Carbon hard masks for patterning applications and methods related thereto

Publications (2)

Publication Number Publication Date
CN111954921A true CN111954921A (zh) 2020-11-17
CN111954921B CN111954921B (zh) 2024-05-31

Family

ID=68162992

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980024613.XA Active CN111954921B (zh) 2018-04-09 2019-04-08 用于图案化应用的碳硬掩模及相关的方法

Country Status (7)

Country Link
US (2) US11469097B2 (zh)
JP (1) JP7407121B2 (zh)
KR (1) KR102687561B1 (zh)
CN (1) CN111954921B (zh)
SG (1) SG11202009406RA (zh)
TW (2) TW202318505A (zh)
WO (1) WO2019199681A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111954921B (zh) * 2018-04-09 2024-05-31 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
CN112740360A (zh) 2018-10-26 2021-04-30 应用材料公司 用于图案化应用的高密度碳膜
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
TW468209B (en) * 1997-08-25 2001-12-11 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
CN1879196A (zh) * 2003-09-12 2006-12-13 微米技术有限公司 包括无定形碳层的掩模结构
KR100715530B1 (ko) * 2005-11-11 2007-05-07 주식회사 테스 비정질 탄소막의 제조 방법 및 이를 적용한 반도체 소자의제조 방법
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
CN101355022A (zh) * 2007-07-25 2009-01-28 东京毅力科创株式会社 半导体处理用的成膜方法和装置
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
CN102934209A (zh) * 2010-05-20 2013-02-13 应用材料公司 超高选择性的可灰化硬模膜
US20170103893A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
JP2868120B2 (ja) 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
WO1998058100A1 (de) 1997-06-16 1998-12-23 Robert Bosch Gmbh Verfahren und einrichtung zum vakuumbeschichten eines substrates
US6320295B1 (en) 1998-11-18 2001-11-20 Mcgill Robert Andrew Diamond or diamond like carbon coated chemical sensors and a method of making same
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
ATE343661T1 (de) 1999-05-19 2006-11-15 Mitsubishi Shoji Plastics Corp Dlc-film, dlc-beschichteter plastikbehälter und verfahren und vorrichtung zur herstellung solcher behälter
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
WO2004076710A1 (ja) 2003-02-26 2004-09-10 Sumitomo Electric Industries, Ltd. 非晶質炭素膜、その製造方法および非晶質炭素膜被覆部材
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
WO2006052370A2 (en) 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US8119240B2 (en) 2005-12-02 2012-02-21 United Technologies Corporation Metal-free diamond-like-carbon coatings
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
KR100941070B1 (ko) 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US20090029067A1 (en) 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2009167512A (ja) 2008-01-21 2009-07-30 Kobe Steel Ltd 摺動部品用ダイヤモンドライクカーボン皮膜およびその製造方法
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5122386B2 (ja) * 2008-07-09 2013-01-16 株式会社ダン・タクマ 半導体用ケース
JP4704453B2 (ja) 2008-07-16 2011-06-15 株式会社プラズマイオンアシスト ダイヤモンドライクカーボン製造装置、製造方法及び工業製品
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US20110005682A1 (en) 2009-07-08 2011-01-13 Stephen Edward Savas Apparatus for Plasma Processing
KR20120092184A (ko) 2009-12-07 2012-08-20 어플라이드 머티어리얼스, 인코포레이티드 도핑된 영역을 세정하고 도핑된 영역 위에 음으로 대전된 패시베이션 층을 형성하는 방법
KR20110115291A (ko) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
KR20120121340A (ko) * 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
JP2012233529A (ja) 2011-04-28 2012-11-29 F C C:Kk 動力伝達装置
JP2013021382A (ja) 2011-07-07 2013-01-31 Toshiba Corp 同軸ケーブル
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
JP5935116B2 (ja) 2011-12-16 2016-06-15 東京エレクトロン株式会社 プラズマ処理装置
US20130160794A1 (en) 2011-12-23 2013-06-27 Applied Materials, Inc. Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
CN103594495A (zh) 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20140273461A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US20140355912A1 (en) 2013-05-29 2014-12-04 Garett F. Fortune Odor resistant bag and film
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US20150200094A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US20160042961A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
CN107768300B (zh) * 2016-08-16 2021-09-17 北京北方华创微电子装备有限公司 卡盘、反应腔室及半导体加工设备
US10858727B2 (en) * 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
WO2018226370A1 (en) 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
CN111954921B (zh) 2018-04-09 2024-05-31 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
JP2021523558A (ja) 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
KR20210072122A (ko) 2018-11-05 2021-06-16 어플라이드 머티어리얼스, 인코포레이티드 자기 하우징 시스템들

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
TW468209B (en) * 1997-08-25 2001-12-11 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
CN1879196A (zh) * 2003-09-12 2006-12-13 微米技术有限公司 包括无定形碳层的掩模结构
KR100715530B1 (ko) * 2005-11-11 2007-05-07 주식회사 테스 비정질 탄소막의 제조 방법 및 이를 적용한 반도체 소자의제조 방법
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
CN101355022A (zh) * 2007-07-25 2009-01-28 东京毅力科创株式会社 半导体处理用的成膜方法和装置
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
CN102934209A (zh) * 2010-05-20 2013-02-13 应用材料公司 超高选择性的可灰化硬模膜
US20170103893A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Also Published As

Publication number Publication date
TW202318505A (zh) 2023-05-01
US20210043449A1 (en) 2021-02-11
US11469097B2 (en) 2022-10-11
TW201944490A (zh) 2019-11-16
SG11202009406RA (en) 2020-10-29
CN111954921B (zh) 2024-05-31
KR102687561B1 (ko) 2024-07-22
WO2019199681A1 (en) 2019-10-17
JP7407121B2 (ja) 2023-12-28
JP2021520639A (ja) 2021-08-19
KR20200130490A (ko) 2020-11-18
US11784042B2 (en) 2023-10-10
US20230021761A1 (en) 2023-01-26
TWI780320B (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
CN111954921B (zh) 用于图案化应用的碳硬掩模及相关的方法
US20230220551A1 (en) Pulsed plasma (dc/rf) deposition of high quality c films for patterning
JP5265100B2 (ja) 炭素系ハードマスクを開く方法
KR101644732B1 (ko) Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US7056830B2 (en) Method for plasma etching a dielectric layer
US20070295455A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
TW202004910A (zh) 用於低深寬比堆疊圖案化的方法和系統
JP2024096717A (ja) パターニング応用のための高密度炭素膜
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US11810792B2 (en) Etching method and substrate processing apparatus
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US20190080924A1 (en) Selective nitride etching method for self-aligned multiple patterning
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치
CN117321743A (zh) 用于处理基板的方法及设备
TW202027225A (zh) 清潔膜堆疊中之氧化物層以消除下游處理期間之電弧放電之方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant