KR20200084365A - 고 에칭 선택성 비정질 탄소 막 - Google Patents

고 에칭 선택성 비정질 탄소 막 Download PDF

Info

Publication number
KR20200084365A
KR20200084365A KR1020207018768A KR20207018768A KR20200084365A KR 20200084365 A KR20200084365 A KR 20200084365A KR 1020207018768 A KR1020207018768 A KR 1020207018768A KR 20207018768 A KR20207018768 A KR 20207018768A KR 20200084365 A KR20200084365 A KR 20200084365A
Authority
KR
South Korea
Prior art keywords
amorphous carbon
carbon film
forming
plasma
dopant
Prior art date
Application number
KR1020207018768A
Other languages
English (en)
Other versions
KR102612989B1 (ko
Inventor
사라 보벡
프라샨트 쿠마 쿨쉬레쉬타
라제시 프라사드
광덕 더글라스 리
해리 화이트셀
히데타카 오시오
동 형 이
데븐 매튜 라즈 미탈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237042207A priority Critical patent/KR20230169487A/ko
Publication of KR20200084365A publication Critical patent/KR20200084365A/ko
Application granted granted Critical
Publication of KR102612989B1 publication Critical patent/KR102612989B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원에서 설명되는 구현들은 일반적으로 집적 회로들의 제작에 관한 것이다. 더 구체적으로, 본원에서 설명되는 구현들은 기판 상의 비정질 탄소 막들의 증착을 위한 기법들을 제공한다. 일 구현에서, 비정질 탄소 막을 형성하는 방법이 제공된다. 방법은 제1 프로세싱 구역에서 서셉터 상에 포지셔닝된 하층 상에 비정질 탄소 막을 증착하는 단계를 포함한다. 방법은 제2 프로세싱 구역에서 비정질 탄소 막 내로 도펀트 또는 불활성 종을 주입하는 단계를 더 포함한다. 도펀트 또는 불활성 종은 탄소, 붕소, 질소, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택된다. 방법은 도핑된 비정질 탄소 막을 패터닝하는 단계를 더 포함한다. 방법은 하층을 에칭하는 단계를 더 포함한다.

Description

고 에칭 선택성 비정질 탄소 막
[0001] 본원에서 설명되는 구현들은 일반적으로 집적 회로들의 제작에 관한 것이다. 더 구체적으로, 본원에서 설명되는 구현들은 기판 상의 비정질 탄소 막들의 증착을 위한 기법들을 제공한다.
[0002] 집적 회로들은 단일 칩 상에 수 백만개의 트랜지스터들, 커패시터들, 및 레지스터들을 포함할 수 있는 복잡한 디바이스들로 진화되었다. 칩 설계들의 진화는 지속적으로, 더 빠른 회로망 및 더 높은 회로 밀도를 수반한다. 더 높은 회로 밀도들을 갖는 더 빠른 회로들에 대한 요구들은 그러한 집적 회로들을 제작하는 데 사용되는 재료들에 대응하는 요구들을 부과한다. 특히, 집적 회로 컴포넌트들의 치수들이 서브-미크론 스케일(sub-micron scale)로 감소됨에 따라, 그러한 컴포넌트들로부터 적합한 전기적 성능을 획득하기 위해, 저 저항률 전도성 재료들 뿐만 아니라 저 유전 상수 절연성 재료들이 사용된다.
[0003] 더 높은 집적 회로 밀도들에 대한 요구들은 또한, 집적 회로 컴포넌트들의 제조에 사용되는 프로세스 시퀀스들에 요구들을 부과한다. 예컨대, 종래의 포토리소그래피 기법들을 사용하는 프로세스 시퀀스들에서, 기판 상에 증착된 재료 층들의 스택(stack) 위에 에너지 민감성 레지스트(energy sensitive resist)의 층이 형성된다. 에너지 민감성 레지스트 층이 패턴의 이미지에 노출되어, 포토레지스트 마스크가 형성된다. 그 후, 에칭 프로세스를 사용하여, 스택의 재료 층들 중 하나 이상으로 마스크 패턴이 전사된다. 에칭 프로세스에 사용되는 화학 에천트는 에너지 민감성 레지스트의 마스크보다 스택의 재료 층들에 대해 더 높은 에칭 선택성을 갖도록 선택된다. 즉, 화학 에천트는 에너지 민감성 레지스트보다 훨씬 더 빠른 레이트로 재료 스택의 하나 이상의 층들을 에칭한다. 레지스트에 비해 더 높은, 스택의 하나 이상의 재료 층들에 대한 에칭 선택성은, 패턴 전사의 완료 전에 에너지 민감성 레지스트가 소모되는 것을 방지한다.
[0004] 패턴 치수들이 감소됨에 따라, 패턴 해상도를 제어하기 위해, 에너지 민감성 레지스트의 두께가 대응하여 감소된다. 그러한 얇은 레지스트 층들은, 화학 에천트에 의한 공격으로 인해, 패턴 전사 프로세스 동안, 하부 재료 층들을 마스킹하기에 불충분할 수 있다. 하드마스크로 지칭되는 중간 층(예컨대, 실리콘 옥시나이트라이드, 실리콘 탄화물, 또는 탄소 막)이 흔히, 화학 에천트에 대한 더 큰 내성으로 인해, 패턴 전사를 가능하게 하기 위해, 에너지 민감성 레지스트 층과 하부 재료 층들 사이에 사용된다. 높은 에칭 선택성과 높은 증착 레이트들 둘 모두를 갖는 하드마스크 재료들이 바람직하다. CD(critical dimension)들이 감소됨에 따라, 현재의 하드마스크 재료들은 하부 재료들(예컨대, 산화물들 및 질화물들)에 대한 목표 에칭 선택성이 부족하게 되고, 그리고 대개 증착하는 것이 어렵다.
[0005] 따라서, 개선된 하드마스크 층, 및 개선된 하드마스크 층들을 증착하기 위한 방법들이 본 기술 분야에 필요하다.
[0006] 본원에서 설명되는 구현들은 일반적으로 집적 회로들의 제작에 관한 것이다. 더 구체적으로, 본원에서 설명되는 구현들은 기판 상의 비정질 탄소 막들의 증착을 위한 기법들을 제공한다. 일 구현에서, 비정질 탄소 막을 형성하는 방법이 제공된다. 방법은 제1 프로세싱 구역에서 서셉터 상에 포지셔닝된 하층(underlayer) 상에 비정질 탄소 막을 증착하는 단계를 포함한다. 방법은 제2 프로세싱 구역에서 비정질 탄소 막 내로 도펀트 또는 불활성 종을 주입함으로써, 도핑된 비정질 탄소 막을 형성하는 단계를 더 포함한다. 도펀트 또는 불활성 종은 탄소, 붕소, 질소, 질소 이량체, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택된다. 방법은 도핑된 비정질 탄소 막을 패터닝하는 단계, 및 하층을 에칭하는 단계를 더 포함한다.
[0007] 다른 구현에서, 비정질 탄소 막을 형성하는 방법이 제공된다. 방법은 제1 프로세싱 구역에서 서셉터 상에 포지셔닝된 하층 상에 비정질 탄소 막을 증착하는 단계를 포함한다. 방법은 제2 프로세싱 구역에서 비정질 탄소 막 내로 도펀트를 주입함으로써, 도핑된 비정질 탄소 막을 형성하는 단계를 더 포함한다. 도펀트 또는 불활성 종은 탄소, 붕소, 질소, 질소 이량체, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택된다. 방법은 도핑된 비정질 탄소 막을 패터닝하는 단계를 더 포함한다. 방법은 하층을 에칭하는 단계를 더 포함하며, 여기서, 도핑된 비정질 탄소 막은 633 nm에서 약 2.1 내지 약 2.2의 굴절률을 갖는다.
[0008] 또 다른 구현에서, 비정질 탄소 막을 포함하는 하드마스크 층이 제공된다. 비정질 탄소 막은 플라즈마 강화 화학 기상 증착 프로세스에 이은 탄소 주입 프로세스에 의해 형성된다. 도펀트 또는 불활성 종은 탄소, 붕소, 질소, 질소 이량체, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택된다. 비정질 탄소 막은 반도체 애플리케이션들에 사용하기 위한 에칭 프로세스에서 하드마스크 층으로서 역할을 한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 구현들의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0010] 도 1은 본원에서 설명되는 구현들의 실시를 위해 사용될 수 있는 장치의 개략도를 도시한다.
[0011] 도 2는 본 개시내용의 하나 이상의 구현들에 따른, 기판 상에 배치된 막 스택 상에 비정질 탄소 하드마스크 층을 형성하기 위한 방법의 프로세스 흐름도를 도시한다.
[0012] 도 3a 내지 도 3h는 본 개시내용의 하나 이상의 구현들에 따른 하드마스크 형성 시퀀스를 예시하는 기판 구조의 개략적인 단면도를 도시한다.
[0013] 도 4는 본 개시내용의 하나 이상의 구현들에 따른, 기판 상에 배치된 막 스택 상에 비정질 탄소 하드마스크 층을 형성하기 위한 방법의 프로세스 흐름도를 도시한다.
[0014] 도 5a는, 종래 기술 기법들을 사용하여 형성된 비정질 탄소 막들과 비교하여, 본 개시내용의 구현들에 따라 형성된 비정질 탄소 막들에 대해, 면-내 왜곡(in-plane distortion) 대 막 응력(MPa)의 플롯을 도시한다.
[0015] 도 5b는 도 5a의 비정질 탄소 막들에 대해 영 계수(GPa) 대 막 응력(MPa)의 플롯을 도시한다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 구현의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0017] 다음의 개시내용은 기판 상의 다이아몬드-유사(diamond-like) 탄소 막들의 증착을 위한 기법들을 설명한다. 본 개시내용의 다양한 구현들의 철저한 이해를 제공하기 위해, 특정 세부사항들이 다음의 설명 및 도 1 내지 도 5b에서 제시된다. 플라즈마 프로세싱 및 이온 주입과 흔히 연관되는 잘-알려져 있는 구조들 및 시스템들을 설명하는 다른 세부사항들은 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해 다음의 개시내용에서 제시되지 않는다.
[0018] 도면들에 도시된 세부사항들, 치수들, 각도들, 및 다른 특징들 중 다수는 단지 특정 구현들의 예시일 뿐이다. 따라서, 본 개시내용의 사상 또는 범위로부터 벗어나지 않으면서, 다른 구현들이 다른 세부사항들, 컴포넌트들, 치수들, 각도들, 및 특징들을 가질 수 있다. 부가하여, 본 개시내용의 추가적인 구현들은 아래에서 설명되는 세부사항들 중 몇몇 없이도 실시될 수 있다.
[0019] 본원에서 설명되는 구현들은 임의의 적합한 박막 증착 및 주입 시스템들을 사용하여 수행될 수 있는 PECVD 증착 프로세스 및 이온 주입 프로세스를 참조하여 아래에서 설명될 것이다. 적합한 시스템들의 예들은, DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GTTM 시스템들, PRODUCER® XP PrecisionTM 시스템들, PRODUCER® SETM 시스템들, Sym3® 프로세싱 챔버, 및 MesaTM 프로세싱 챔버를 포함하며, 이들 모두는 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. 이온 주입 프로세스는 빔라인(beamline) 또는 플라즈마 주입 툴들에 의해 수행될 수 있다. 주입 프로세스를 수행하는 데 활용되는 예시적인 시스템들은, 예컨대, VARIAN VIISta® TRIDENT 시스템, VIISta® 3000XP 시스템, VIISta® 900XP 시스템, VIISta® HCP 시스템, VIISta® Trident CrionTM 시스템, 및 VIISta® PLAD 시스템을 포함하며, 이들은 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. PECVD 및/또는 이온 주입 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명되는 구현들로부터 이익을 얻도록 적응될 수 있다. 부가하여, 본원에서 설명되는 PECVD 및/또는 이온 주입 프로세스들을 가능하게 하는 임의의 시스템이 이익을 얻기 위해 사용될 수 있다. 본원에서 설명되는 장치 설명은 예시적인 것이며, 본원에서 설명되는 구현들의 범위를 제한하는 것으로 해석 또는 이해되지 않아야 한다.
[0020] 집적 회로들의 스케일링 다운(scaling down)의 물리적인 제약들은 평면 웨이퍼 표면에 대해 직각으로의 집적 회로들의 확장, 즉, 디바이스 공간의 고 HAR(high aspect ratio), 3-차원 활용이 이루어지게 하였다. 동적 에칭 선택성 및 점점 더 엄격해지는 제작 허용오차들을 수용하기 위한 나노제작 전략(nanofabrication strategy)들은, 유전체 실리콘 산화물-질화물(ON/OP) 막들 뿐만 아니라; 실리콘, 티타늄, 텅스텐, 또는 붕소로 도핑된 탄소 막들과 같은 HM(hardmask) 재료들의 라이브러리(library)가 이루어지게 하였다. 조합하여 사용되면, 이들 재료들은 1X 노드까지의(down to) 패터닝 및 에칭 선택성에서 이점들을 제공한다. 차세대 디바이스 구조들에서 HAR(high aspect ratio) 벤치마크들을 달성하기 위해, 비정질 탄소 하드마스크 재료들의 혁신이 바람직하다. 금속 및 유전체 솔루션(solution)들과 대조적으로, 비정질 탄소는 애싱가능(ashable)하여, 하부 ON/OP 하드마스크 막들에 대해 높은 선택성을 제공한다. 비정질 탄소 하드마스크들의 다른 이익은 비정질 탄소 하드마스크들의 대응하는 광학적 특성들이며, 이는 정렬된 패터닝된 피처(feature)들에 투명성(transparency)을 제공하도록 튜닝가능하고, 그에 따라, 부분 하드마스크 개방 프로세스의 필요성을 제거한다. 그러나, 비정질 탄소 하드마스크들을 위한 현재의 통합 하드웨어 및 프로세스들은 금속 도핑 및 유전체 하드마스크 대응물(counterpart)들에 비하여 비교적 열악한 기계적 특성들을 나타낸다. 현 세대의 순수 탄소 막들(예컨대, 나노결정질 다이아몬드, 초-나노결정질 다이아몬드, 다이아몬드-유사 탄소, 및 물리 기상 증착 탄소)에 대한 가장 높은 에칭 선택성은, 다이아몬드의 혼성화(hybridization)와 유사한, 높은 sp3 함유량(content)을 갖는 막들에 대해 보여진다. 다이아몬드 유사 탄소 하드마스크들의 오래된 높은 가치의 문제는 sp3 혼성 탄소로 인한 >1GPa 압축 막 응력이며, 이는 리소그래피 오버레이 및 정전 척킹 제약들로 인해 패터닝 성능을 제한한다.
[0021] 100:1의 종횡비들 및 64x 층 스택 애플리케이션들을 갖는 차세대 3D NAND 제품들은, 변형을 저지함과 동시에 개선된 리소그래피 오버레이를 나타내면서 패터닝을 가능하게 하는 박막들을 요구한다. 다이아몬드-유사 탄소 막들은 우수한 구조적 무결성과 탄소 종-특정 에칭 선택성을 커플링시킨다. 이들 다이아몬드-유사 탄소 막들은, 이들의 기계적 특성들(이의 하빈저(harbinger)는 영 계수임)이 감소된 응력 및 면-내 왜곡("IPD") 값들로 추가로 개선될 수 있는 경우에만 경쟁력이 있게 유지될 것이다.
[0022] 본 개시내용의 일부 구현들은 처리량 또는 구현 비용에 거의 영향을 미치지 않으면서 기존의 하드웨어를 사용하는 프로세스들을 제공한다. 본 개시내용의 일부 구현들은 열악한 영 계수(E)와 함께 높은 응력 및 리소그래피 오버레이의 높은 가치의 문제들을 해결한다. 본 개시내용의 일부 구현들은, 플라즈마 증착 메커니즘의 튜닝에 의해, 비정질 탄소의 계수를 약 2X만큼(예컨대, 약 64 GPa에서 약 138 GPa로) 증가시키는 고유한 프로세스를 제공한다. 핵심 막 특성들의 추가적인 개선은 이온-주입을 통해 달성되며, 이는 압축 응력을 75%만큼(약 -1200에서 약 -300 MPa로) 감소시키면서, 비정질 탄소 막의 영 계수를 추가로 30%(예컨대, ~180 GPa) 증가시킨다. 부가하여, PECVD와 이온 주입의 조합은, 현 세대의 순수 탄소 하드마스크 막들과 비교할 때 상당히 더 낮은 면-내 왜곡(< 3 나노미터 오버레이 에러)을 달성하는 비정질 탄소 막들을 제공하였다.
[0023] 비정질 탄소 하드마스크 막들의 성능을 개선하는 데 있어서, 본 개시내용의 제1 양상은 새로운 프로세스 윈도우를 정의한다. 이 새로운 프로세스 윈도우는 높은 응력(예컨대, 약 -1200 GPa)에도 불구하고, 그런데도 계수가 개선된 낮은 면-내 왜곡을 목표로 한다. 이론에 의해 구속되는 것은 아니지만, 이들 개선들은, 플라즈마 온도를 감소시키는, 프로세스 간격의 증가 및 압력의 감소를 통한 플라즈마의 시스(sheath) 사이즈의 증가에 의해 달성되는 것으로 여겨진다. 합성 온도의 감소에도 불구하고, 증착 레이트의 현저한 감소에 의해, 더 높은 시스 전위 및 봄(Bohm) 속도가 확정(confirm)되었다. 이는 막 내의 수소 함유량을 감소시키면서 탄소-탄소 결합이 더 많이 형성되게 하는 것을 돕는다. 일 구현에서, 증착 후의 633 nm에서의 측정된 흡광 계수 값들은 0.72였으며, 이는 더 높은 C=C의 흑연 특성을 나타낸다. 게다가, 플라즈마의 밀도의 감소는 평균 자유 경로, 충격 에너지를 증가시키고, 웨이퍼 표면에 걸친 이온 에너지 분포 함수의 균일성을 개선하였다. 충격에 의한 비정질화를 최소화하는 더 약한 플라즈마로 인한 막-내 특성들은 영 계수(E), 경도, 및 밀도의 증가를 나타낸다. 이론에 의해 구속되는 것은 아니지만, 증가된 평균 자유 경로는 리소그래피 오버레이에 대해 목표로 되는 더 낮은 IPD(in-plane distortion)를 발생시키는 것으로 여겨진다.
[0024] 본 개시내용의 제2 양상, 즉, 인-라인(in-line) 이온 주입은 비정질 탄소 막의 응력 성분을 최대 대략 75%만큼(예컨대, 약 -1200에서 약 -300 MPa로) 감소시키고, 영 계수를 (예컨대, 약 138에서 약 177 GPa로) 추가로 개선하고, 면-내 왜곡 프로파일을 더 중심 대칭적이게 만드는 역할을 한다. 이온 주입은 일정 범위의 온도들(예컨대, 섭씨 약 -100도 내지 섭씨 약 500도)로 수행될 수 있다. 비정질 탄소 막들에 대한 이온 주입 온도의 감소는 주입된 도펀트들의 재배열을 최소화하여, 주입의 유익한 효과들, 이를테면 고밀화, sp3 향상, 및 수소 감소를 확정하는 것으로 본원에서 설정된다. 이론에 의해 구속되는 것은 아니지만, 이온 주입은 국부 응력들을 재분배하고, 그리고 전체 웨이퍼 응력을 예컨대 막 증착-후 값의 약 25%까지 감소시키는 것을 돕는 것으로 여겨진다. 응력을 감소시키면서 계수를 최상으로 향상시키기 위한, 주입에 대한 정확한 프로세스 체제는, 막 개선의 포화의 HVP를 우회하기 위해, 인입 웨이퍼 계수에 기반하여 개발된다.
[0025] 결과적인 비정질 탄소 막은 현재 이용가능한 순수 탄소 하드마스크 막들과 비교할 때 에칭 선택성이 30 내지 50% 개선되면서 또한 이전의 오버레이 요건들에 부합되는 것으로 나타났다.
[0026] 본 개시내용의 일부 구현들에서, 비정질 탄소 막들은 베어 실리콘 블랭킷 웨이퍼들 상에 플라즈마 강화 화학 기상 증착을 통해 증착되었다. 일부 구현들에서, 탄소 전구체는 C3H6이며, 플라즈마 프로파일 및 균일성은 아르곤 및 헬륨 가스들에 의해 유지된다. 이 작업의 범위는 또한, 특히, C4H8, C2H6, C2H4, C2H2, CO2, 및 CF4의 사용을 포함한다. 이 애플리케이션을 위한 고 주파수 RF는 13.56 MHz이다. 단일 웨이퍼 하드웨어는 섭씨 650도의 온도들까지 증착을 가능하게 하고, 그리고 평면 가열기-에지 링 구성과 가스 박스, 샤워헤드 조합을 사용하여, 중심에서 에지까지 플라즈마 안정성을 유지한다. 플라즈마 프로파일 및 웨이퍼 표면에 대한 커플링은, 웨이퍼 표면에 대해 직각으로 그리고 측방향으로의 분배를 위한 RF의 레이어링(layering)에 의해, 추가로 튜닝될 수 있다.
[0027] 일부 구현들에서, 이온 주입은 단일-웨이퍼 프로세싱 툴에서 실행된다. 열 교환기는 온도 제어, 및 섭씨 -100도까지의 저온 주입 기법들의 발현을 가능하게 한다. 본 개시내용에 나타낸 높은 성능을 산출하는 종은 막들의 순수 탄소 특성을 유지하는 애싱가능한 이온이다.
[0028] 도 1은 본 개시내용의 다양한 구현들에 따라 구성된 플라즈마-프로세싱 챔버(100)의 개략적인 단면도이다. 예로서, 도 1의 플라즈마-프로세싱 챔버(100)의 구현은 PECVD 시스템에 관하여 설명되지만, 다른 플라즈마 증착 챔버들 또는 플라즈마 에칭 챔버들을 포함하는 임의의 다른 플라즈마-프로세싱 챔버가 본 구현들의 범위 내에 속할 수 있다. 플라즈마-프로세싱 챔버(100)는 벽들(102), 최하부(104), 및 챔버 덮개(124)를 포함하며, 이들은 함께 서셉터(105) 및 프로세싱 구역(146)을 밀폐한다. 플라즈마-프로세싱 챔버(100)는 진공 펌프(114), 제1 RF 생성기(151), 제2 RF 생성기(152), RF 정합부(153), 가스 소스(154), 최상부 RF 전류 튜너(155), 최하부 RF 전류 튜너(157), 및 시스템 제어기(158)를 더 포함하며, 이들은 각각, 도시된 바와 같이, 플라즈마-프로세싱 챔버(100) 외부에 커플링된다.
[0029] 벽들(102) 및 최하부(104)는 전기 전도성 재료, 이를테면 알루미늄 또는 스테인리스 강을 포함할 수 있다. 플라즈마-프로세싱 챔버(100) 내로의 기판(110)의 삽입 및 플라즈마-프로세싱 챔버(100)로부터의 기판(110)의 제거를 가능하게 하도록 구성된 슬릿 밸브 개구가 벽들(102) 중 하나 이상을 통해 있을 수 있다. 슬릿 밸브 개구를 밀봉하도록 구성된 슬릿 밸브가 플라즈마-프로세싱 챔버(100)의 내부 또는 외부에 배치될 수 있다. 명확성을 위해, 슬릿 밸브 또는 슬릿 밸브 개구는 도 1에 도시되지 않는다.
[0030] 진공 펌프(114)는 플라즈마-프로세싱 챔버(100)에 커플링되고, 그리고 플라즈마-프로세싱 챔버(100) 내의 진공 레벨을 조정하도록 구성된다. 도시된 바와 같이, 밸브(116)가 플라즈마-프로세싱 챔버(100)와 진공 펌프(114) 사이에 커플링될 수 있다. 진공 펌프(114)는, 밸브(116)를 통해, 기판 프로세싱 전에 플라즈마-프로세싱 챔버(100)를 진공배기시키고, 프로세싱 동안 플라즈마-프로세싱 챔버(100)로부터 프로세스 가스를 제거한다. 밸브(116)는 플라즈마-프로세싱 챔버(100)의 진공배기 레이트의 조절을 가능하게 하도록 조정가능할 수 있다. 밸브(116)를 통한 진공배기 레이트 및 가스 소스(154)로부터의 인입 가스 유량은 플라즈마-프로세싱 챔버(100) 내의 프로세스 가스 체류 시간 및 챔버 압력을 결정한다.
[0031] 가스 소스(154)는 챔버 덮개(124)를 통과하는 튜브(123)를 통해 플라즈마-프로세싱 챔버(100)에 커플링된다. 튜브(123)는 챔버 덮개(124)에 포함된 가스 분배 샤워헤드(128)와 배킹 플레이트(106) 사이의 플리넘(148)에 유동적으로 커플링된다. 동작 동안, 가스 소스(154)로부터 플라즈마-프로세싱 챔버(100) 내로 도입되는 프로세스 가스는 플리넘(148)을 충전하고, 이어서, 가스 분배 샤워헤드(128)에 형성된 가스 통로들(129)을 통과하여, 프로세싱 구역(146)에 균일하게 진입한다. 대안적인 구현들에서, 프로세스 가스는, 가스 분배 샤워헤드(128)에 부가하여 또는 가스 분배 샤워헤드(128) 대신에, 벽(들)(102)에 부착된 유입구들 및/또는 노즐들(미도시)을 통해 프로세싱 구역(146) 내로 도입될 수 있다.
[0032] 서셉터(105)는 플라즈마-프로세싱 챔버(100)에 의한 프로세싱 동안 기판, 이를테면 도 1의 기판(110)을 지지하기 위한 임의의 기술적으로 실현가능한 장치를 포함할 수 있다. 일부 구현들에서, 서셉터(105)는 서셉터(105)를 상승 및 하강시키도록 구성된 샤프트(112) 상에 배치된다. 일 구현에서, 샤프트(112) 및 서셉터(105)는, 텅스텐, 구리, 몰리브덴, 알루미늄, 또는 스테인리스 강과 같은 전기 전도성 재료로 적어도 부분적으로 형성될 수 있거나 또는 그러한 전기 전도성 재료를 함유할 수 있다. 대안적으로 또는 부가적으로, 서셉터(105)는, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 실리콘 이산화물(SiO2) 등과 같은 세라믹 재료로 적어도 부분적으로 형성될 수 있거나 또는 그러한 세라믹 재료를 함유할 수 있다. 플라즈마-프로세싱 챔버(100)가 용량성 커플링 플라즈마 챔버인 구현들에서, 서셉터(105)는 전극(113)을 포함하도록 구성될 수 있다. 그러한 구현들에서, 금속 로드(metal rod)(115) 또는 다른 전도체가 전극(113)에 전기적으로 커플링되고, 그리고 플라즈마-프로세싱 챔버(100)에 전달되는 RF 전력을 위한 접지 경로의 일부를 제공하도록 구성된다. 즉, 금속 로드(115)는 플라즈마-프로세싱 챔버(100)에 전달되는 RF 전력이 전극(113)을 통과하고 플라즈마-프로세싱 챔버(100) 밖으로 이동하여 접지로 전달될 수 있게 한다.
[0033] 일부 구현들에서, 전극(113)은 또한, 플라즈마 프로세싱 동안 서셉터(105) 상으로의 기판(110)의 정전 클램핑을 가능하게 하기 위해, DC 전력 소스(미도시)로부터 전기 바이어스를 제공하도록 구성된다. 그러한 구현들에서, 서셉터(105)는 일반적으로, 하나 이상의 세라믹 재료들, 이를테면, 위에서 설명된 세라믹 재료들, 또는 정전 척에 사용하는 데 적합한 임의의 다른 세라믹 재료를 포함하는 바디(body)를 포함한다. 그러한 구현들에서, 전극(113)은, 몰리브덴(Mo), 텅스텐(W), 또는 서셉터(105)의 바디에 포함된 세라믹 재료 또는 재료들의 열 팽창 계수와 실질적으로 유사한 열 팽창 계수를 갖는 다른 재료로 제조된, 재료의 천공 시트 또는 메시, 이를테면 RF 메시일 수 있다. 전극(113)과 가스 분배 샤워헤드(128)는 함께, 플라즈마가 내부에 형성되는 프로세싱 구역(146)의 경계들을 정의한다. 예컨대, 프로세싱 동안, 서셉터(105) 및 기판(110)은 가스 분배 샤워헤드(128)의 하부 표면 근처(예컨대, 10 내지 30 mm 이내)로 상승 및 포지셔닝되어, 적어도 부분적으로 밀폐된 프로세싱 구역(146)을 형성할 수 있다.
[0034] 제1 RF(radio frequency) 생성기(151)는 RF 정합부(153)를 통해 방전 전극(126)에 제1 RF 주파수로 고-주파수 전력을 제공하도록 구성된 RF 전력 소스이다. 유사하게, 제2 RF 생성기(152)는 RF 정합부(153)를 통해 방전 전극(126)에 제2 RF 주파수로 고-주파수 전력을 제공하도록 구성된 RF 전력 소스이다. 일부 구현들에서, 제1 RF 생성기(151)는 HF(high frequency), 이를테면 약 13.56 MHz로 RF 전류들을 생성할 수 있는 RF 전력 공급부를 포함한다. 대안적으로 또는 부가적으로, 제1 RF 생성기(151)는 VHF 전력, 이를테면 약 20 MHz 내지 200 MHz 또는 그 초과의 주파수들의 VHF 전력을 생성할 수 있는 VHF 생성기를 포함한다. 대조적으로, 제2 RF 생성기(152)는 소위 LF(low frequency) RF, 예컨대 약 350 kHz로 RF 전류들을 생성할 수 있는 RF 전력 공급부를 포함한다. 대안적으로 또는 부가적으로, 제2 RF 생성기(152)는 약 1 kHz 내지 약 1 MHz의 주파수들로 RF 전력을 생성할 수 있는 RF 생성기를 포함한다. 제1 RF 생성기(151) 및 제2 RF 생성기(152)는 방전 전극(126)과 서셉터(105) 사이의 플라즈마의 생성을 가능하게 하도록 구성된다.
[0035] 방전 전극(126)은 프로세스 가스들이 통과하여 프로세싱 구역(146) 내로 도입되는 가스 주입 노즐들의 어레이 및/또는 (도 1에 도시된 바와 같은) 가스 분배 샤워헤드(128)와 같은 프로세스 가스 분배 엘리먼트를 포함할 수 있다. 방전 전극(126), 즉 가스 분배 샤워헤드(128)는 기판(110)의 표면과 실질적으로 평행하게 배향될 수 있고, 그리고 기판(110)과 가스 분배 샤워헤드(128) 사이에 배치된 프로세싱 구역(146) 내에 플라즈마 소스 전력을 용량성 커플링시킨다.
[0036] RF 정합부(153)는 플라즈마-프로세싱 챔버(100)의 전력 공급 전극(powered electrode), 즉 가스 분배 샤워헤드(128)와 제1 RF 생성기(151) 사이에 커플링된 임의의 기술적으로 실현가능한 임피던스 정합 장치일 수 있다. RF 정합부(153)는 또한, 플라즈마-프로세싱 챔버(100)의 전력 공급 전극과 제2 RF 생성기(152) 사이에 커플링된다. RF 정합부(153)는, 제1 RF 생성기(151) 및 제2 RF 생성기(152)로부터 플라즈마-프로세싱 챔버(100)로의 RF 전력의 최대 전달을 가능하게 하기 위해, 구동 소스(제1 RF 생성기(151), 제2 RF 생성기(152))의 소스 또는 내부 임피던스와 부하 임피던스(플라즈마-프로세싱 챔버(100))를 정합시키도록 구성된다.
[0037] 상부 아이솔레이터(107), 튜닝 링(108), 및 하부 아이솔레이터(109)가 벽들(102)의 일부를 형성한다. 상부 아이솔레이터(107)는 일부 구현들에서 동작 동안 RF 전력으로 에너자이징(energize)되는 배킹 플레이트(106)로부터 전기 전도성 재료로 형성된 튜닝 링(108)을 전기적으로 절연시키도록 구성된다. 따라서, 상부 아이솔레이터(107)는 배킹 플레이트(106)와 튜닝 링(108) 사이에 포지셔닝되고, 그리고 튜닝 링(108)이 배킹 플레이트(106)를 통해 RF 전력으로 에너자이징되는 것을 방지한다. 일부 구현들에서, 상부 아이솔레이터(107)는 프로세싱 구역(146) 주위에 동심으로 포지셔닝된 세라믹 링 또는 고리(annulus)로서 구성된다. 유사하게, 하부 아이솔레이터(109)는 벽들(102)로부터 튜닝 링(108)을 전기적으로 절연시키도록 구성된다. 벽들(102)은 전형적으로, 전기 전도성 재료로 형성되고, 그리고 그에 따라, 프로세싱 동안 플라즈마-프로세싱 챔버(100)로 전달되는 RF 전력의 일부에 대한 접지 경로로서 작용할 수 있다. 따라서, 하부 아이솔레이터(109)는 튜닝 링(108)으로 하여금, 벽들(102)의 접지 경로와 상이한, 플라즈마-프로세싱 챔버(100)로 전달되는 RF 전력에 대한 접지 경로의 일부가 될 수 있게 한다. 일부 구현들에서, 상부 아이솔레이터(107)는 프로세싱 구역(146) 주위에 동심으로 포지셔닝된 세라믹 링으로서 구성되거나, 또는 그러한 세라믹 링을 포함하도록 구성된다.
[0038] 튜닝 링(108)은 상부 아이솔레이터(107)와 하부 아이솔레이터(109) 사이에 배치되고, 전기 전도성 재료로 형성되며, 프로세싱 구역(146)에 인접하게 배치된다. 예컨대, 일부 구현들에서, 튜닝 링(108)은 적합한 금속, 이를테면 알루미늄, 구리, 티타늄, 또는 스테인리스 강으로 형성된다. 일부 구현들에서, 튜닝 링(108)은 기판(110)의 프로세싱 동안 기판(110) 및 서셉터(105) 주위에 동심으로 포지셔닝된 금속성 링 또는 고리이다. 부가하여, 도시된 바와 같이, 튜닝 링(108)은 전도체(156)를 통하여 최상부 RF 전류 튜너(155)를 통해 접지에 전기적으로 커플링된다. 따라서, 튜닝 링(108)은 전력 공급 전극이 아니고, 일반적으로, 프로세싱 구역(146) 외부에 그리고 프로세싱 구역(146) 주위에 배치된다. 일 예에서, 튜닝 링(108)은 기판(110)과 실질적으로 평행한 평면에 포지셔닝되고, 그리고 프로세싱 구역(146)에 플라즈마를 형성하는 데 사용되는 RF 에너지에 대한 접지 경로의 일부이다. 결과로서, 부가적인 RF 접지 경로(141)가 최상부 RF 전류 튜너(155)를 통해 접지와 가스 분배 샤워헤드(128) 사이에 설정된다. 따라서, 특정 주파수에서의 최상부 RF 전류 튜너(155)의 임피던스를 변화시킴으로써, 특정 주파수에서의 RF 접지 경로(141)에 대한 임피던스가 변화되어, 그 주파수에서 튜닝 링(108)에 커플링된 RF 필드가 변화된다. 따라서, 프로세싱 구역(146) 내의 플라즈마의 형상은 제1 RF 생성기(151) 또는 제2 RF 생성기(152)와 연관된 RF 주파수에 대해 +/- X 및 Y-방향들을 따라 독립적으로 변조될 수 있다. 즉, 프로세싱 구역(146)에 형성되는 플라즈마의 형상, 볼륨, 또는 균일성은, 전극(113)을 사용하여 기판(110)과 가스 분배 샤워헤드(128) 사이에서 수직으로, 또는 예컨대 튜닝 링(108)의 사용에 의해 기판(110)의 표면에 걸쳐 다수의 RF 주파수들에 대해 독립적으로 변조될 수 있다.
[0039] 시스템 제어기(158)는 플라즈마-프로세싱 챔버(100)의 컴포넌트들 및 기능들, 이를테면, 진공 펌프(114), 제1 RF 생성기(151), 제2 RF 생성기(152), RF 정합부(153), 가스 소스(154), 최상부 RF 전류 튜너(155), 및 최하부 RF 전류 튜너(157)를 제어하도록 구성된다. 따라서, 시스템 제어기(158)는 최상부 RF 전류 튜너(155) 및 최하부 RF 전류 튜너(157)로부터 센서 입력들, 예컨대 전압-전류 입력들을 수신하고, 플라즈마-프로세싱 챔버(100)의 동작을 위한 제어 출력들을 송신한다. 시스템 제어기(158)의 기능성은 소프트웨어, 하드웨어, 및/또는 펌웨어를 이용한 구현을 포함하는 임의의 기술적으로 실현가능한 구현을 포함할 수 있고, 그리고 플라즈마-프로세싱 챔버(100)와 연관된 다수의 별개의 제어기들 사이에서 분할될 수 있다.
[0040] 이론에 의해 구속되는 것은 아니지만, 플라즈마 강화 증착 프로세스 동안 플라즈마-프로세싱 챔버의 프로세싱 구역에 상이한 주파수들의 RF 전력을 전달함으로써, 증착되는 막의 특성들이 조정될 수 있는 것으로 여겨진다. 예컨대, 프로세싱 구역(146)에 전달되는 저-주파수 RF 플라즈마 전력 및/또는 주파수를 조정하는 것, 즉, 1 kHz 내지 1 MHz 체제로 RF 플라즈마를 형성하는 것은 일부 증착되는 막 특성들, 이를테면 막 응력을 조정하는 데 유익할 수 있는 한편, 프로세싱 구역(146)에 전달되는 고-주파수 RF 플라즈마 전력 및/또는 주파수를 조정하는 것, 즉, 1 MHz 내지 200 MHz 체제로 RF 플라즈마를 형성하는 것은 다른 증착되는 막 특성들, 이를테면 두께 균일성을 조정하는 데 유익할 수 있다. 본 개시내용의 다양한 구현들에 따르면, 튜닝 장치는 다수의 RF 주파수들에서 플라즈마-프로세싱 챔버(100)에서의 RF 전류의 흐름의 독립적인 제어를 가능하게 한다. 일부 구현들에서, 그러한 튜닝 장치는 플라즈마-프로세싱 챔버(100) 내의 다수의 위치들, 즉, 최상부 RF 전류 튜너(155) 및 최하부 RF 전류 튜너(157)에서 이용된다.
[0041] 위에서 언급된 바와 같은 최상부 RF 전류 튜너(155)는 튜닝 링(108)에 전기적으로 커플링되고 접지로 종결되어, 플라즈마-프로세싱 챔버(100)에 대한 제어가능한 RF 접지 경로(141)를 제공한다. 유사하게, 최하부 RF 전류 튜너(157)는 금속 로드(115)에 전기적으로 커플링되고 접지로 종결되어, 플라즈마-프로세싱 챔버(100)에 대한 상이한 제어가능한 RF 접지 경로(142)를 제공한다. 본원에서 설명되는 바와 같이, 최상부 RF 전류 튜너(155) 및 최하부 RF 전류 튜너(157)는 각각, 다수의 RF 주파수들에서 접지로의 RF 전류의 흐름을 제어하도록 구성된다. 따라서, 튜닝 링(108)과 금속 로드(115) 사이의 제1 RF 주파수의 RF 전류의 분배는, 튜닝 링(108)과 금속 로드(115) 사이의 제2 RF 주파수의 RF 전류의 분배와 독립적으로 제어될 수 있다.
[0042] 플라즈마(180)가 전극(113)과 방전 전극(126) 사이에서 프로세싱 구역(146)에 형성된다. 전극(113)의 최하부 표면과 서셉터(105)의 최상부 표면 사이의 거리 또는 "간격"은 "x"로 표현된다.
[0043] 다른 증착 챔버들이 또한, 본 개시내용으로부터 이익을 얻을 수 있으며, 위에서 열거된 파라미터들은 비정질 탄소 층을 형성하는 데 사용되는 특정 증착 챔버에 따라 변할 수 있다. 예컨대, 다른 증착 챔버들은 Applied Materials, Inc.로부터 입수가능한 증착 챔버들에 대해 언급된 것들보다 더 크거나 또는 더 작은 볼륨을 가질 수 있고, 그에 따라, 더 많거나 또는 더 적은 가스 유량들을 요구할 수 있다. 일 구현에서, 붕소-탄소 막은, 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능한 PRODUCER® XP PrecisionTM 프로세싱 시스템을 사용하여 증착될 수 있다.
[0044] 비정질 탄소 막 내의 도펀트 또는 불활성 종 혼입의 원자 퍼센트는 다음과 같이 계산된다: cm-3의 도펀트 농도를 특정 밀도의 C 막에 대해 예상되는 cm-3당 C 원자들의 수로 나눔. 비정질 탄소 막은 적어도 0.1, 1, 또는 10 원자 퍼센트의 도펀트 또는 불활성 종을 함유할 수 있다. 비정질 탄소 막은 최대 1, 10, 또는 30 원자 퍼센트의 도펀트 또는 불활성 종을 함유할 수 있다. 비정질 탄소 막은 약 1 내지 약 30 원자 퍼센트의 도펀트 또는 불활성 종을 함유할 수 있다. 비정질 탄소 막은 약 10 내지 약 30 원자 퍼센트의 도펀트 또는 불활성 종을 함유할 수 있다. 비정질 탄소 막은 적어도 3, 5, 또는 10 원자 퍼센트의 수소를 함유할 수 있다. 비정질 탄소 막은 최대 5, 10, 또는 15 원자 퍼센트의 수소를 함유할 수 있다. 비정질 탄소 막은 약 3 내지 약 15 원자 퍼센트의 수소를 함유할 수 있다.
[0045] 도펀트가 탄소인 일 구현에서, 비정질 탄소 막 내의 탄소 혼입의 원자 퍼센트는 다음과 같이 계산된다: ((C/(H+C))%). 비정질 탄소 막은 적어도 85, 90, 또는 95 원자 퍼센트의 탄소를 함유할 수 있다. 비정질 탄소 막은 최대 90, 95, 또는 97 원자 퍼센트의 탄소를 함유할 수 있다. 비정질 탄소 막은 약 85 내지 약 97 원자 퍼센트의 탄소를 함유할 수 있다. 비정질 탄소 막은 약 90 내지 약 97 원자 퍼센트의 탄소를 함유할 수 있다. 비정질 탄소 막은 적어도 3, 5, 또는 10 원자 퍼센트의 수소를 함유할 수 있다. 비정질 탄소 막은 최대 5, 10, 또는 15 원자 퍼센트의 수소를 함유할 수 있다. 비정질 탄소 막은 약 3 내지 약 15 원자 퍼센트의 수소를 함유할 수 있다.
[0046] 일반적으로, 다음의 예시적인 증착 프로세스 파라미터들은 본원에서 설명되는 비정질 탄소 막 증착 프로세스의 PECVD 부분에 대해 사용될 수 있다. 프로세스 파라미터들은 섭씨 약 100도 내지 섭씨 약 700도(예컨대, 섭씨 약 300도 내지 섭씨 약 700도)의 웨이퍼 온도의 범위일 수 있다. 챔버 압력은 약 1 Torr 내지 약 20 Torr(예컨대, 약 2 Torr 내지 약 8 Torr; 또는 약 5 Torr 내지 약 8 Torr)의 챔버 압력의 범위일 수 있다. 탄화수소-함유 가스의 유량은 약 100 sccm 내지 약 5,000 sccm(예컨대, 약 100 sccm 내지 약 2,000 sccm; 또는 약 160 sccm 내지 약 500 sccm)일 수 있다. 희석 가스의 유량은 개별적으로, 약 0 sccm 내지 약 5,000 sccm(예컨대, 약 2,000 sccm 내지 약 4,080 sccm)의 범위일 수 있다. 불활성 가스의 유량은 개별적으로, 약 0 sccm 내지 약 10,000 sccm(예컨대, 약 0 sccm 내지 약 2,000 sccm; 약 200 sccm 내지 약 2,000 sccm)의 범위일 수 있다. RF 전력은 1,000 와트 내지 3,000 와트일 수 있다. 기판(110)의 최상부 표면과 가스 분배 샤워헤드(128) 사이의 플레이트 간격은 약 200 mil 내지 약 1,000 mil(예컨대, 약 200 mil 내지 약 600 mil; 약 300 mil 내지 약 1,000 mil; 또는 약 400 mil 내지 약 600 mil)로 세팅될 수 있다. 비정질 탄소 막은 약 10 Å 내지 약 50,000 Å(예컨대, 약 300 Å 내지 약 3,000 Å; 또는 약 500 Å 내지 약 1,000 Å)의 두께를 갖도록 증착될 수 있다. 위의 프로세스 파라미터들은 약 100 Å/분 내지 약 5,000 Å/분(예컨대, 약 1,400 Å/분 내지 약 3,200 Å/분)의 범위의 비정질 탄소 막에 대한 전형적인 증착 레이트를 제공하고, 그리고 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능한 증착 챔버에서 300 mm 기판 상에 구현될 수 있다.
[0047] 주입 전의 증착-직후 비정질 탄소 막은 1.9 초과, 예컨대 대략 2.2(예컨대, 약 2.1 내지 약 2.5)의 굴절률(n)(633 nm)을 가질 수 있다. 증착-직후 비정질 탄소 막은 예컨대 1.0 미만(예컨대, 약 0.6 내지 약 0.8)의 k-값((633 nm에서의) k)을 가질 수 있다. 증착-직후 비정질 탄소 막은 약 50 내지 약 200 GPa(예컨대, 약 60 내지 약 140 GPa; 또는 약 100 내지 약 140 GPa)의 영 계수(GPa)를 가질 수 있다. 증착-직후 비정질 탄소 막은 약 10 GPa 내지 약 22 GPa(예컨대, 약 10 GPa 내지 약 15 GPa; 또는 약 12 GPa 내지 약 14 Gpa)의 경도(GPa)를 가질 수 있다. 증착-직후 비정질 탄소 막은 약 -1300 MPa 내지 약 0 MPa(예컨대, 약 -1300 MPa 내지 약 -250 MPa; 약 -1250 MPa 내지 약 -1000 MPa)의 응력(MPa)을 가질 수 있다. 증착-직후 비정질 탄소 막은 약 1.7 g/cc 내지 약 1.87 g/cc(예컨대, 약 1.74 g/cc 내지 약 1.85 g/cc)의 밀도(g/cc)를 가질 수 있다.
[0048] 탄소 주입 후의 증착-직후 비정질 탄소 막은 2.04 초과, 예컨대 대략 2.2(예컨대, 약 2.1 내지 약 2.2)의 굴절률(n)(633 nm)을 가질 수 있다. 증착-직후 비정질 탄소 막은 예컨대 1.0 미만(예컨대, 약 0.5 내지 약 0.8; 약 0.6 내지 약 0.7)의 k-값((633 nm에서의) k)을 가질 수 있다. 주입 후의 증착-직후 비정질 탄소 막은 약 70 내지 약 200 GPa(예컨대, 약 120 내지 약 180 GPa; 또는 약 130 내지 약 170 GPa)의 영 계수(GPa)를 가질 수 있다. 주입 후의 증착-직후 비정질 탄소 막은 약 14 GPa 내지 약 22 GPa(예컨대, 약 15 GPa 내지 약 20 GPa; 또는 약 16 GPa 내지 약 19 GPa)의 경도(GPa)를 가질 수 있다. 주입 후의 증착-직후 비정질 탄소 막은 약 -600 MPa 내지 약 0 MPa(예컨대, 약 -400 MPa 내지 약 0 MPa; 약 -350 MPa 내지 약 0 MPa)의 응력(MPa)을 가질 수 있다. 증착-직후 비정질 탄소 막은 1.9 g/cc 초과, 예컨대 대략 2.1 g/cc(예컨대, 약 1.95 g/cc 내지 약 2.1 g/cc)의 밀도(g/cc)를 가질 수 있다.
[0049] 도 2는 본 개시내용의 하나 이상의 구현들에 따른, 기판 상에 배치된 막 스택 상에 비정질 탄소 하드마스크 층을 형성하기 위한 방법(200)의 프로세스 흐름도를 도시한다. 도 3a 내지 도 3h는 방법(200)에 따른 하드마스크 형성 시퀀스를 예시하는 기판 구조의 개략적인 단면도를 도시한다. 방법(200)은 3차원 반도체 디바이스들을 위해 막 스택에 계단-형 구조들을 제조하는 데 활용되는, 막 스택 상에 형성될 수 있는 하드마스크 층을 참조하여 아래에서 설명되지만, 방법(200)은 또한, 다른 디바이스 제조 애플리케이션들에서 이익을 얻기 위해 사용될 수 있다. 추가로, 도 2에 도시된 동작들이 동시에 수행될 수 있고 그리고/또는 도 2에 도시된 순서와 상이한 순서로 수행될 수 있음이 또한 이해되어야 한다.
[0050] 방법(200)은, 동작(210)에서, 도 1에 도시된 플라즈마-프로세싱 챔버(100)와 같은 프로세스 챔버 내에 기판(302)을 포지셔닝하는 것에 의해 시작된다. 기판(302)은 도 1에 도시된 기판(110)일 수 있다. 기판(302)은 기판(302) 상에 형성되는 막 스택(300)의 일부일 수 있다.
[0051] 일 구현에서, 도 1에 도시된 바와 같이, 기판(110)의 표면은 실질적으로 평탄하다. 대안적으로, 기판(110)은 패터닝된 구조들, 예컨대, 트렌치들, 홀들, 또는 비아들이 내측에 형성된 표면을 가질 수 있다. 기판(110)은 또한, 실질적으로 평탄한 표면을 가질 수 있으며, 그 표면 상에 또는 그 표면에 목표 높이(elevation)로 구조가 형성된다. 기판(110)이 단일 바디로서 예시되지만, 기판(110)은, 금속 콘택들, 트렌치 격리부들, 게이트들, 비트라인들, 또는 임의의 다른 상호연결 피처들과 같은 반도체 디바이스들을 형성하는 데 사용되는 하나 이상의 재료들을 함유할 수 있음이 이해된다. 기판(110)은, 반도체 디바이스들을 제작하기 위해 활용되는, 하나 이상의 금속 층들, 하나 이상의 유전체 재료들, 반도체 재료, 및 이들의 조합들을 포함할 수 있다. 예컨대, 기판(110)은, 애플리케이션에 따라, 산화물 재료, 질화물 재료, 폴리실리콘 재료 등을 포함할 수 있다. 메모리 애플리케이션이 목표로 되는 일 구현에서, 기판(110)은, 폴리실리콘이 사이에 개재되거나 또는 개재되지 않으면서, 실리콘 기판 재료, 산화물 재료, 및 질화물 재료를 포함할 수 있다.
[0052] 다른 구현에서, 기판(110)은 기판(110)의 표면 상에 증착된 복수의 교번하는 산화물 및 질화물 재료들(즉, 산화물-질화물-산화물(ONO))(미도시)을 포함할 수 있다. 다양한 구현들에서, 기판(110)은, 복수의 교번하는 산화물 및 질화물 재료들, 하나 이상의 산화물 또는 질화물 재료들, 폴리실리콘 또는 비정질 실리콘 재료들, 비정질 실리콘과 교번하는 산화물들, 폴리실리콘과 교번하는 산화물들, 도핑된 실리콘과 교번하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교번하는 도핑되지 않은 폴리실리콘, 또는 도핑된 비정질 실리콘과 교번하는 도핑되지 않은 비정질 실리콘을 포함할 수 있다. 기판(110)은 막 프로세싱이 상부에서 수행되는 임의의 기판 또는 재료 표면일 수 있다. 예컨대, 기판(110)은, 재료, 이를테면, 결정질 실리콘, 실리콘 산화물, 실리콘 옥시나이트라이드, 실리콘 질화물, 스트레인드 실리콘, 실리콘 게르마늄, 텅스텐, 티타늄 질화물, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물들, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 저 k 유전체들, 및 이들의 조합들일 수 있다.
[0053] 막 스택(300)은 기판(302) 및 하층(304)을 포함한다. 본원에서 활용되는 바와 같이, 하층(304)은 비정질 탄소 하드마스크 아래에 배치되는 임의의 층을 포함한다. 예컨대, 비정질 탄소 하드마스크(306)는 비정질 탄소 하드마스크(306)와 하층(304)이 서로 물리적으로 접촉하도록 하층(304) 바로 위에 배치될 수 있다. 일 구현에서, 하층(304)은 단일 층을 포함한다. 다른 구현에서, 하층(304)은 유전체 스택을 포함한다.
[0054] 동작(220)에서, 도 3b에 예시된 바와 같이, 기판(302) 위에 배치된 하층(304) 상에 비정질 탄소 하드마스크(306)가 형성된다. 비정질 탄소 하드마스크(306)는 하층(304) 위에 블랭킷 증착 프로세스에 의해 증착된다. 일부 구현들에서, 비정질 탄소 하드마스크(306)는 도 4의 프로세스 흐름도에서 설명되는 방법(400)에 따라 증착된다. 비정질 탄소 하드마스크(306)는 하층(304)의 후속 에칭 요건들에 대응하는 두께까지 증착될 수 있다. 일 예에서, 비정질 탄소 하드마스크는 약 0.5 μm 내지 약 1.5 μm, 이를테면 약 1.0 μm의 두께를 갖는다.
[0055] 동작(230)에서, 도 3c에 예시된 바와 같이, 이온 주입 프로세스는, 도펀트로 도핑된, 도핑된 비정질 탄소 하드마스크(312)를 형성하기 위해, 도펀트로 비정질 탄소 하드마스크(306)를 도핑한다. 임의의 적합한 도핑 기법이 사용될 수 있다. 일 구현에서, 플라즈마 침지 이온 주입 기법이 도펀트들 또는 불활성 종을 주입하기 위해 이용된다. 일 구현에서, 빔라인 주입 기법이 도펀트들 또는 불활성 종을 주입하기 위해 이용된다. 일 구현에서, 컨포멀(conformal) 도핑 기법, 이를테면 PLAD(plasma doping) 기법이 도펀트들 또는 불활성 종을 주입하기 위해 이용될 수 있다.
[0056] 적합한 이온 종은 다양한 전구체 재료들, 이를테면, 탄소, 붕소, 질소, 실리콘, 인, 헬륨, 아르곤, 네온, 크립톤, 및 크세논 함유 재료들로부터 생성될 수 있다. 일 구현에서, 도펀트 또는 불활성 종은 탄소, 붕소, 질소, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택된다. 탄소 함유 전구체 가스들의 예들은 CH4를 포함한다. 일 구현에서, 다양한 전구체 재료들은, 예컨대, CH4/N2, CH4/He, N2/He, CH4/Ne, CH4/Ar, CH4/Ne, CH4/Kr, CH4/Xe을 포함하는 전구체 재료들의 조합들로부터 생성된다.
[0057] 개략도에서, 이온들(310)은 비정질 탄소 하드마스크(306)에 충격을 가하고, 일반적으로 비정질 탄소 하드마스크(306)에 침투하여, 도펀트 또는 불활성 종이 주입된 도핑된 비정질 탄소 하드마스크(312)를 형성한다. 이온들(310)은 이온들(310)을 에너자이징하는 데 활용되는 바이어스 및 전력, 및 이온들의 사이즈 및 타입에 따라, 다양한 깊이들까지 비정질 탄소 하드마스크(306)에 침투한다. 이온들(310)의 종은 하층(304)의 증가된 에칭 선택성을 제공하도록 맞춤화될 수 있다. 따라서, 주입되는 종은 비정질 탄소 하드마스크(306)의 에칭 선택성을 향상시키도록 적응된 임의의 단량체 또는 분자 이온일 수 있다.
[0058] 이온 주입 프로세스는 빔라인 또는 플라즈마 주입 툴들에 의해 수행될 수 있다. 주입 프로세스를 수행하는 데 활용되는 예시적인 시스템들은, 예컨대, 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능한, VARIAN VIISta® Trident 시스템, VIISta® 3000XP 시스템, VIISta® 900XP 시스템, VIISta® HCP 시스템, 및 VIISta® PLAD 시스템을 포함한다. 위에서 언급된 시스템들에 관하여 설명되지만, 다른 제조자들로부터의 시스템들이 또한, 이온 주입 프로세스를 수행하는 데 활용될 수 있는 것으로 고려된다.
[0059] 일 구현에서, 이온 주입 프로세스는 비정질 탄소 하드마스크(306) 내에 도펀트 또는 불활성 종을 주입한다. 도펀트 또는 불활성 종은 탄소, 붕소, 질소, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택된다. 일 구현에서, 도펀트를 에너자이징하는 데 활용되는 주입 에너지는, 활용되는 도펀트의 타입, 비정질 탄소 하드마스크(306)로서 활용되는 재료의 타입, 및 목표 주입 깊이에 따라, 약 1 keV 내지 약 60 keV(예컨대, 약 5 keV 내지 약 60 keV; 약 1 keV 내지 약 15 keV; 약 10 keV 내지 약 35 keV; 약 20 keV 내지 약 30 keV; 또는 약 20 keV 내지 약 25 keV)이다. 일 구현에서, 이온 주입량(이온/cm2)은, 활용되는 도펀트의 타입, 비정질 탄소 하드마스크(306)로서 활용되는 재료의 타입, 및 목표 주입 깊이에 따라, 약 5 x 1013 이온/cm2 내지 약 5 x 1017 이온/cm2(예컨대, 약 1 x 1015 이온/cm2 내지 약 3 x 1017 이온/cm2; 약 1 x 1014 이온/cm2 내지 약 5 x 1016 이온/cm2; 약 1 x 1014 이온/cm2 내지 약 2 x 1016 이온/cm2; 약 1 x 1015 이온/cm2 내지 약 1 x 1016 이온/cm2; 약 5 x 1015 이온/cm2 내지 약 1 x 1016 이온/cm2)이다. 일 구현에서, PLAD 주입 기법이 사용되는 경우, 도펀트 또는 불활성 종을 에너자이징하는 데 활용되는 주입 에너지는, 약 5 x 1013 이온/cm2 내지 약 5 x 1017 이온/cm2(예컨대, 약 1 x 1015 이온/cm2 내지 약 3 x 1017 이온/cm2; 약 1 x 1014 이온/cm2 내지 약 5 x 1016 이온/cm2; 약 1 x 1014 이온/cm2 내지 약 2 x 1016 이온/cm2; 약 1 x 1015 이온/cm2 내지 약 1 x 1016 이온/cm2; 약 5 x 1015 이온/cm2 내지 약 1 x 1016 이온/cm2)의 이온 주입량 범위로, 약 1 kV 내지 약 60 kV(예컨대, 약 5 kV 내지 약 60 kV; 약 1 kV 내지 약 15 kV; 약 10 kV 내지 약 35 kV; 약 20 kV 내지 약 30 kV; 또는 약 20 kV 내지 약 25 kV)이다. 일 구현에서, 도펀트가 헬륨인 경우, 도펀트를 에너자이징하는 데 활용되는 주입 에너지는, 약 1 x 1015 이온/cm2 내지 약 3 x 1017 이온/cm2의 이온 주입량 범위로, 약 1 kV 내지 약 15 kV이다. 일 구현에서, 목표 온도는 섭씨 약 -100도 내지 섭씨 약 500도(예컨대, 섭씨 약 -100도 내지 섭씨 약 200도; 섭씨 약 -100도 내지 섭씨 약 0도; 섭씨 약 -100도 내지 섭씨 약 50도; 섭씨 약 0도 내지 섭씨 약 50도; 또는 섭씨 약 50도 내지 섭씨 약 400도)이다.
[0060] 일반적으로, 비정질 탄소 하드마스크(306)의 증가된 경도는, 비정질 탄소 하드마스크(306)가 개방된 후에, 하층(304)에서의 고 종횡비 구조들의 감소된 라인 휨을 제공한다. 주입된 이온들(310)은 비정질 탄소 하드마스크(306)의 댕글링 탄소-수소 결합들로부터 잔류 수소 원자들을 추출하고, 비정질 탄소 하드마스크(306) 내에 탄화물 구조를 형성하는 것으로 여겨진다. 탄화물 구조는 도핑되지 않은 하드마스크와 비교할 때 증가된 경도를 나타낸다. 부가적으로, 주입된 이온들(310)이 비정질 탄소 하드마스크(306) 내에 존재하는 간극 보이드(interstitial void) 점유하여, 비정질 탄소 하드마스크(306)의 밀도가 증가되는 것으로 여겨진다. 증가된 밀도는 비정질 탄소 하드마스크(306)의 기계적 무결성을 추가로 증가시킨다.
[0061] 일 구현에서, 이온 주입 프로세스 후에, 막 스택(300)이 열 처리된다. 적합한 이온 주입-후 열 처리 기법들은 UV 처리, 열 어닐링, 및 레이저 어닐링을 포함한다. 도핑된 비정질 탄소 하드마스크(312)의 열 처리는 주입된 이온들(310)을 도핑된 비정질 탄소 하드마스크(312)의 프레임워크(framework) 내에 추가로 혼입한다. 예컨대, 주입된 이온들(310)은, 더 균일한 도핑 프로파일을 형성하기 위해, 도핑된 비정질 탄소 하드마스크(312) 내에서 재분배될 수 있다. 열 처리는 도핑된 비정질 탄소 하드마스크(312)의 비정질 탄소와 주입된 이온들(310) 사이의 상호작용 및 결합을 증가시킬 수 있는 것으로 여겨진다. 주입된 이온들(310)의 재분배 및 결합은 도핑된 비정질 탄소 하드마스크(312)의 경도, 밀도, 및 에칭 선택성을 추가로 증가시키도록 기능할 수 있다. 일 구현에서, 어닐링 프로세스는 플라즈마-프로세싱 챔버(100)와 같은 플라즈마-프로세싱 챔버에서 수행된다. 다른 구현에서, 어닐링 프로세스는 별개의 어닐링 챔버에서 수행된다.
[0062] 동작(240)에서, 도 3d에 예시된 바와 같이, 도펀트 또는 불활성 종으로 도핑된, 도핑된 비정질 탄소 하드마스크(312) 위에, 패터닝된 포토레지스트 층(320)이 형성된다. 광 에너지와 같은 에너지 소스를 활용하여 포토마스크로부터 포토레지스트 층(320)으로 피처들 또는 패턴들이 전사될 수 있다. 일 구현에서, 포토레지스트 층(320)은 폴리머 재료이며, 패터닝 프로세스는 193-나노미터 침지 포토리소그래피 프로세스 또는 다른 유사한 포토리소그래피 프로세스에 의해 수행된다. 유사하게, 패터닝 프로세스를 수행하기 위해 레이저들이 또한 활용될 수 있다.
[0063] 동작(250)에서, 도 3e에 예시된 바와 같이, 패터닝된 도핑된 비정질 탄소 하드마스크(322)를 형성하기 위해, 예컨대 플라즈마 에칭 프로세스에 의해, 도핑된 비정질 탄소 하드마스크(312)가 개방된다. 플라즈마 에칭 프로세스는 도 3c에 관하여 설명된 챔버들과 유사한 챔버에서 수행될 수 있다.
[0064] 동작(260)에서, 도 3f에 예시된 바와 같이, 포토레지스트 층(320)이 제거된다. 포토레지스트 층(320)은 다양한 유리한 포토레지스트 제거 프로세스들에 의해 제거될 수 있다.
[0065] 동작(270)에서, 도 3g에 예시된 바와 같이, 하층(304)이 에칭된다. 하층(304) 에칭은 도 1에 관하여 설명된 시스템들 및 챔버들과 같은 플라즈마-프로세싱 챔버에서 수행될 수 있다. 플루오로카본들과 같은 에천트들이 하층(304)의 노출된 부분들을 제거한다. 에천트들의 활성 종은 패터닝된 도핑된 비정질 탄소 하드마스크(322)의 재료들, 주입된 이온들(310)과 실질적으로 반응하지 않는다. 따라서, 에천트들은 하층(304) 재료에 대해 선택적이다. 에천트들의 적합한 예들은 특히, CF4, CHF3, HBr, BCl3, 및 Cl2를 포함한다. 에천트들은 불활성 캐리어 가스와 함께 제공될 수 있다.
[0066] 동작(280)에서, 패터닝된 도핑된 비정질 탄소 하드마스크(322)가 제거된다. 패터닝된 도핑된 비정질 탄소 하드마스크(322)는 임의의 유리한 하드마스크 제거 프로세스에 의해 제거될 수 있다. 일 예에서, 패터닝된 도핑된 비정질 탄소 하드마스크(322)를 제거하기 위해 산소 플라즈마가 활용된다. 결과적인 막 스택(300)은 고 종횡비 피처와 같은 피처(324)가 내부에 형성된 하층(304)을 포함한다. 이어서, 막 스택(300)은 기능성 반도체 디바이스를 형성하기 위해 추가적인 프로세싱을 거칠 수 있다.
[0067] 도 4는 본원에서 설명되는 구현들에 따른, 비정질 탄소 막을 증착하기 위한 방법(400)의 일 구현을 도시하는 프로세스 흐름도이다. 일 구현에서, 방법(400)은 동작(220)의 비정질 탄소 막을 증착하기 위해 사용될 수 있다. 방법(400)은, 동작(410)에서, 프로세싱 챔버의 프로세싱 구역에 기판을 제공하는 것에 의해 시작된다. 프로세싱 챔버는 도 1에 도시된 플라즈마-프로세싱 챔버(100)일 수 있다. 기판은 도 1에 또한 도시된 기판(110), 또는 도 3a 내지 도 3h에 도시된 기판(302)일 수 있다.
[0068] 동작(420)에서, 프로세싱 구역(146) 내로 탄화수소-함유 가스 혼합물이 유동된다. 탄화수소-함유 가스 혼합물은 가스 분배 샤워헤드(128)를 통해 가스 소스(154)로부터 프로세싱 구역(146) 내로 유동될 수 있다. 가스 혼합물은 적어도 하나의 탄화수소 소스 및/또는 탄소-함유 소스를 포함할 수 있다. 가스 혼합물은 불활성 가스, 희석 가스, 질소-함유 가스, 또는 이들의 조합들을 더 포함할 수 있다. 탄화수소 및/또는 탄소-함유 소스는 임의의 액체 또는 가스일 수 있지만, 재료 계량, 제어, 및 챔버로의 전달을 위해 필요한 하드웨어를 단순화하기 위해, 바람직한 전구체는 실온의 증기일 것이다.
[0069] 일 구현에서, 탄화수소 소스는 선형 탄화수소와 같은 가스성 탄화수소이다. 일 구현에서, 탄화수소 화합물은 일반식 CxHy를 가지며, 여기서, x는 1 내지 20의 범위를 갖고, y는 1 내지 20의 범위를 갖는다. 일 구현에서, 탄화수소 화합물은 알칸이다. 적합한 탄화수소 화합물들은, 예컨대, 메탄(CH4), 아세틸렌(C2H2), 에틸렌(C2H4), 에탄(C2H6), 프로필렌(C3H6), 및 부틸렌들(C4H8), 시클로부탄(C4H8), 및 메틸시클로프로판(C4H8)을 포함한다. 적합한 부틸렌들은 1-부텐, 2-부텐, 및 이소부틸렌을 포함한다. 다른 적합한 탄소-함유 가스들은 탄소 이산화물(CO2) 및 탄소 테트라플루오라이드(CF4)를 포함한다. 일 예에서, C3H6가 더 높은 표면 이동성을 가능하게 하는 더 안정적인 중간 종의 형성으로 인해 바람직하다.
[0070] 적합한 희석 가스들, 이를테면 특히, 헬륨(He), 아르곤(Ar), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들이 가스 혼합물에 첨가될 수 있다. Ar, He, 및 N2는 비정질 탄소 층의 밀도 및 증착 레이트를 제어하기 위해 사용된다. 일부 경우들에서, 아래에서 논의되는 바와 같이, N2 및/또는 NH3의 첨가는 비정질 탄소 층의 수소 비율을 제어하기 위해 사용될 수 있다. 대안적으로, 희석 가스들이 증착 동안 사용되지 않을 수 있다.
[0071] 질소-함유 가스가 탄화수소-함유 가스 혼합물과 함께 플라즈마-프로세싱 챔버(100) 내로 공급될 수 있다. 적합한 질소-함유 화합물들은, 예컨대, 피리딘, 지방족 아민, 아민들, 니트릴들, 암모니아, 및 유사한 화합물들을 포함한다.
[0072] 불활성 가스, 이를테면 아르곤(Ar) 및/또는 헬륨(He)이 탄화수소-함유 가스 혼합물과 함께 플라즈마-프로세싱 챔버(100) 내로 공급될 수 있다. 다른 불활성 가스들, 이를테면 질소(N2) 및 일산화 질소(NO)가 또한, 비정질 탄소 층의 밀도 및 증착 레이트를 제어하기 위해 사용될 수 있다. 부가적으로, 다양한 다른 프로세싱 가스들이 비정질 탄소 재료의 특성들을 변형시키기 위해 가스 혼합물에 첨가될 수 있다. 일 구현에서, 프로세싱 가스들은 반응성 가스들, 이를테면, 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들일 수 있다. H2 및/또는 NH3의 첨가는 증착되는 비정질 탄소 층의 수소 비율(예컨대, 탄소 대 수소 비율)을 제어하기 위해 사용될 수 있다. 비정질 탄소 막에 존재하는 수소 비율은 반사율과 같은 층 특성들에 대한 제어를 제공한다.
[0073] 선택적으로, 동작(430)에서, 프로세싱 구역 내의 압력은 미리 정의된 RF-온 지연 시간-기간(RF-on delay time-period) 동안 안정화된다. 미리 정의된 RF-온 지연 시간-기간은, 프로세싱 구역 내로의 탄화수소-함유 가스 혼합물의 도입과 동작(440)에서의 플라즈마의 점화 또는 생성 사이의 시간-기간으로서 정의된 고정된 시간 지연이다. 임의의 적합한 고정된 시간 지연이 목표 조건들을 달성하기 위해 사용될 수 있다. RF-온 지연 시간 기간의 길이는 전형적으로, 탄화수소-함유 또는 탄소-함유 가스 혼합물이 프로세싱 구역에서 열 분해되기 시작하거나 또는 실질적으로 열 분해되기 시작하지 않도록 선택된다.
[0074] 동작(440)에서, 비정질 탄소 하드마스크(306)와 같은 비정질 탄소 막을 증착하기 위해, RF 플라즈마가 프로세싱 구역에 생성된다. 플라즈마는 용량성 또는 유도성 수단에 의해 형성될 수 있고, 그리고 전구체 가스 혼합물에 RF 전력을 커플링시킴으로써 에너자이징될 수 있다. RF 전력은, 고 주파수 성분 및 저 주파수 성분을 갖는 이중-주파수 RF 전력일 수 있다. RF 전력은 전형적으로, 약 50 W 내지 약 2,500 W(예컨대, 약 2,000 W 내지 약 2,500 W)의 전력 레벨로 인가되며, 이는 모두, 예컨대 약 13.56 MHz의 주파수의 고-주파수 RF 전력일 수 있거나, 또는 예컨대 약 300 kHz의 주파수의 저 주파수 전력과 고-주파수 전력의 혼합일 수 있다. 대부분의 애플리케이션의 경우, 플라즈마는 약 100 Å 내지 약 5,000 Å의 두께를 갖는 비정질 탄소 층을 증착하기 위한 시간 기간 동안 유지된다. 탄화수소-함유 가스 혼합물의 유동은 비정질 탄소 막의 목표 두께가 도달될 때까지 유지된다. 동작(440)의 프로세스는 동작(420) 및 동작(430)의 프로세스들과 부분적으로 중첩될 수 있거나, 순차적으로 수행될 수 있거나, 또는 동시에 수행될 수 있다.
[0075] 본원에서 설명되는 PECVD 구현들 중 임의의 PECVD 구현에서, 비정질 탄소 막의 증착 동안, 챔버, 웨이퍼, 또는 이들 둘 모두는 섭씨 약 200도 내지 섭씨 약 700도(예컨대, 섭씨 약 400도 내지 섭씨 약 700도; 또는 섭씨 약 500도 내지 섭씨 약 700도)의 온도로 유지될 수 있다. 챔버 압력은 약 1 Torr 내지 약 10 Torr(예컨대, 약 2 Torr 내지 약 8 Torr; 또는 약 4 Torr 내지 약 8 Torr)의 챔버 압력의 범위일 수 있다. 서셉터와 가스 분배 샤워헤드 사이의 거리(즉, "간격")는 약 200 mil 내지 약 1,000 mil(예컨대, 약 200 mil 내지 약 600 mil; 약 300 mil 내지 약 1,000 mil; 또는 약 400 mil 내지 약 600 mil)로 세팅될 수 있다.
[0076] 비정질 탄소 막은 약 10 Å 내지 약 50,000 Å(예컨대, 약 300 Å 내지 약 30,000 Å; 약 500 Å 내지 약 1,000 Å)의 두께를 갖도록 증착될 수 있다.
[0077] 이어서, 선택적인 퍼지/진공배기 프로세스를 수행함으로써, 시즌(season) 층의 증착으로부터의 임의의 과잉 프로세스 가스들 및 부산물들이 프로세싱 구역으로부터 제거될 수 있다.
[0078] 도 5a는, 종래 기술 기법들을 사용하여 형성된 비정질 탄소 막들(510, 512, 및 514)과 비교하여, 본 개시내용의 구현들에 따라 형성된 비정질 탄소 막들(520, 522 및 530, 532)에 대해, 면-내 왜곡 대 막 응력(MPa)의 플롯(500)을 도시한다. 본 개시내용의 구현들에 따라 형성된 비정질 탄소 막들(520, 522 및 530, 532)은 탄소 도펀트 주입들 전에 도시된 것임이 유의된다. 도 5b는 도 5a의 비정질 탄소 막들에 대해 영 계수(GPa) 대 막 응력(MPa)의 플롯(550)을 도시한다. 도 5a 및 도 5b에 도시된 바와 같이, 본원에서 설명되는 구현들에 따라 형성된 비정질 탄소 막들(520, 522 및 530, 532)은, 높은 응력(예컨대, -1200 MPa)에도 불구하고, 계수를 개선하였고, 낮은 면-내 왜곡을 달성하였다. 본원에서 설명되는 후속 탄소 도펀트 주입 프로세스는 압축 막 응력을 대략 4X만큼 감소시킨 한편, 계수를 대략 1.4X만큼 증가시켰다.
[0079] 방법(200) 및 방법(400)은 반도체 디바이스 제조 프로세스에서 금속화 프로세스 전에 FEOL(front-end-of-line) 프로세스에 사용되는 프로세스들에 대해 유용하다. 방법(200)에 의해 형성되는 비정질 탄소 막은, 이의 높은 에칭 선택성으로 인해, 에칭 프로세스 동안 하드마스크 층으로서 역할을 할 수 있다. 적합한 프로세스들은 게이트 제조 애플리케이션들, 콘택 구조 애플리케이션들, STI(shallow trench isolation) 프로세스 등을 포함한다. 일부 구현들에서, 비정질 탄소 막이 상이한 프로세스 목적들을 위해 상이한 막들로서 사용되거나, 또는 에칭 정지 층으로서 사용되는 경우, 특정 프로세스 요구를 만족시키기 위해, 비정질 탄소 막의 기계적 또는 광학적 특성들이 또한 조정될 수 있다.
[0080] 따라서, 낮은 응력과 함께 목표 면-내 왜곡 및 영 계수를 갖는 고 에칭 선택성 비정질 탄소 막을 형성하기 위한 방법들은, 본원에서 설명되는 구현들에 따른, 플라즈마 증착 프로세스에 이은 탄소 주입 프로세스에 의해 제공된다. 방법들은 유리하게, 목표 기계적 특성들, 이를테면, 낮은 응력 및 높은 영 계수, 수소 혼입 및 탄소-탄소 결합의 변화들, 뿐만 아니라, 높은 에칭 선택성을 갖는 비정질 탄소 막을 제공한다. 본 개시내용의 구현들은 추가로, 처리량 또는 구현 비용에 거의 영향을 미치지 않으면서 기존의 하드웨어를 사용하는 프로세스 설계를 제공한다. 본 개시내용의 일부 구현들은, 플라즈마 증착 메커니즘의 튜닝에 의해, 비정질 탄소 막들의 계수를 약 2X만큼(예컨대, 약 64 GPa에서 약 138 GPa로) 증가시키는 고유한 프로세스를 제공한다. 핵심 막 특성들의 추가적인 개선은 이온-주입을 통해 달성되며, 이는 압축 응력을 약 75%만큼(예컨대, 약 -1200에서 약 -300 MPa로) 감소시키면서, 비정질 탄소 막의 영 계수를 추가로 30%(예컨대, ~180 GPa) 증가시킨다. 부가하여, PECVD와 이온 주입의 조합은, 현 세대의 순수 탄소 하드마스크 막들과 비교할 때 상당히 더 낮은 면-내 왜곡(< 3 나노미터 오버레이 에러)을 달성하는 비정질 탄소 막들을 제공하였다. 본원에서 설명되는 결과적인 막은, 현 세대의 원소적으로 순수한 비정질 탄소 하드마스크 막들과 비교할 때, 에칭 선택성이 대략 30 내지 50% 개선되면서 또한 이전의 오버레이 요건들에 부합되는 것으로 입증되었다.
[0081] 본 개시내용의 엘리먼트들, 또는 이들의 예시적인 양상들 또는 구현(들)을 도입하는 경우, 단수 표현은 하나 이상의 그 엘리먼트들이 존재한다는 것을 의미하는 것으로 의도된다.
[0082] "포함하는", "구비하는", 및 "갖는"이라는 용어들은 포괄적인 것으로 의도되고, 그리고 열거된 엘리먼트들 이외의 부가적인 엘리먼트들이 존재할 수 있다는 것을 의미하도록 의도된다.
[0083] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 제1 프로세싱 구역에서 서셉터(susceptor) 상에 포지셔닝된 하층(underlayer) 상에 비정질 탄소 막을 증착하는 단계;
    제2 프로세싱 구역에서 상기 비정질 탄소 막 내에 도펀트 또는 불활성 종을 주입함으로써, 도핑된 비정질 탄소 막을 형성하는 단계 ― 상기 도펀트 또는 상기 불활성 종은 탄소, 붕소, 질소, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택됨 ―;
    상기 도핑된 비정질 탄소 막을 패터닝하는 단계; 및
    상기 하층을 에칭하는 단계
    를 포함하는,
    비정질 탄소 막을 형성하는 방법.
  2. 제1 항에 있어서,
    상기 하층은 단일 층 또는 유전체 스택(stack)을 포함하는,
    비정질 탄소 막을 형성하는 방법.
  3. 제1 항에 있어서,
    상기 하층 상에 비정질 탄소 막을 증착하는 단계는,
    상기 제1 프로세싱 구역 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계; 및
    상기 하층 상에 상기 비정질 탄소 막을 형성하기 위해, 상기 제1 프로세싱 구역에 RF 플라즈마를 생성하는 단계
    를 포함하는,
    비정질 탄소 막을 형성하는 방법.
  4. 제3 항에 있어서,
    상기 제1 프로세싱 구역에 포지셔닝된 가스 분배 샤워헤드와 상기 서셉터 사이의 거리는 약 200 mil 내지 약 1,000 mil인,
    비정질 탄소 막을 형성하는 방법.
  5. 제4 항에 있어서,
    상기 제1 프로세싱 구역 내의 압력은 약 4 Torr 내지 약 8 Torr인,
    비정질 탄소 막을 형성하는 방법.
  6. 제1 항에 있어서,
    상기 도펀트 또는 상기 불활성 종을 에너자이징(energize)하는 데 활용되는 주입 에너지는 약 5 keV 내지 약 60 keV인,
    비정질 탄소 막을 형성하는 방법.
  7. 제6 항에 있어서,
    이온 주입량은 약 5 x 1013 이온/cm2 내지 약 5 x 1016 이온/cm2인,
    비정질 탄소 막을 형성하는 방법.
  8. 제6 항에 있어서,
    상기 도펀트 또는 상기 불활성 종을 주입하는 동안의 목표(target) 온도는 섭씨 약 -100도 내지 섭씨 약 500도인,
    비정질 탄소 막을 형성하는 방법.
  9. 제1 프로세싱 구역에서 서셉터 상에 포지셔닝된 하층 상에 비정질 탄소 막을 증착하는 단계;
    제2 프로세싱 구역에서 상기 비정질 탄소 막 내에 도펀트 또는 불활성 종을 주입함으로써, 도핑된 비정질 탄소 막을 형성하는 단계 ― 상기 도펀트 또는 상기 불활성 종은 탄소, 붕소, 질소, 실리콘, 인, 아르곤, 헬륨, 네온, 크립톤, 크세논, 또는 이들의 조합들로부터 선택됨 ―;
    상기 도핑된 비정질 탄소 막을 패터닝하는 단계; 및
    상기 하층을 에칭하는 단계
    를 포함하며,
    상기 도핑된 비정질 탄소 막은 633 nm에서 약 2.1 내지 약 2.2의 굴절률을 갖는,
    비정질 탄소 막을 형성하는 방법.
  10. 제9 항에 있어서,
    상기 도핑된 비정질 탄소 막은 633 nm에서 1.0 미만의 k-값을 갖는,
    비정질 탄소 막을 형성하는 방법.
  11. 제9 항에 있어서,
    상기 도핑된 비정질 탄소 막은 약 70 내지 약 200 GPa의 영 계수(GPa)를 갖는,
    비정질 탄소 막을 형성하는 방법.
  12. 제11 항에 있어서,
    상기 도핑된 비정질 탄소 막은 약 14 GPa 내지 약 22 GPa의 경도(GPa)를 갖는,
    비정질 탄소 막을 형성하는 방법.
  13. 제12 항에 있어서,
    상기 도핑된 비정질 탄소 막은 약 -600 MPa 내지 약 0 MPa의 응력(MPa)을 갖는,
    비정질 탄소 막을 형성하는 방법.
  14. 제13 항에 있어서,
    상기 도핑된 비정질 탄소 막은 약 1.95 g/cc 내지 약 2.1 g/cc의 밀도(g/cc)를 갖는,
    비정질 탄소 막을 형성하는 방법.
  15. 제14 항에 있어서,
    상기 도핑된 비정질 탄소 막은 약 10 Å 내지 약 50,000 Å의 두께를 갖는,
    비정질 탄소 막을 형성하는 방법.
KR1020207018768A 2017-12-01 2018-11-13 고 에칭 선택성 비정질 탄소 막 KR102612989B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237042207A KR20230169487A (ko) 2017-12-01 2018-11-13 고 에칭 선택성 비정질 탄소 막

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762593668P 2017-12-01 2017-12-01
US62/593,668 2017-12-01
PCT/US2018/060628 WO2019108376A1 (en) 2017-12-01 2018-11-13 Highly etch selective amorphous carbon film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237042207A Division KR20230169487A (ko) 2017-12-01 2018-11-13 고 에칭 선택성 비정질 탄소 막

Publications (2)

Publication Number Publication Date
KR20200084365A true KR20200084365A (ko) 2020-07-10
KR102612989B1 KR102612989B1 (ko) 2023-12-11

Family

ID=66658164

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237042207A KR20230169487A (ko) 2017-12-01 2018-11-13 고 에칭 선택성 비정질 탄소 막
KR1020207018768A KR102612989B1 (ko) 2017-12-01 2018-11-13 고 에칭 선택성 비정질 탄소 막
KR1020237003249A KR20230043858A (ko) 2017-12-01 2021-07-21 고 에칭 선택성 비정질 탄소 막

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237042207A KR20230169487A (ko) 2017-12-01 2018-11-13 고 에칭 선택성 비정질 탄소 막

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237003249A KR20230043858A (ko) 2017-12-01 2021-07-21 고 에칭 선택성 비정질 탄소 막

Country Status (7)

Country Link
US (4) US10727059B2 (ko)
JP (3) JP7326275B2 (ko)
KR (3) KR20230169487A (ko)
CN (2) CN111587474A (ko)
SG (1) SG11202005150YA (ko)
TW (3) TWI791678B (ko)
WO (2) WO2019108376A1 (ko)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7326275B2 (ja) * 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10811257B2 (en) * 2018-03-27 2020-10-20 Varian Semiconductor Equipment Associates, Inc. Techniques for forming low stress etch-resistant mask using implantation
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11270890B2 (en) * 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020157198A1 (en) * 2019-02-01 2020-08-06 Primetals Technologies France SAS Method of coating a part to be submerged into a galvanizing bath and parts thereof
CN111524794B (zh) * 2019-02-02 2023-07-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11011378B2 (en) * 2019-07-01 2021-05-18 Micron Technology, Inc. Atom implantation for reduction of compressive stress
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112563121B (zh) * 2019-09-26 2023-07-07 长鑫存储技术有限公司 图形转移方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210125830A1 (en) * 2019-10-23 2021-04-29 Nanya Technology Corporation Method of forming an ashable hard mask and patterning method
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
US11139168B2 (en) * 2019-12-02 2021-10-05 Applied Materials, Inc. Chamber deposition and etch process
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11854807B2 (en) * 2020-03-02 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Line-end extension method and device
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN115605978A (zh) * 2020-03-26 2023-01-13 应用材料公司(Us) 硼和碳膜的催化形成
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN115485811A (zh) * 2020-05-05 2022-12-16 朗姆研究公司 用于提高硬掩模的选择性的惰性气体注入
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113594031A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 半导体器件的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230326737A1 (en) * 2022-03-28 2023-10-12 Tokyo Electron Limited Technologies for high aspect ratio carbon etching with inserted charge dissipation layer
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films
CN114735625B (zh) * 2022-04-13 2024-04-05 浙江大学杭州国际科创中心 一种非晶碳膜加工用惰性物质植入设备
JP2024004377A (ja) * 2022-06-28 2024-01-16 東京エレクトロン株式会社 炭素含有膜の形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130118880A (ko) * 2010-10-05 2013-10-30 어플라이드 머티어리얼스, 인코포레이티드 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합
KR20150007287A (ko) * 2012-05-10 2015-01-20 어플라이드 머티어리얼스, 인코포레이티드 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착
KR20160105896A (ko) * 2014-01-08 2016-09-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
JP4158550B2 (ja) * 2003-02-18 2008-10-01 日本ゼオン株式会社 積層体
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
JP5503145B2 (ja) * 2005-08-18 2014-05-28 スルザー メタプラス ゲーエムベーハー 四面体炭素層および軟質外層を備える層状構造によって被覆された基板
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
JP2011207223A (ja) * 2010-03-12 2011-10-20 Toray Ind Inc 遠赤外線反射性積層体
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9499901B2 (en) 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
TWI725303B (zh) * 2012-02-10 2021-04-21 美商布魯克斯自動機械公司 基材處理設備
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
KR101707763B1 (ko) * 2013-05-24 2017-02-16 미쯔이가가꾸가부시끼가이샤 펠리클 및 이것을 포함하는 euv 노광 장치
WO2016003575A2 (en) 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
AT14701U1 (de) * 2015-03-19 2016-04-15 Plansee Composite Mat Gmbh Beschichtungsquelle zur Herstellung dotierter Kohlenstoffschichten
US9711360B2 (en) * 2015-08-27 2017-07-18 Applied Materials, Inc. Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
DE112016005136T5 (de) * 2015-11-09 2018-07-26 Applied Materials, Inc. Unterseitenverarbeitung
US10109462B2 (en) 2017-03-13 2018-10-23 Applied Materials, Inc. Dual radio-frequency tuner for process control of a plasma process
JP7326275B2 (ja) * 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
US11049728B2 (en) 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130118880A (ko) * 2010-10-05 2013-10-30 어플라이드 머티어리얼스, 인코포레이티드 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합
KR20150007287A (ko) * 2012-05-10 2015-01-20 어플라이드 머티어리얼스, 인코포레이티드 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착
KR20160105896A (ko) * 2014-01-08 2016-09-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발

Also Published As

Publication number Publication date
CN116171337A (zh) 2023-05-26
JP2023162196A (ja) 2023-11-08
KR20230043858A (ko) 2023-03-31
SG11202005150YA (en) 2020-06-29
KR20230169487A (ko) 2023-12-15
TW202212601A (zh) 2022-04-01
TWI791678B (zh) 2023-02-11
JP2021504967A (ja) 2021-02-15
US10727059B2 (en) 2020-07-28
US20230029929A1 (en) 2023-02-02
US20200357640A1 (en) 2020-11-12
JP2023535772A (ja) 2023-08-21
TW202325879A (zh) 2023-07-01
TW201932635A (zh) 2019-08-16
WO2022026257A1 (en) 2022-02-03
KR102612989B1 (ko) 2023-12-11
CN111587474A (zh) 2020-08-25
WO2019108376A1 (en) 2019-06-06
JP7326275B2 (ja) 2023-08-15
US11469107B2 (en) 2022-10-11
US20190172714A1 (en) 2019-06-06
US20230041963A1 (en) 2023-02-09

Similar Documents

Publication Publication Date Title
KR102612989B1 (ko) 고 에칭 선택성 비정질 탄소 막
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
KR101516648B1 (ko) 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착
KR20170098189A (ko) 트렌치들의 측벽들 또는 평탄 표면들 상에 선택적으로 실리콘 질화물 막을 형성하는 방법
US7056830B2 (en) Method for plasma etching a dielectric layer
KR20160118961A (ko) 3d nand 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착
KR102589210B1 (ko) 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입
JP5558480B2 (ja) P3iチャンバにおける共形ドープの改善
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US20240021433A1 (en) Forming a doped hardmask
US11495454B2 (en) Deposition of low-stress boron-containing layers
US11404263B2 (en) Deposition of low-stress carbon-containing layers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant