KR102589210B1 - 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입 - Google Patents

박막의 응력을 완화시키기 위한 인-시튜 고전력 주입 Download PDF

Info

Publication number
KR102589210B1
KR102589210B1 KR1020207035054A KR20207035054A KR102589210B1 KR 102589210 B1 KR102589210 B1 KR 102589210B1 KR 1020207035054 A KR1020207035054 A KR 1020207035054A KR 20207035054 A KR20207035054 A KR 20207035054A KR 102589210 B1 KR102589210 B1 KR 102589210B1
Authority
KR
South Korea
Prior art keywords
substrate
hardmask
carbon hardmask
power
diamond
Prior art date
Application number
KR1020207035054A
Other languages
English (en)
Other versions
KR20210011945A (ko
Inventor
에스와라난드 벤카타수브라마니안
프라미트 만나
아비지트 비. 말릭
스리니바스 간디코타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210011945A publication Critical patent/KR20210011945A/ko
Application granted granted Critical
Publication of KR102589210B1 publication Critical patent/KR102589210B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로, 패터닝 애플리케이션들을 위한 고밀도 막들의 증착을 위한 기법들에 관한 것이다. 일 실시예에서, 기판을 프로세싱하는 방법이 제공된다. 방법은, 기판 상에 형성된 막 스택 위에 탄소 하드마스크를 증착하는 단계 ― 기판은 프로세스 챔버에 배치된 정전 척 상에 포지셔닝됨 ―, 탄소 하드마스크에 이온들을 주입하는 단계 ― 탄소 하드마스크를 증착하는 단계 및 탄소 하드마스크에 이온들을 주입하는 단계는 동일한 프로세스 챔버에서 수행됨 ―, 및 탄소 하드마스크의 미리-결정된 두께에 도달할 때까지, 탄소 하드마스크를 증착하는 단계 및 탄소 하드마스크에 이온들을 주입하는 단계를 주기적인 방식으로 반복하는 단계를 포함한다.

Description

박막의 응력을 완화시키기 위한 인-시튜 고전력 주입
[0001] 본 개시내용의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에서 설명된 실시예들은 패터닝 애플리케이션들을 위한 고밀도 막들의 증착을 위한 기법들을 제공한다.
[0002] 하드마스크들은 NAND 및 DRAM(dynamic random access memory) 디바이스들을 제조하는 데 사용된다. 하드마스크들은 일반적으로, 리소그래픽 패터닝에서 희생 층들로 사용되며, 에칭 프로세스를 통해, 반도체 디바이스의 재료 층들 중 하나 이상의 재료 층 상에 피처들을 패터닝하는 것을 가능하게 한다. 패터닝된 피처들은, 예컨대 NAND 및 DRAM 디바이스들이 동작하는 것을 가능하게 하는 트랜지스터들 및 상호연결부들을 형성할 수 있다.
[0003] 하드마스크 재료의 일부 중요한 특성들은, 예컨대 에칭 저항 및 압축 응력이다. 이상적인 하드마스크는, 에칭될 층(이하 "하층(underlayer)")과 비교하여, 에칭 프로세스에서 사용되는 에천트에 대해 높은 에칭 저항성을 갖는다. 따라서, 하층은 하드마스크보다 훨씬 더 빠른 레이트로 에칭될 수 있다. 이상적인 하드마스크는 또한, 낮은 압축 응력을 갖는다. 더 낮은 압축 응력은 하드마스크 증착 후 바람직하지 않은 기판 휘어짐(substrate bow)을 제거하는데, 기판 휘어짐은 추가의 디바이스 제조를 어렵게 만들 수 있다.
[0004] 하드마스크의 에칭 선택성을 개선하기 위한 노력으로, 고밀도 탄소 막들 및 도핑된 탄소 막들이 개발되었다. 이러한 새로운 막들에 대한 난제들 중 하나는 고밀도 탄소 막들이 바람직하지 않은 기판 휘어짐을 초래하는 높은 압축 응력을 나타낸다는 것이다.
[0005] 따라서, 하드마스크 재료의 압축 응력을 유지하거나 감소시키면서 증가된 에칭 선택성을 나타내는 하드마스크를 형성하는 개선된 방법들이 당해 기술분야에 필요하다.
[0006] 본 개시내용의 실시예들은 일반적으로, 패터닝 애플리케이션들을 위한 고밀도 막들의 증착을 위한 기법들에 관한 것이다. 일 실시예에서, 기판을 프로세싱하는 방법이 제공된다. 방법은, 기판 상에 형성된 막 스택 위에 탄소 하드마스크를 증착하는 단계 ― 기판은 프로세스 챔버에 배치된 정전 척 상에 포지셔닝됨 ―, 탄소 하드마스크에 이온들을 주입하는 단계 ― 탄소 하드마스크를 증착하는 단계 및 탄소 하드마스크에 이온들을 주입하는 단계는 동일한 프로세스 챔버에서 수행됨 ―, 및 탄소 하드마스크의 미리-결정된 두께에 도달할 때까지, 탄소 하드마스크를 증착하는 단계 및 탄소 하드마스크에 이온들을 주입하는 단계를 주기적인 방식으로 반복하는 단계를 포함한다.
[0007] 다른 실시예에서, 기판을 프로세싱하는 방법이 제공된다. 방법은, 기판 위에 탄소 하드마스크를 증착하는 단계 ― 탄소 하드마스크는, 기판이 상부에 포지셔닝된 정전 척에 RF 바이어스를 인가하여 플라즈마를 생성함으로써 증착됨 ―, 및 기판 위에 탄소 하드마스크를 증착하는 단계 동안, RF 바이어스를 사용하여 탄소 하드마스크에 플라즈마로부터의 이온들을 주입하는 단계를 포함하며, 탄소 하드마스크를 증착하는 단계 및 탄소 하드마스크에 이온들을 주입하는 단계는 동일한 프로세스 챔버에서 동시적으로 수행된다.
[0008] 또 다른 실시예에서, 기판을 프로세싱하는 방법이 제공된다. 방법은, 제1 전력 레벨을 갖는 제1 RF 전력을 제1 전극을 통해 정전 척에 인가함으로써, 기판 상에 형성된 막 스택 위에 다이아몬드형 탄소 하드마스크(diamond-like carbon hardmask)를 증착하는 단계 ― 기판은, 제1 전극이 배치된 정전 척 상에 포지셔닝됨 ―, 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계 ― 다이아몬드형 탄소 하드마스크를 증착하는 단계 및 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계는 동일한 프로세스 챔버에서 수행됨 ―, 다이아몬드형 탄소 하드마스크의 미리-결정된 두께에 도달할 때까지, 다이아몬드형 탄소 하드마스크를 증착하는 단계 및 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계를 주기적인 방식으로 반복하는 단계, 다이아몬드형 탄소 하드마스크를 패터닝하는 단계, 패터닝된 다이아몬드형 탄소 하드마스크를 사용하여 막 스택을 에칭하는 단계, 및 다이아몬드형 탄소 하드마스크를 제거하는 단계를 포함한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 실시예들의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1a 및 도 1b는 본 개시내용의 실시예들에 따라 막 스택 상에 하드마스크를 형성하기 위한 방법을 제시하는 흐름도들이다.
[0011] 도 2a-도 2f는 도 1a 및 도 1b의 방법에 따른 하드마스크 형성 시퀀스를 예시하는, 스택의 개략적인 단면도들이다.
[0012] 도 3a 및 도 3b는 본 개시내용의 실시예들에 따라 막 스택 상에 하드마스크를 증착하기 위한 방법을 제시하는 흐름도들이다.
[0013] 도 4는 본 개시내용의 하나 이상의 실시예들에 따라 형성된 다이아몬드형 탄소 층에 대한, (1) 바이어스 전력의 함수로써의 응력 및 (2) 바이어스 전력의 함수로써의 밀도를 묘사하는 그래프이다.
[0014] 도 5는 본 개시내용의 하나 이상의 실시예들에 따라 형성된 다이아몬드형 탄소 층에 대한 주입량(implant dosage)의 함수로써의 응력을 묘사하는 그래프이다.
[0015] 도 6은 본원에서 제시된 방법들을 실시하기 위해 사용될 수 있는 예시적인 프로세싱 챔버의 개략적인 단면도이다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 피처들이 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
[0017] 본원에서 설명된 실시예들은, 고밀도(예컨대, 1.8 g/cc 초과), 높은 모듈러스(예컨대, 150 Gpa 초과), 및 낮은 응력(예컨대, -500 Mpa 미만)을 갖는 탄소 막들을 제조하는 개선된 방법들을 포함한다. 특히, 증가된 경도 및 감소된 응력을 갖는 고밀도 탄소 막을 증착 챔버에서 형성하기 위한 인-시튜 증착-주입 프로세스(in-situ deposition-implantation process)가 개시된다. 인-시튜 증착-주입 프로세스는, 증착 및 주입 프로세스들이 엑스-시튜(ex-situ)로 수행되었다면 달리 직면했을 이온 침투 임계치(ion penetration threshold)에 제한되지 않으면서 임의의 목표 두께로 탄소 막이 형성되는 것을 가능하게 하기 위해 주기적인 또는 동시적인 방식으로 수행될 수 있다. 본원에서 설명된 실시예들에 따라 제조된 탄소 막들은 사실상 비정질이며, 종래의 패터닝 막들보다 더 낮은 응력(-500 Mpa 미만)과 함께 훨씬 더 큰 모듈러스(예컨대, 150 Gpa 초과)로 더 높은 에칭 선택성을 갖는다. 본원에서 설명된 실시예들에 따라 제조된 탄소 막들은 낮은 응력을 가질 뿐만 아니라 높은 sp3 탄소 함량(예컨대, 다이아몬드형 막들)을 갖는다. 일반적으로, 본원에서 설명된 증착 프로세스는 또한, 하드마스크 애플리케이션들을 위한 현재의 통합 방식들과 완벽하게 호환가능하다.
[0018] 고밀도 탄소 막들이 본 개시내용에서 논의되지만, 본 개시내용의 다양한 실시예들은 또한, 임의의 막들의 막 응력, 밀도, 또는 영률을 개선하기 위해 사용될 수 있다는 것이 고려된다. 게다가, 본 개시내용의 양상들은, NAND 플래시 애플리케이션, DRAM 애플리케이션, 또는 CMOS 애플리케이션 등과 같은 다양한 반도체 프로세스들에서 이용되는 바와 같은, 하드마스크 또는 보호 희생 층을 활용하는 임의의 증착 프로세스들 또는 패터닝 방식들, 이를테면, SATP(self-aligned triple patterning) 프로세스, SAQP(self-aligned quadruple patterning) 프로세스, 비아/홀 수축 프로세스, BEOL(back end of line) 등에 적용가능하다는 것이 고려된다.
[0019] 본원에서 설명된 실시예들은, 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 아래에서 설명될 것이다. 적합한 시스템들의 예들은, DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GT™ 시스템들 PRODUCER® XP Precision™ 시스템들, PRODUCER® SE™ 시스템들, Sym3® 프로세싱 챔버, 및 Mesa™ 프로세싱 챔버를 포함하며, 이들 모두는 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. PECVD 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명된 실시예들로부터 이익을 얻도록 구성될 수 있다. 게다가, 본원에서 설명된 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 사용될 수 있다.
[0020] 도 1a 및 도 1b는 본 개시내용의 실시예들에 따라 기판 상에 배치된 막 스택 상에 하드마스크를 형성하기 위한 방법(100)을 제시하는 흐름도들이다. 도 2a-도 2f는 방법(100)에 따른 하드마스크 형성 시퀀스를 예시하는, 스택(200)의 개략적인 단면도들이다. 하드마스크는 위에서 설명된 다이아몬드형 탄소 층일 수 있으며, 3차원 반도체 디바이스들 또는 임의의 적합한 디바이스 제조 애플리케이션들을 위해 막 스택에 계단형 구조들을 제조하는 데 활용될 수 있다. 도 1a 및 도 1b에 묘사된 동작들은 동시에 그리고/또는 도 1a 및 도 1b에 묘사된 순서와 상이한 순서로 수행될 수 있다는 것이 또한 이해되어야 한다.
[0021] 방법(100)은 도 2a에 묘사된 스택(200)과 같은 스택을 PECVD 챔버와 같은 프로세스 챔버 내에 포지셔닝함으로써 동작(102)에서 시작된다. 스택(200)은 PECVD 챔버에 배치된 정전 척 상에 포지셔닝될 수 있다. 그러나, 정전 척을 대체하기 위해 임의의 적합한 기판 지지부가 사용될 수 있다. 일단 스택(200)이 정전 척 상에 포지셔닝되면, 척킹 전압(정전압 또는 펄스형 전압)이 정전 척에 인가되어 기판(202)을 정전 척에 클램핑한다. 스택(200)은 기판(202) 및 기판(202) 상에 배치된 하나 이상의 층들을 포함한다. 도시된 바와 같은 예에서, 기판(202)은 기판(202) 상에 배치된 막 스택(204)을 갖는다. 기판(202)은 필요에 따라 실리콘계 재료 또는 임의의 적합한 절연 재료 또는 전도성 재료일 수 있다. 예컨대, 기판(202)은, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드(strained) 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 기판들 및 패터닝된 또는 패터닝되지 않은 기판들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(202)은 다양한 치수들, 이를테면, 200 mm, 300 mm, 450 mm 또는 다른 직경뿐만 아니라, 직사각형 또는 정사각형 패널 형상들을 가질 수 있다. 달리 언급되지 않는 한, 본원에서 설명된 실시예들 및 예들은, 200 mm 직경, 300 mm 직경, 또는 450 mm 직경을 갖는 기판들 상에서 실시된다. 기판(202)을 위해 SOI 구조가 활용되는 실시예에서, 기판은, 실리콘 결정질 기판 상에 배치되는 매립된(buried) 유전체 층을 포함할 수 있다. 본원에서 묘사된 실시예에서, 기판(202)은 결정질 실리콘 기판일 수 있다.
[0022] 막 스택(204)은 단일 층 또는 다수의 수직으로 스택된 층들일 수 있다. 예컨대, 막 스택(204)은 막 스택(204)에 반복적으로 형성된 제1 층(도시되지 않음) 및 제2 층(도시되지 않음)의 쌍들을 포함할 수 있다. 그 쌍들은 원하는 수의 쌍들의 제1 층들 및 제2 층들에 도달할 때까지 반복적으로 형성된, 교번하는 제1 층들 및 제2 층들을 포함한다. 막 스택(204)은 3차원 메모리 칩과 같은 반도체 칩의 일부일 수 있다. 일 실시예에서, 막 스택(204)은 3차원 메모리 칩을 위한 다수의 게이트 구조들을 형성하는 데 활용된다. 그러한 경우에, 막 스택(204)에 형성된 제1 층들은 제1 유전체 층일 수 있고, 막 스택(204)에 형성된 제2 층들은 제2 유전체 층일 수 있다. 제1 층들 및 제2 층에 적합한 유전체 층들은, 특히 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 산탄화물, 티타늄 질화물, 산화물과 질화물의 복합물, 질화물 층을 샌드위칭하는 적어도 하나 이상의 산화물 층들, 및 이들의 조합들을 포함할 수 있다. 일부 실시예에서, 제1 및 제2 유전체 층들 중 하나 또는 둘 모두는 4보다 큰 유전 상수를 갖는 하이-k 재료일 수 있다. 하이-k 재료들의 적합한 예들은, 특히 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈룸 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무트 스트론튬 티타늄(BST), 및 백금 지르코늄 티타늄(PZT)을 포함한다. 막 스택(204)은 약 100 Å 내지 약 2000 Å의 총 두께를 가질 수 있다. 일 실시예에서, 막 스택(204)의 총 두께는 약 3 마이크론 내지 약 10 마이크론이고, 기술이 발전함에 따라 변화할 것이다.
[0023] 동작(102) 동안, 몇몇 프로세스 파라미터들이 조절될 수 있다. 300 mm 기판을 프로세싱하기에 적합한 일 실시예에서, 프로세싱 볼륨 내의 프로세스 압력은 약 0.1 mTorr 내지 약 10 Torr(예컨대, 약 2 mTorr 내지 약 50 mTorr; 또는 약 5 mTorr 내지 약 20 mTorr)로 유지될 수 있다. 프로세싱 온도 및/또는 기판 온도는 약 -50℃ 내지 약 350℃(예컨대, 약 0℃ 내지 약 50℃; 또는 약 10℃ 내지 약 20℃)로 유지될 수 있다.
[0024] 동작(104)에서, 탄화수소-함유 가스가 프로세스 챔버 내로 유동된다. 탄화수소-함유 가스는 가스 분배 조립체(정전 척 위에서 프로세스 챔버의 최상부에 배치됨)를 통해 또는 프로세스 챔버의 측벽을 통해 프로세스 챔버 내로 유동될 수 있다. 탄화수소-함유 가스는 적어도 하나의 탄화수소 화합물을 포함할 수 있다. 탄화수소 화합물은 임의의 액체 또는 가스일 수 있다. 일 실시예에서, 탄화수소 화합물은 가스상 탄화수소이다. 또 다른 실시예에서, 탄화수소 화합물은 초기에 액체일 수 있고, 기화기 또는 버블러, 또는 다른 액체 전구체 전달 시스템을 통해 프로세싱 볼륨에 전달될 수 있다.
[0025] 일 실시예에서, 탄화수소 화합물은 일반식 CxHy를 가지며, 여기서 x는 1 내지 20의 범위를 갖고, y는 1 내지 20의 범위를 갖는다. 적합한 탄화수소 화합물들은, 예컨대 아세틸렌(C2H2), 에틸렌(C2H4), 에탄(C2H6), 프로핀(C3H4), 프로필렌(C3H6), 프로판(C3H8), 부탄(C4H10), 메탄(CH4), 부틸렌(C4H8), 부탄(C4H10), 펜탄(C5H12), 헥산(C6H14), 아다만틴(C10H16), 노르보넨(C7H10), 또는 이들의 조합들을 포함할 수 있다. C2H2는 더 안정적인 중간 종의 형성으로 인해 유리할 수 있으며, 이는 더 큰 표면 이동성을 가능하게 한다.
[0026] 일 실시예에서, 탄화수소 화합물은 방향족 탄화수소 화합물, 이를테면, 벤젠, 스티렌, 톨루엔, 자일렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 퓨란 등, 알파-테르피넨, 사이멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠을 포함하는 할로겐화된 방향족 화합물들 또는 이들의 조합들이다. 일부 경우들에서, 산소 및 할로겐화된 전구체들을 함유하는 탄화수소 화합물들은 필요하지 않다.
[0027] 일부 실시예들에서, 불활성 가스, 이를테면, 아르곤(Ar) 및/또는 헬륨(He)이 탄화수소-함유 가스와 함께 프로세스 챔버에 공급될 수 있다. 다이아몬드형 탄소 층의 밀도 및 증착 레이트를 제어하기 위해, 다른 불활성 가스들, 이를테면, 질소(N2) 및 질소 산화물(NO)이 또한 사용될 수 있다.
[0028] 일부 실시예들에서, 탄화수소-함유 가스는 하나 이상의 희석 가스들을 더 포함할 수 있다. 적합한 희석 가스들은 헬륨(He), 아르곤(Ar), 크세논(Xe), 수소(H2), 질소(N2), 암모니아(NH3), 질소 산화물(NO), 또는 이들의 조합들을 포함할 수 있다(그러나 이에 제한되지 않음). 다이아몬드형 탄소 층의 밀도 및 증착 레이트를 제어하기 위해, Ar, He, 및 N2가 사용될 수 있다. 일부 경우들에서, N2 및/또는 NH3의 첨가는 다이아몬드형 탄소 층의 수소 비(예컨대, 탄소 대 수소 비)를 제어하는 데 사용될 수 있다.
[0029] 동작(106)에서, 도 2b에 도시된 바와 같이, 막 스택(204) 상에 하드마스크(206)를 형성하기 위해 프로세스 챔버에서 가스 혼합물로부터 플라즈마가 생성된다. 플라즈마는 제1 RF 전력(바이어스 또는 소스)을 정전 척에 인가함으로써 생성될 수 있다. 제1 RF 전력은 약 0.4 MHz 내지 약 300 MHz, 예컨대 약 11 MHz 내지 약 60 MHz의 주파수에서 약 1 킬로와트 내지 약 10 킬로와트일 수 있다. 일 실시예에서, 제1 RF 전력은 약 2 킬로와트 및 약 13.56 MHz의 주파수로 제공된다. 제1 RF 전력은 RF 전력 생성기로부터, 정전 척에 배치된 제1 전극을 통해 정전 척에 제공될 수 있다. 일부 경우들에서, 제1 전극은 또한, 기판(202)을 정전 척의 상부 표면에 정전기적으로 고정하기 위해 DC(direct current) 전력을 제공하는 척킹 전력 소스와 전자 통신할 수 있다.
[0030] 추가적으로 또는 대안적으로, 제1 RF 전력은 정전 척과 대향하게 프로세스 챔버의 최상부에 배치된 샤워헤드와 같은 상부 전극에 인가될 수 있다. 일부 실시예들에서, 제1 RF 전력은 상부 전극, 최하부 전극(예컨대, 제1 전극), 및 프로세스 챔버의 일부를 둘러싸는 ICP 코일 중 적어도 하나에 인가될 수 있다. ICP 코일은, 플라즈마를 형성하거나 또는 프로세스 챔버 내에서의 플라즈마의 균일성을 조정(tune)하는 데 사용될 수 있다. 전력 방식에 따라, 최상부 전극, 최하부 전극, 및 ICP 코일에 동시에 전력이 공급되거나, 그 3개 중 2개에 동시에 전력이 공급될 수 있다. 인가되는 RF 주파수는 수백 kHz 내지 수십 MHz의 범위일 수 있다. 기판에 입사되는 이온 플럭스들 및 에너지를 최적화하기 위해, 다수의 주파수들이 또한 최상부 전극 또는 최하부 전극에 인가될 수 있다.
[0031] 일 실시예에서, 하드마스크(206)는 위에서 논의된 바와 같은 다이아몬드형 탄소 막이다. 하드마스크(206)는 블랭킷 증착 프로세스에 의해 막 스택(204) 위에 증착될 수 있다. 하드마스크(206)는, 막 스택(204)이 기판(202) 상에 존재하든 그렇지 않든, 기판(202)의 임의의 표면들 또는 임의의 부분 상에 형성될 수 있다는 것이 주목된다. 일부 실시예들에서, 동작(102) 동안 설정된 프로세스 컨디션들은 동작들(104 및 106) 동안 유지된다. 일 실시예에서, 하드마스크(206)의 형성 동안 프로세스 챔버의 압력은 약 2 mTorr 내지 약 20 mTorr로 유지된다.
[0032] 동작(108)에서, 도 2c에 도시된 바와 같이, 하드마스크(206)를 처리하기 위해 프로세스 챔버에서 이온 주입 프로세스가 수행된다. 일 실시예에서, 이온 주입 프로세스는, 하드마스크(206)의 증착 프로세스(즉, 동작(106))가 수행된 프로세스 챔버와 동일한 프로세스 챔버에서 인-시튜로 수행된다. 동작(108) 동안, 탄화수소-함유 가스의 유동은 중단되는 반면 동작(104) 동안 공급된 불활성 가스 및/또는 희석 가스는 유지될 수 있다. 한편, 동작(106) 동안 정전 척에 인가된 제1 RF 전력은 플라즈마를 유지하기에 충분한 최소 레벨로 감소된다(즉, 플라즈마는 동작(106 및 108) 동안 연속적임). 예컨대, 제1 RF 전력은 약 2 킬로와트로부터 약 200 와트로 감소될 수 있다.
[0033] 이온 주입 프로세스는, 불활성 가스의 연속적인 유동으로부터의 이온들, 및 플라즈마에 여전히 남아 있는 탄화수소-함유 가스로부터의 이온들과 같은 이온들을 주입함으로써 수행된다. 일부 실시예들에서, 이온들은 DC(direct current) 바이어스 전압을 사용하여 하드마스크(206)에 주입된다. DC 바이어스 전압은 감소된 제1 RF 전력의 위에 오버레이된다. 특히, DC 바이어스 전압은 높은 단일-에너지 이온(mono-energetic ion)들을 하드마스크(206)로 이끈다. DC 바이어스 전압은 제2 전극을 통해 정전 척에 제공될 수 있다. 제2 전극은 정전 척에 배치될 수 있고, 제2 전극에 바이어스 전압을 제공하는 DC 전력 소스와 전기 통신할 수 있다. 대안적으로, DC 바이어스 전압은 척킹 전력 소스로부터 제1 전극에 제공될 수 있다. 어느 경우든, DC 바이어스 전압은 약 2 킬로볼트 내지 약 15 킬로볼트일 수 있다. 일 실시예에서, DC 바이어스 전압은 약 5 킬로볼트 내지 약 12 킬로볼트, 예컨대 약 8 킬로볼트이다. DC 바이어스 전압이 높기 때문에, 이온 주입 프로세스 동안 DC 바이어스 전압이 펄싱될 수 있다. 다양한 실시예들에서, DC 바이어스 전압의 펄스 폭은 약 1 마이크로초 내지 약 1 밀리초일 수 있다. 일부 실시예들에서, DC 바이어스 전압은 약 5 마이크로초 내지 약 30 밀리초의 펄스 폭을 갖는 10 Hz 내지 약 10 kHz의 펄스 주파수로 인가된다.
[0034] 일부 실시예들에서, 이온들은 제2 RF 전력(바이어스 또는 소스)을 사용하여 하드마스크(206)에 주입된다. 마찬가지로, 제2 RF 전력은 감소된 제1 RF 전력의 위에 오버레이된다. 제2 RF 전력은 RF 전력 생성기로부터, 정전 척에 배치된 제3 전극을 통해 정전 척에 제공될 수 있다. 제2 RF 전력은 약 0.4 MHz 내지 약 300 MHz, 예컨대 약 11 MHz 내지 약 60 MHz의 주파수에서 약 1 킬로와트 내지 약 10 킬로와트일 수 있다. 일 실시예에서, 제1 RF 전력은 약 2 킬로와트 및 약 13.56 MHz의 주파수로 제공된다. 제2 RF 전력은 이온 주입 프로세스 동안 펄싱될 수 있다. 예컨대, 제2 RF 전력은 약 1 Hz 내지 약 50 kHz의 주파수로 약 10% 내지 약 80%의 범위의 듀티 사이클로 펄싱될 수 있다.
[0035] 제2 RF 전력이 사용되고 증착 종(depositing species)이 또한 주입 종(예컨대, 탄화수소-함유 가스로부터의 H2)으로서 작용하는 경우들에서, 감소된 제1 RF 전력, 및 제2 RF 전력이 일시적으로 오프셋되어서, 감소된 제1 RF 전력 및 펄싱된 제2 RF 전력이 동기식 또는 비동기식이 되고, 이에 의해 증착 페이즈와 및 처리 페이즈(즉, 이온 주입)가 분리될 수 있다. 예컨대, 제2 RF 전력 및 감소된 제1 RF 전력 둘 모두가 온(on)일 때, 이온들이 가속되어, 하드마스크(206)의 타격(bombardment)이 발생하도록 야기하고, 하드마스크(206)의 증착은 최소화될 수 있는데, 왜냐하면 막 성장의 대부분이, 낮은 레벨(예컨대, 200 와트)에서 동작되는 1차 플라즈마(예컨대, 제1 RF 전력)로부터 비롯되기 때문이다. 따라서, 이온 주입이 지배적(dominate)이다. 제2 RF 전력이 펄싱되고, 감소된 제1 RF 전력이 온(on)될 때, 하드마스크(206)의 증착이 증가되고 지배적인 프로세스가 된다. 따라서, 막 증착이 지배적이다.
[0036] 이온 주입 프로세스가 DC 바이어스 전압을 사용하는지 제2 RF 전력을 사용하는지에 관계없이, 하드마스크(206)의 증착이 거의 또는 전혀 발생하지 않을 것인데, 왜냐하면 증착 가스(즉, 탄화수소-함유 가스)의 유동이 턴 오프되기 때문이다. 따라서, DC 바이어스 전압의 펄싱 또는 펄싱된 제2 RF 전력은 증착 페이즈와 처리 페이즈(즉, 이온 주입 프로세스)를 분리하여, 하드마스크(206)의 형성 및 처리를 순환 증착-처리 프로세스로 만든다. 이온 주입 프로세스 동안, 플라즈마에 남아 있는 탄화수소-함유 가스로부터의 이온들, 및 아르곤 또는 헬륨 이온들과 같은 불활성 가스의 연속적인 유동으로부터의 이온들은 DC 바이어스 전압 또는 제2 RF 전력에 의해 끌어당겨지거나 이끌려서, 하드마스크(206)를 향해 이동된다. DC 바이어스 전압 또는 제2 RF 전력은 하드마스크(206)의 표면을 이온들로 타격함으로써 하드마스크(206)를 처리하는 역할을 한다. 결과적으로, 증착된 하드마스크(206)의 응력이 감소된다.
[0037] 이온 주입 프로세스는 주입된 이온들이 침투 임계치에 도달(이는 주입된 이온들이 하드마스크(206)를 통해 이동함에 따라, 주입된 이온들이 점차적으로 에너지를 잃기 때문임)할 때까지 수행될 수 있다. 침투 임계치는 이온들의 침투 깊이에 의해 결정될 수 있다. 대안적으로, 미리 결정된 주입 깊이에 도달할 때까지, 이온 주입 프로세스가 수행될 수 있다. 미리 결정된 주입 깊이 또는 이온 침투 임계치는 10 나노미터 내지 1 마이크로미터의 범위일 수 있으며, 이는 이온들의 유형과 크기 및 이온들(207)을 에너자이징(energize)하는 데 활용된 바이어스 전압에 따라 변화할 수 있다.
[0038] 주입 에너지(implant energy)는 원하는 주입 깊이에 따라 약 0.5 keV 내지 약 60 keV, 예컨대 약 6 keV 내지 약 45 keV일 수 있다. 이온 주입량(ion dosage)은 약 1x1013 cm-2 내지 약 1x1017 cm-2의 범위, 예컨대 약 5x1016 cm-2일 수 있다. 극히 낮은 압력(예컨대, 2 mTorr 내지 약 20 mTorr)은, 매우 높은 에너지 이온들이 하드마스크(206)의 표면을 처리하는 것/하드마스크(206)의 표면에 주입되는 것을 가능하게 한다. 임의의 특정 이론에 얽매임이 없이, 주입된 이온들은, 하드마스크(206)의 탄소-수소 댕글링 결합(dangling carbon-hydrogen bond)들로부터 잔류 수소 원자들을 추출(abstract)하여 하드마스크(206) 내에 탄화물 구조를 형성할 수 있다고 여겨진다. 탄화물 구조는, 처리되지 않은 하드마스크와 비교할 때 증가된 경도를 나타낸다. 또한, 주입된 이온들이 하드마스크(206) 내에 존재하는 틈새 공극(interstitial void)들을 점유하며, 이는 하드마스크(206)의 밀도를 증가시킬 수 있다고 여겨진다. 증가된 밀도는 하드마스크(206)의 기계적 무결성을 더 증가시킨다. 하드마스크(206)의 증가된 경도 및 밀도는 기계적으로 견고한 하드마스크(206)를 제공할 수 있으며, 이는 결국, 에칭 선택성 개선 및 내부 응력 감소로 이어진다. 결과적으로, 바람직하지 않은 기판 변형이 제거된다.
[0039] 인-시튜 증착-주입 프로세스는 유익한데, 왜냐하면, 주입 프로세스가, 하드마스크 증착이 발생한 프로세스 챔버와 동일한 프로세스 챔버에서 발생하기 때문이다. 따라서, 하드마스크(206)는, 진공을 깨고 엑스-시튜 주입 툴로 이송될 필요 없이 증착 및 처리될 수 있다. 결과적으로, 전체 처리량이 개선되고, 엑스-시튜 주입 툴들과 연관된 비용이 감소된다. 게다가, 주입된 이온들이 침투 임계치를 갖기 때문에, 엑스-시튜로 처리가능한 하드마스크의 두께가 제한된다. 인-시튜 증착/이온 주입 프로세스를 이용하면, 동일한 프로세스 챔버에서 하드마스크를 잠재적으로 임의의 목표 두께로 맞춤화(tailor)하기 위해, 하드마스크의 증착 및 처리가 주기적인 방식으로 수행될 수 있다.
[0040] 동작(110)에서, 처리된 증착된 하드마스크(206)가 목표 두께에 도달하는지 여부를 결정하기 위한 판단(decision)이 이루어진다. 하드마스크(206)는 막 스택(204)의 후속 에칭 요건들에 대응하는 목표 두께를 가질 수 있다. 일 예에서, 하드마스크(206)의 목표 두께는 약 0.5 ㎛ 내지 약 1.5 ㎛, 이를테면, 약 1.0 ㎛이다. 하드마스크(206)의 목표 두께에 도달하지 않은 경우, 처리된 하드마스크(206)의 두께가 목표 두께와 다시 비교되기 전에, 증착/이온 주입 프로세스의 또 한번의 사이클(예컨대, 동작들(104, 106 및 108))이 수행될 수 있다. 주입된 이온들이 미리 결정된 주입 또는 침투 깊이에 도달하지만 하드마스크(206)가 아직 원하는 두께에 도달하지 않은 일부 실시예들에서, 처리된 하드마스크(206)의 두께가 목표 두께와 다시 비교되기 전에, 증착/이온 주입 프로세스의 또 한번의 사이클(예컨대, 동작들(104, 106 및 108))이 수행될 수 있다. 인-시튜 하드마스크 증착 및 이온 주입의 주기적인 프로세스는, 증착된 하드마스크(206)가 목표 두께에 도달할 때까지 반복된다.
[0041] 동작(112)에서, 일단 하드마스크(206)가 목표 두께에 도달하면, 도 2d에 도시된 바와 같이, 처리된 하드마스크(206) 위에 패터닝된 포토레지스트 층(208)이 형성된다. 피처들 또는 패턴들은, 광 에너지와 같은 에너지 소스를 활용하여 포토마스크로부터 포토레지스트(208)로 전사될 수 있다. 일 실시예에서, 포토레지스트는 폴리머 재료이고, 패터닝 프로세스는 193 nm 액침 포토리소그래피 프로세스 또는 다른 유사한 포토리소그래피 프로세스에 의해 수행된다. 유사하게, 패터닝 프로세스를 수행하기 위해 레이저들이 또한 활용될 수 있다.
[0042] 동작(114)에서, 처리된 하드마스크(206)는, 도 2e에 도시된 바와 같이, 포토레지스트(208)로부터 하드마스크(206)로 피처들을 전사하기 위해, 예컨대 포토리소그래피 및 하나 이상의 에칭 프로세스들에 의해 패터닝된다. 에칭 프로세스는 임의의 적합한 에칭 챔버, 이를테면, 플라즈마 에칭 챔버에서 수행될 수 있다. 그 후, 포토레지스트 층(208)은 임의의 적합한 프로세스, 이를테면, 애싱 프로세스 또는 습식 에칭 프로세스에 의해 제거된다.
[0043] 동작(116)에서, 막 스택(204)은, 도 2f에 도시된 바와 같이, 패터닝된 하드마스크(206)를 사용하여 에칭된다. 막 스택(204)의 에칭은 임의의 적합한 프로세스 챔버, 이를테면, 플라즈마 에칭 챔버에서 수행될 수 있다. 막 스택(204)의 노출된 부분들을 제거하기 위해 플루오린화탄소들과 같은 에천트들이 사용될 수 있다. 에천트들의 활성 종들은, 에천트들의 활성 종들이 하드마스크(206)의 주입된 이온들과 실질적으로 반응하지 않도록, 선택적이다. 따라서, 에천트들은 막 스택(204)에 대해 선택적이다. 에천트들의 적합한 예들은 CF4, CHF3, HBr, BCl3, 또는 Cl2를 포함할 수 있다(그러나 이에 제한되지 않음). 에천트들은 불활성 캐리어 가스와 함께 제공될 수 있다. 그런 다음, 하드마스크(206)는 임의의 적합한 하드마스크 제거 프로세스를 사용하여 제거된다. 예컨대, 하드마스크(206)를 제거하기 위해 산소 플라즈마가 활용될 수 있다. 결과적인 스택(200)은, 고종횡비 피처와 같은 피처가 내부에 형성된 막 스택(204)을 포함한다. 그런 다음, 결과적인 스택(200)은 기능성 반도체 디바이스를 형성하기 위해 추가적인 프로세싱을 겪을 수 있다.
[0044] 도 3a 및 도 3b는 본 개시내용의 실시예들에 따라 기판 상에 배치된 막 스택 상에 하드마스크를 증착하기 위한 방법(300)의 흐름도를 예시한다. 방법(300)은 도 2a-도 2f에 도시된 바와 같이 스택(200)을 프로세싱하는 데 사용될 수 있다. 따라서, 방법(300)은 도 2a-도 2f와 관련하여 설명될 것이다. 마찬가지로, 증착된 하드마스크는 위에서 설명된 바와 같은 다이아몬드형 탄소 층일 수 있고, 3차원 반도체 디바이스들 또는 임의의 적합한 디바이스 제조 애플리케이션들을 위한 막 스택에서 계단형 구조들을 제조하는 데 활용될 수 있다. 또한, 도 3a 및 도 3b에 묘사된 동작들은 도 3a 및 도 3b에 묘사된 순서와 상이한 순서로 그리고/또는 동시적으로 수행될 수 있음이 이해되어야 한다.
[0045] 방법(300)의 동작들(302 및 304)은 동작들(102 및 104)과 유사하며, 따라서 간략화를 위해 설명되지 않을 것이다. 따라서, 스택(200)은, 도 2a에 도시된 바와 같이, 기판(202) 위에 증착되는 막 스택(204)으로 형성된다. 동작(306)에서, 하드마스크 증착 및 이온 주입 처리의 동시적인 프로세스는, 도 2b에 도시된 바와 같이, 막 스택(204) 상에 하드마스크(206)를 형성하기 위해 프로세스 챔버에서 수행된다. 동시적인 프로세스는, 막 스택(204) 위에 하드마스크(206)의 층을 형성하기 위해 프로세스 챔버에서 가스 혼합물(예컨대, 탄화수소-함유 가스 및 불활성 가스/희석 가스)로부터 플라즈마를 생성함으로써 수행될 수 있다. 플라즈마는 고전압 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 생성될 수 있다. 고전압 RF 바이어스는 약 0.4 MHz 내지 약 300 MHz, 예컨대 약 11 MHz 내지 약 60 MHz의 주파수에서 약 1 킬로와트 내지 약 15 킬로와트의 범위일 수 있다. 일 실시예에서, 고전압 RF 바이어스는 약 8 킬로와트 및 약 13.56MHz의 주파수로 제공된다. 고전압 RF 바이어스는 RF 전력 생성기로부터, 정전 척에 배치된 제3 전극을 통해 정전 척으로 제공될 수 있다.
[0046] 막 스택(204) 위에 하드마스크(206)를 증착하는 동안, 정전 척에 인가된 고전압 RF 바이어스는 또한, 가스 혼합물(즉, 탄화수소-함유 가스, 불활성 가스/희석 가스)로부터 이온들을 성장하는 하드마스크(206)를 향해 이동하도록 끌어당기는 주입 에너지로서의 역할을 할 수 있다. 따라서, 도 2c에 도시된 바와 같이, 하드마스크(206)의 이온 주입 처리는 하드마스크 증착과 동시에 수행된다. 이온들은 고전압 RF 바이어스에 의해 끌어당겨지고 가속되어 하드마스크(206)의 표면을 타격하여 하드마스크(206)의 응력을 감소시킨다.
[0047] 일부 실시예들에서, 이온 주입 처리를 가능하게 하기 위해, DC 바이어스 전압이 정전 척에 추가적으로 인가될 수 있다. 가스 혼합물(즉, 탄화수소-함유 가스, 불활성 가스/희석 가스)로부터의 이온들은 DC 바이어스 전압에 의해 끌어당겨지고 가속되어 하드마스크(206)의 표면을 타격하여 하드마스크(206)의 응력을 감소시킬 수 있다. DC 바이어스 전압은, 도 1a 및 도 1b와 관련하여 위에서 논의된 바와 같이, 정전 척에 배치될 수 있고 DC 전력 소스와 전기 통신할 수 있는 제2 전극을 통해 정전 척에 제공될 수 있다. 대안적으로, DC 바이어스 전압은 척킹 전력 소스로부터 제1 전극에 제공될 수 있다. 바이어스 전압은 약 1 킬로볼트 내지 약 15 킬로볼트일 수 있다. 일 실시예에서, DC 바이어스 전압은 약 2 킬로볼트 내지 약 6 킬로볼트이다. 다른 실시예에서, 바이어스 전압은 약 8 킬로볼트 내지 약 10 킬로볼트이다. 동작(108)과 유사하게, 주입 에너지는 원하는 주입 깊이에 따라 약 0.5 keV 내지 약 60 keV, 예컨대 약 6 keV 내지 약 45 keV일 수 있다. 이온 주입량은 약 1x1013 cm-2 내지 약 1x1017 cm-2의 범위, 예컨대 약 5x1016 cm-2일 수 있다.
[0048] 어느 경우든, 가스 혼합물(예컨대, 탄화수소-함유 가스 및 불활성 가스/희석 가스)의 유동은 동작(308) 전체에 걸쳐 연속적이다. 따라서, 하드마스크 증착을 위해 사용되는 증착 종들(예컨대, 탄소, 수소 등의 중성 종들 또는 이온들)은 또한, 이온 주입 처리를 위한 주입 종들로 기능할 수 있다. 하드마스크 증착 및 이온 주입 처리의 인-시튜 프로세스는, 하드마스크 증착 및 이온 주입 프로세스가 짧은 듀티-사이클 RF 또는 펄싱된 DC 전압에 의해 분리되는 실시예들과 비교하여 하드마스크(206)가 동시에 그리고 더 효율적으로 증착되고 처리되는 것을 가능하게 한다.
[0049] 동작(308)에서, 증착된/처리된 하드마스크(206)가 목표 두께에 도달했는지 여부를 결정하기 위한 판단이 이루어진다. 하드마스크(206)는 막 스택(204)의 후속 에칭 요건들에 대응하는 목표 두께를 가질 수 있다. 일 예에서, 하드마스크(206)의 목표 두께는 약 0.5 ㎛ 내지 약 1.5 ㎛, 이를테면, 약 1.0 ㎛이다. 하드마스크(206)의 목표 두께에 도달하지 않은 경우, 처리된 하드마스크(206)의 두께가 목표 두께와 다시 비교되기 전에, 증착/이온 주입 프로세스의 또 한번의 사이클(예컨대, 동작들(304 및 306))이 수행될 수 있다. 하드마스크 증착 및 이온 주입 처리의 인-시튜 동시적 프로세스는 증착된/처리된 하드마스크(206)가 목표 두께에 도달할 때까지 반복된다.
[0050] 동작들(310, 312, 314, 및 316)은 동작들(112, 114, 116, 및 118)과 유사하므로 간략화를 위해 설명되지 않을 것이다.
[0051] 도 4는 도 3a 및 도 3b와 관련하여 위에서 논의된 하나 이상의 실시예들에 따라 형성된 다이아몬드형 탄소 층(예컨대, 하드마스크)에 대한, (1) 바이어스 전력의 함수로써의 응력(정사각형들로 표현됨) 및 (2) 바이어스 전력의 함수로써의 밀도(점들로 표현됨)를 묘사하는 그래프(400)이다. x-축은 바이어스 전력(와트 단위)을 나타내고 y-축은 증착된 막들의 밀도(g/cc 단위)를 나타낸다. 도 4에 예시된 바와 같이, 대부분의 경우, 바이어스 전력이 증가함에 따라, 증착 직후(as-deposited)의 막의 밀도가 증가한다. 특히, 다이아몬드형 탄소 층의 응력은 더 높은 RF 전력/이온 에너지에서 더 낮다.
[0052] 도 5는 본 개시내용의 하나 이상의 실시예들에 따라 형성된 다이아몬드형 탄소 층(예컨대, 하드마스크)에 대한 주입량의 함수로써의 응력을 묘사하는 그래프(500)이다. 그래프(500)는 약 35 keV의 주입 에너지를 사용한 다이아몬드형 탄소 막들의 증착 직후의 그리고 이온 주입 후의 응력 데이터를 묘사한다. x-축은 증착된 막들의 응력(MPa 단위)을 나타내고, y-축은 주입량(A.U 단위)을 나타낸다. 도 5에 예시된 바와 같이, 증착 직후의 막의 응력은 이온 주입 처리 후에 현저하게 감소한다.
[0053] 도 6은 본원에서 제시된 방법들 중 임의의 방법 또는 그 방법들의 임의의 조합을 실시하기 위해 사용될 수 있는 예시적인 프로세싱 챔버의 개략적인 단면도이다. 프로세싱 챔버(600)는 챔버 덮개 조립체(601), 하나 이상의 측벽들(602), 및 챔버 베이스(604)를 포함한다. 챔버 덮개 조립체(601)는 챔버 덮개(606), 챔버 덮개(606)에 배치된 샤워헤드(607), 및 챔버 덮개(606)와 하나 이상의 측벽들(602) 사이에 배치된 전기 절연 링(608)을 포함한다. 샤워헤드(607), 하나 이상의 측벽들(602), 및 챔버 베이스(604)는 함께 프로세싱 볼륨(605)을 정의한다. 챔버 덮개(606)를 관통해 배치된 가스 유입구(609)는 가스 소스(610)에 유동적으로 커플링된다. 샤워헤드(607)(복수의 개구들(611)이 샤워헤드(607)를 관통해 배치됨)는 가스 소스(610)로부터 프로세싱 볼륨(605)으로 프로세싱 가스들을 균일하게 분배하기 위해 사용된다. 샤워헤드(607)는, 샤워헤드(607)와의 용량 결합을 통해 프로세싱 가스의 플라즈마(613)를 점화 및 유지하기 위한 전력을 공급하는 제1 전력 공급부(612), 이를테면, RF 전력 공급부에 전기적으로 커플링된다. 다른 실시예들에서, 프로세싱 챔버(600)는 유도 플라즈마 생성기를 포함하고, 플라즈마는 RF 전력을 프로세싱 가스에 유도 결합함으로써 형성된다.
[0054] 프로세싱 볼륨(605)은 진공 배출구(614)를 통해 하나 이상의 전용 진공 펌프들과 같은 진공 소스에 유동적으로 커플링되며, 그 진공 소스는 프로세싱 볼륨(605)을 대기 압력 미만의 압력 컨디션(sub-atmospheric condition)들로 유지하고 프로세싱 볼륨(605)으로부터 프로세싱 가스 및 다른 가스들을 진공배기(evacuate)한다. 프로세싱 볼륨(605)에 배치된 기판 지지부(615)는 이동가능한 지지 샤프트(616) 상에 배치되며, 이동가능한 지지 샤프트(616)는 챔버 베이스(604)를 관통해 밀봉식으로(sealingly) 연장되는데, 이를테면, 챔버 베이스(604) 아래의 구역에서 벨로우즈(도시되지 않음)에 의해 둘러싸인다. 본원에서, 프로세싱 챔버(600)는 통상적으로 하나 이상의 측벽들(602) 중 하나의 측벽의 개구(618)를 통해 기판(617)을 기판 지지부(615)로 그리고 기판 지지부(615)로부터 이송하는 것을 가능하게 하도록 구성되며, 개구(618)는 통상적으로 기판 프로세싱 동안 도어 또는 밸브(도시되지 않음)로 밀봉된다.
[0055] 본원에서, 기판 지지부(615) 상에 배치된 기판(617)은, 기판 지지부(615)에 배치된 하나 이상의 냉각 채널들(620) 및 저항성 가열 엘리먼트(619)와 같은 가열기 중 하나 또는 둘 모두를 사용하여 원하는 프로세싱 온도로 유지된다. 전형적으로, 하나 이상의 냉각 채널들(620)은, 냉매 소스 또는 비교적 높은 전기 저항을 갖는 개질된 워터 소스(modified water source)와 같은 냉각제 소스(도시되지 않음)에 유동적으로 커플링된다. 일부 실시예들에서, 기판 지지부(615) 또는 기판 지지부(615)의 하나 이상의 전극들(621)은, 기판 지지부(615) 또는 기판 지지부(615)의 하나 이상의 전극들(621)에 바이어스 전압을 공급하는 펄스형 RF 전력 공급부 또는 CW(continuous wave) RF 전력 공급부와 같은 제2 전력 공급부(622)에 전기적으로 커플링된다.
[0056] 프로세싱 챔버(600)는, 프로세싱 챔버(600)의 동작을 제어하기 위해 그리고 본원에서 제시된 방법들을 구현하기 위해 사용되는 시스템 제어기(630)를 더 포함한다. 시스템 제어기(630)는 메모리(632)(예컨대, 비-휘발성 메모리) 및 지원 회로들(633)과 함께 동작가능한 프로그램가능 중앙 프로세싱 유닛(본원에서는 CPU(central processing unit)(631))을 포함한다. 지원 회로들(633)은 CPU(631)에 커플링되며, 프로세싱 챔버(600)의 다양한 컴포넌트들의 제어를 가능하게 하기 위해 프로세싱 챔버(600)의 다양한 컴포넌트들에 커플링된, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들, 및 이들의 조합들을 포함한다. CPU(631)는 프로세싱 챔버(600)의 다양한 컴포넌트들 및 서브-프로세서들을 제어하기 위한 PLC(programmable logic controller)와 같은 임의의 형태의 범용 컴퓨터 프로세서 중 하나이다. CPU(631)에 커플링된 메모리(632)는 비-일시적이며, 전형적으로는, 용이하게 이용가능한 메모리들, 이를테면, RAM(random access memory), ROM(read only memory), 플로피 디스크 드라이브, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소 중 하나 이상이다.
[0057] 전형적으로, 메모리(632)는, CPU(631)에 의해 실행될 때, 프로세싱 챔버(600)의 동작을 가능하게 하는 명령들을 포함하는 컴퓨터-판독가능 저장 매체들(예컨대, 비-휘발성 메모리)의 형태이다. 메모리(632) 내의 명령들은 본 개시내용의 방법들을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는, 다수의 상이한 프로그래밍 언어들 중 임의의 언어를 따를 수 있다. 일 예에서, 본 개시내용은 컴퓨터 시스템에 사용하기 위한 컴퓨터-판독가능 저장 매체들 상에 저장되는 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 (본원에서 설명된 방법들을 포함한) 실시예들의 기능들을 정의한다.
[0058] 따라서, 반도체 디바이스들을 형성하기 위해 활용될 수 있는 다이아몬드형 탄소 하드마스크 층을 형성하기 위한 방법들이 제공된다. 인-시튜 하드마스크 증착-이온 주입 프로세스의 활용에 의해, 진공을 깨고 엑스-시튜 주입 툴로 이송할 필요없이, 하드마스크 층이 증착 및 처리될 수 있다. 결과적으로, 전체 처리량이 개선되고, 엑스-시튜 주입 툴들과 연관된 비용이 감소된다. 게다가, 동일한 프로세스 챔버에서 하드마스크를 잠재적으로 임의의 목표 두께로 맞춤화하기 위해, 하드마스크의 증착 및 처리가 독립적인 플라즈마 소스들 또는 하나의 고전력(고전압) 플라즈마 소스를 사용하여 주기적인 또는 동시적인 방식으로 수행될 수 있다. 더욱이, 주입된 이온들은, 하드마스크의 내부 응력을 유지하거나 감소시키면서 하드마스크의 기계적 무결성과 밀도를 증가시키는 기능을 한다. 하드마스크의 증가된 기계적 무결성 및 밀도는 하드마스크가 에칭된 후 라인 벤딩(line bending)을 감소시키고, 하드마스크의 유지된 또는 감소된 응력은 바람직하지 않은 기판 휘어짐 또는 변형을 감소시키거나 제거한다. 조합하여, 하드마스크의 에칭 선택성이 증가된다.
[0059] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 구상될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 기판을 프로세싱하는 방법으로서,
    상기 기판 상에 형성된 막 스택 위에 탄소 하드마스크를 증착하는 단계 ― 상기 기판은 프로세스 챔버에 배치된 정전 척 상에 포지셔닝됨 ―;
    상기 막 스택 위에 상기 탄소 하드마스크를 증착한 후에, 상기 탄소 하드마스크를 형성하기 위한 탄화수소-함유 가스의 유동을 중단하는 단계;
    상기 정전 척에 인가되는 제1 RF 전력을 제1 전력 레벨로부터 상기 프로세스 챔버 내에 플라즈마를 유지하기에 충분한 제2 전력 레벨로 감소시키는 단계;
    상기 탄소 하드마스크에 이온들을 주입하는 단계 ― 상기 탄소 하드마스크를 증착하는 단계 및 상기 탄소 하드마스크에 이온들을 주입하는 단계는 동일한 프로세스 챔버에서 수행됨 ―; 및
    상기 탄소 하드마스크의 미리-결정된 두께에 도달할 때까지, 상기 탄소 하드마스크를 증착하는 단계 및 상기 탄소 하드마스크에 이온들을 주입하는 단계를 주기적인 방식으로 반복하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 탄소 하드마스크를 증착하는 단계는, 제1 전력 레벨을 갖는 제1 RF 전력을 상기 정전 척에 배치된 제1 전극을 통해 상기 정전 척에 인가함으로써 수행되는,
    기판을 프로세싱하는 방법.
  3. 제2 항에 있어서,
    상기 제1 RF 전력은 약 0.4 MHz 내지 약 300 MHz의 주파수에서 약 1 킬로와트 내지 약 10 킬로와트의 범위인,
    기판을 프로세싱하는 방법.
  4. 삭제
  5. 제1 항에 있어서,
    상기 탄소 하드마스크에 이온들을 주입하는 단계는, DC 바이어스 전압을 상기 정전 척에 배치된 제2 전극을 통해 상기 정전 척에 인가함으로써 수행되는,
    기판을 프로세싱하는 방법.
  6. 제5 항에 있어서,
    상기 DC 바이어스 전압은 약 2 킬로볼트 내지 약 15 킬로볼트의 범위인,
    기판을 프로세싱하는 방법.
  7. 제5 항에 있어서,
    상기 DC 바이어스 전압은 약 5 마이크로초 내지 약 30 밀리초의 펄스 폭을 갖는 10 Hz 내지 약 10 kHz의 펄스 주파수로 제공되는,
    기판을 프로세싱하는 방법.
  8. 제1 항에 있어서,
    상기 탄소 하드마스크에 이온들을 주입하는 단계는, 제2 RF 전력을 상기 정전 척에 배치된 제3 전극을 통해 상기 정전 척에 인가함으로써 수행되는,
    기판을 프로세싱하는 방법.
  9. 제8 항에 있어서,
    상기 제2 RF 전력은 약 0.4 MHz 내지 약 300 MHz의 주파수에서 약 1 킬로와트 내지 약 10 킬로와트의 범위인,
    기판을 프로세싱하는 방법.
  10. 제9 항에 있어서,
    상기 제2 RF 전력은 약 10% 내지 약 80%의 범위의 듀티 사이클로 펄싱되는,
    기판을 프로세싱하는 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 기판을 프로세싱하는 방법으로서,
    제1 전력 레벨을 갖는 제1 RF 전력을 제1 전극을 통해 정전 척에 인가함으로써, 기판 상에 형성된 막 스택 위에 다이아몬드형 탄소 하드마스크를 증착하는 단계 ― 상기 기판은, 상기 제1 전극이 배치된 상기 정전 척 상에 포지셔닝됨 ―;
    상기 막 스택 위에 다이아몬드형 탄소 하드마스크를 증착하는 단계 후에, 상기 다이아몬드형 탄소 하드마스크를 형성하기 위한 탄화수소-함유 가스의 유동을 중단시키는 단계;
    상기 제1 RF 전력을 상기 제1 전력 레벨로부터 프로세스 챔버에서 플라즈마를 유지하기에 충분한 제2 전력 레벨로 감소시키는 단계;
    상기 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계 ― 상기 다이아몬드형 탄소 하드마스크를 증착하는 단계 및 상기 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계는 동일한 프로세스 챔버에서 수행됨 ―;
    상기 다이아몬드형 탄소 하드마스크의 미리-결정된 두께에 도달할 때까지, 상기 다이아몬드형 탄소 하드마스크를 증착하는 단계 및 상기 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계를 주기적인 방식으로 반복하는 단계;
    상기 다이아몬드형 탄소 하드마스크를 패터닝하는 단계;
    상기 패터닝된 다이아몬드형 탄소 하드마스크를 사용하여 상기 막 스택을 에칭하는 단계; 및
    상기 다이아몬드형 탄소 하드마스크를 제거하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  17. 삭제
  18. 제16 항에 있어서,
    상기 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계는, 펄싱된 DC 바이어스 전압을 상기 정전 척에 인가함으로써 수행되는,
    기판을 프로세싱하는 방법.
  19. 제16 항에 있어서,
    상기 다이아몬드형 탄소 하드마스크에 이온들을 주입하는 단계는, 제2 RF 전력을 상기 정전 척에 인가함으로써 수행되는,
    기판을 프로세싱하는 방법.
  20. 제19 항에 있어서,
    상기 제2 RF 전력은 약 10% 내지 약 80%의 범위의 듀티 사이클로 펄싱되는,
    기판을 프로세싱하는 방법.
KR1020207035054A 2018-06-22 2019-06-05 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입 KR102589210B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862688721P 2018-06-22 2018-06-22
US62/688,721 2018-06-22
US16/430,136 US11158507B2 (en) 2018-06-22 2019-06-03 In-situ high power implant to relieve stress of a thin film
US16/430,136 2019-06-03
PCT/US2019/035497 WO2019245735A1 (en) 2018-06-22 2019-06-05 In-situ high power implant to relieve stress of a thin film

Publications (2)

Publication Number Publication Date
KR20210011945A KR20210011945A (ko) 2021-02-02
KR102589210B1 true KR102589210B1 (ko) 2023-10-12

Family

ID=68982131

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207035054A KR102589210B1 (ko) 2018-06-22 2019-06-05 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입

Country Status (7)

Country Link
US (2) US11158507B2 (ko)
JP (1) JP7366072B2 (ko)
KR (1) KR102589210B1 (ko)
CN (1) CN112219259A (ko)
SG (1) SG11202009993YA (ko)
TW (1) TWI818033B (ko)
WO (1) WO2019245735A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020214607A1 (en) * 2019-04-15 2020-10-22 Applied Materials, Inc. Electrostatic chucking process
KR20230006004A (ko) * 2020-05-05 2023-01-10 램 리써치 코포레이션 하드 마스크 선택도 (selectivity) 개선을 위한 불활성 가스 주입
US11527412B2 (en) * 2020-08-09 2022-12-13 Applied Materials, Inc. Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices
JP2022097936A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP2022187397A (ja) * 2021-06-07 2022-12-19 東京エレクトロン株式会社 成膜方法および成膜装置
JP2023120622A (ja) * 2022-02-18 2023-08-30 東京エレクトロン株式会社 成膜方法および成膜装置
JP2024004377A (ja) * 2022-06-28 2024-01-16 東京エレクトロン株式会社 炭素含有膜の形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005082887A (ja) 2003-09-11 2005-03-31 Mitsubishi Heavy Ind Ltd 炭素系薄膜用成膜装置,成膜装置,及び成膜方法
US20090184091A1 (en) * 2008-01-22 2009-07-23 Yi Zheng Diamond-like carbon (dlc) hardmask and methods of fabrication using same
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08102532A (ja) 1994-09-30 1996-04-16 Nippondenso Co Ltd イオン注入基板の製造方法
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
KR102311036B1 (ko) * 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US20150200094A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
CN110337715B (zh) * 2016-12-23 2023-08-25 英特尔公司 高级光刻和自组装装置
US20180274100A1 (en) 2017-03-24 2018-09-27 Applied Materials, Inc. Alternating between deposition and treatment of diamond-like carbon
US11127594B2 (en) * 2017-12-19 2021-09-21 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005082887A (ja) 2003-09-11 2005-03-31 Mitsubishi Heavy Ind Ltd 炭素系薄膜用成膜装置,成膜装置,及び成膜方法
US20090184091A1 (en) * 2008-01-22 2009-07-23 Yi Zheng Diamond-like carbon (dlc) hardmask and methods of fabrication using same
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation

Also Published As

Publication number Publication date
US11158507B2 (en) 2021-10-26
TWI818033B (zh) 2023-10-11
CN112219259A (zh) 2021-01-12
TW202015102A (zh) 2020-04-16
JP7366072B2 (ja) 2023-10-20
US20190393034A1 (en) 2019-12-26
KR20210011945A (ko) 2021-02-02
US11557478B2 (en) 2023-01-17
JP2021527340A (ja) 2021-10-11
SG11202009993YA (en) 2021-01-28
WO2019245735A1 (en) 2019-12-26
US20220037154A1 (en) 2022-02-03

Similar Documents

Publication Publication Date Title
KR102589210B1 (ko) 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입
JP7326275B2 (ja) エッチング選択性の高いアモルファスカーボン膜
CN110622280B (zh) 用于硬掩模及其他图案化应用的高密度低温碳膜
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9640385B2 (en) Gate electrode material residual removal process
KR20150037638A (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
US20240087894A1 (en) High density carbon films for patterning applications
KR20230048108A (ko) 저응력 붕소 함유 층들의 증착
TW202415796A (zh) 用於圖案化應用的高密度碳膜
KR20230044309A (ko) 저-응력 탄소-함유 층들의 증착

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant