KR20150037638A - 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크 - Google Patents

펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크 Download PDF

Info

Publication number
KR20150037638A
KR20150037638A KR20140130444A KR20140130444A KR20150037638A KR 20150037638 A KR20150037638 A KR 20150037638A KR 20140130444 A KR20140130444 A KR 20140130444A KR 20140130444 A KR20140130444 A KR 20140130444A KR 20150037638 A KR20150037638 A KR 20150037638A
Authority
KR
South Korea
Prior art keywords
power
ahm
pulsed
frequency
plasma
Prior art date
Application number
KR20140130444A
Other languages
English (en)
Other versions
KR102447424B1 (ko
Inventor
시리쉬 케이. 래디
춘하이 지
신이 첸
프라모드 수브라모니움
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150037638A publication Critical patent/KR20150037638A/ko
Priority to KR1020220119327A priority Critical patent/KR102564160B1/ko
Application granted granted Critical
Publication of KR102447424B1 publication Critical patent/KR102447424B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

PECVD (plasma enhanced chemical vapor deposition) 를 사용하여 높은 에칭 선택도, 저 응력의 AHM (ashable hard mask) 을 형성하는 방법들이 제공된다. 특정한 실시예들에서, 이 방법들은 듀얼 무선 주파수 플라즈마 소스를 사용하는 AHM의 증착 동안 저주파수 무선 주파수 전력을 펄스하면서 고주파수 무선 주파수 전력을 일정하게 유지하는 단계를 수반한다. 다양한 실시예들에 따라, 저주파수 무선 주파수 전력은 비-제로 레벨들 사이에서 또는 전력을 스위칭 온하고 스위칭 오프함으로써 펄스될 수 있다. 결과적으로 증착된 고 선택도의 AHM은 AHM 상에 부딪히는 감소된 이온 및 원자 그리고 AHM 내에 트랩된 수소의 낮은 레벨들을 포함하는 하나 이상의 요인들로 인해 감소된 응력을 가질 수도 있다.

Description

펄스된 저주파수 RF 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크{HIGH SELECTIVITY AND LOW STRESS CARBON HARDMASK BY PULSED LOW FREQUENCY RF POWER}
비정질 탄소 막들은 메모리 및 논리 디바이스 제조를 포함하는, 반도체 프로세싱 시 하드마스크들 (hard masks) 및 에칭 정지층들로서 사용될 수도 있다. 이들 막들은 이들이 애싱 (ashing) 기법에 의해 제거될 수도 있기 때문에 또한 애싱가능한 하드마스크들 (AHMs; ashable hard masks) 로 공지된다. 리소그래피 시 애스팩트 비들이 증가함에 따라, AHM들은 더 높은 에칭 선택도를 요구한다. PECVD (plasma enhanced chemical vapor deposition) 프로세스들을 사용하여 고 선택도의 AHM들을 형성하는 현재 방법들은 하드마스크들로서 AHM들의 유용성을 제한하는, 높은 응력을 갖는 AHM들을 생성한다. 따라서, 높은 에칭 선택도를 갖지만, 낮은 응력을 갖는 AHM들을 생성하는 것이 바람직하다.
메모리 및 논리 디바이스 제조를 포함하는, 반도체 프로세싱에 이용하기 위한 응력 레벨들을 감소시키고 에칭 선택도를 증가시키는 PECVD (plasma enhanced chemical vapor deposition) 에 의해 AHM들 (ashable hard masks) 을 증착하는 신규한 방법들이 제공된다. 다양한 실시예들에서, 이 방법들은 탄화 수소 프리커서를 포함하는 프로세스 가스에 반도체 기판 상의 층을 노출시키는 단계, 및 PECVD에 의한 증착 동안 저주파수 (LF) RF 전력을 펄스하면서 일정한 고주파수 (HF) RF 전력을 유지함으로써 듀얼 무선 주파수 (RF) 소스를 사용하여 플라즈마를 생성하는 단계를 수반한다. 그 위에 AHM이 증착될 수도 있는 층들의 예들은 산화물들 및 질화물들과 같은 유전체 층들, 및 폴리실리콘층들을 포함한다. 다양한 실시예들에 따라, 상기 LF 전력을 펄스하는 것은 비-제로 레벨들 사이에서 변조하는 것 또는 상기 LF 전력을 스위칭 온하고 스위칭 오프하는 것을 수반할 수 있다. 일부 실시예들에서, 상기 LF 전력은 약 2 ㎐ 내지 약 200 ㎐의 주파수로 펄스된다. 증착은 높거나 낮은 프로세스 온도들로 수행될 수도 있다.
일 양태에서, 고 선택도, 저 응력의 AHM들을 증착하는 방법이 제공된다. 다양한 실시예들에 따라, 증착 동안 프리커서 가스를 도입함으로써 LF RF 전력은 펄스되는 반면 HF RF 전력은 일정하다. 일부 실시예들에서, 증착된 AHM은 약 10% 내지 약 25%, 예를 들어 약 18%의 수소 함유량을 갖는다. 특정한 실시예들에서, 증착된 AHM은 약 3.6 내지 약 4.4의 에칭 선택도를 갖는다.
다른 양태는 반도체 기판 상에 비정질 탄소층을 형성하는 방법과 관한 것이다. 다양한 실시예들에서, 비정질 탄소층은 LF RF 전력은 펄스되고 HF 전력은 일정한 듀얼 RF 플라즈마 소스를 사용하여 증착된다. 일부 실시예들에서, 상기 LF 전력은 비-제로 레벨들 사이에서 증착된다. 일부 실시예들에서, LF는 LF 전력을 스위칭 온하고 스위칭 오프함으로써 펄스된다. 특정한 실시예들에서, 상기 LF 전력은 약 2 ㎐ 내지 약 10 ㎐의 주파수로 펄스된다. 증착은 높은 프로세스 온도 또는 낮은 프로세스 온도에서 수행될 수도 있다.
다른 양태는 반도체 기판을 프로세스하도록 구성된 장치에 관한 것이다. 다양한 실시예들에 따라, 장치는 샤워헤드, 기판 지지부, 및 하나 이상의 가스 유입구들 (inlets) 을 포함하는, 증착 챔버; 및 제어기를 포함한다. 제어기는 상기 장치 내에서 상기 동작들을 제어하도록 구성되고 탄화 수소 프리커서 가스를 포함하는 프로세스 가스를 증착 챔버에 유동시키고, 플라즈마를 점화시키도록 듀얼 주파수 RF 전력을 증착 챔버에 인가하고, LF 전력을 펄스하면서 HF 전력을 일정하게 유지하기 위한 머신 판독가능 인스트럭션들을 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 추가로 기술된다.
도 1은 다양한 실시예들에 따른 에칭 동작들에서 AHM들을 사용하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 2는 다양한 실시예들에 따라 듀얼 무선 주파수 플라즈마 생성기들을 변조함으로써 AHM들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 3은 다양한 실시예들에 따른 타이밍 시퀀스 도면이다.
도 4는 다양한 실시예들을 실시하기에 적합한 PECVD 챔버의 개략적인 예시를 도시한다.
도 5는 저온으로 AHM을 증착하는 응력 및 계수를 도시하는 그래프이다.
도 6은 고온으로 AHM을 증착하는 응력 및 계수를 도시하는 그래프이다.
도 7은 열 탈착 분광법 (thermal desorption spectroscopy) 에 의해 측정된 수소 (H2) 를 탈기하는 것을 도시한다.
이하의 기술에서, 다수의 구체적인 상세들은 제시된 실시예들의 전체적인 이해를 제공하기 위해 언급되었다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이 실시될 수도 있다. 다른 예에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 설명되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 프로세싱에서, 마스킹 방법들이 기판들을 패터닝하고 에칭하기 위해 사용된다. 기판 애스팩트 비들이 증가함에 따라, 고 선택도 하드마스크들에 대한 요구가 증가한다. 높은 에칭 선택도를 갖지만 여전히 기판에 손상을 주지않고 제거하기 쉬운 마스크들이 기판들을 프로세싱하는데 중요하다. AHM들 (ashable hard masks) 은 에칭 정지층들에서, 또는 선택적인 에칭 동안, 또는 포토레지스트가 아래에 놓인 층을 마스크할 만큼 충분한 두께를 갖지 않을 때 마스크들로서 사용될 수 있다. AHM들은 또한 디스플레이 및 다른 기술들에서 유리 기판들 상에 사용될 수도 있다.
AHM들이 이들의 목적을 달성하면, 이들이 “애싱 (ashing)”, “플라즈마 애싱” 또는 “드라이 스트립핑 (dry stripping)” 이라고 하는 기법들에 의해 제거되도록 하는 화학적 조성을 갖는다. AHM의 일 예는 비정질 탄소층 또는 비정질 탄소막이다. AHM은 일반적으로 탄소 및 수소, 그리고 선택적으로, 미량의 하나 이상의 도펀트들 (예를 들어, 질소, 불소, 붕소, 및 실리콘) 로 구성될 수도 있다. AHM의 결합 구조는 증착 조건들에 따라, sp2 (그래파이트형 (graphite-like)) 또는 sp3 (다이아몬드형 (diamond-like)), 또는 양자의 조합일 수도 있다.
도 1은 에칭 동작들에서 하드마스크로서 AHM을 사용하는 방법들의 관련된 동작들을 도시하는 프로세스 흐름도이다. AHM 증착 전에, 에칭되는 층을 갖는 기판이 증착 챔버 내에 제공될 수 있다. 이하의 기술은 주로 반도체 기판들을 참조하지만, 이 방법들은 유리 기판들을 포함하는 다른 타입들의 기판들 상의 층들에 적용될 수도 있다. AHM으로 마스크될 수도 있는 재료들의 예들은 산화물들 (예를 들어, SiO2) 및 질화물들 (예를 들어, SiN 및 TiN) 과 같은 유전체 재료들, 폴리실리콘 (Poly-Si), 및 알루미늄 (Al), 구리 (Cu), 및 텅스텐 (W) 과 같은 금속들을 포함한다. 특정한 실시예들에서, 본 명세서에 기술된 AHM들은 산화물 층들, 질화물 층들, 또는 폴리실리콘층들을 패터닝하도록 사용될 수도 있다.
동작 102에서, AHM은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 에칭되는 층 상에 증착된다. PECVD 프로세스들은 증착 챔버에서 플라즈마를 생성하는 것을 수반한다. 도 2를 참조하여 이하에 더 기술되는 바와 같이, 고주파수 (HF) 전력 및 저주파수 (LF) 전력을 포함하는 듀얼 무선 주파수 (RF) 플라즈마 소스들이 사용될 수도 있다. 일부 프로세스들에서, 하나 이상의 AHM 층들이 증착될 수도 있다.
동작 104에서, 포토레지스트층이 증착되고, 노출되고, 목표된 에칭 패턴에 따라 현상된다. 일부 구현예들에서, 반사-방지층 (ARL) 이 포토레지스트 증착 전에 AHM 상에 증착될 수도 있다.
동작 106에서, AHM은 AHM의 노출된 부분들을 에칭함으로써 개방된다. AHM을 개방하는 것은 플로오린-리치 (fluorine-rich) 건식 에칭에 의해 수행될 수도 있다.
다음에, 동작 108에서, 기판층이 패턴을 기판층에 전사하도록 (transfer) 선택적으로 에칭된다. 선택적인 에칭은 기판층이 AHM 벽들을 실질적으로 약화시키지 않고 에칭되도록 수행될 수도 있다. 에칭들의 예들은 라디컬-기반 에칭 및/또는 이온-기반 에칭을 포함할 수 있다. 에칭 화합물들의 예들은 불소 함유 에칭 화합물과 같은 할로겐-계 에칭 화합물들 및 염소 함유 에칭 화합물들을 포함할 수 있다. 예를 들어, 탄화불소 함유 프로세스 가스들로부터 생성된 용량 결합 플라즈마들 (capacitively-coupled plasmas) 이 산화물층들을 선택적으로 에칭하기 위해 사용될 수도 있다. 프로세스 가스들의 구체적인 예들은 선택적으로 산소 (O2) 및 C4H8/CH2F2/O2/Ar과 같은 불활성 가스와 함께 CxFy 함유 프로세스 가스들을 포함한다.
마지막으로, 동작 110에서, AHM을 제거하기 위해 애싱, 플라즈마 애싱, 또는 드라이 스트립핑이라고 지칭되는 기법이 사용된다. 애싱은 산소-리치 건식 에칭에 의해 수행될 수도 있다. 종종, 산소 진공 하에서 챔버에 도입되고, RF 전력은 AHM과 반응하도록 플라즈마 내에 산소 라디컬들을 생성하고 물 (H2O), 일산화 탄소 (CO), 및 이산화 탄소 (CO2) 로 이를 산화한다. 선택적으로, 임의의 남아 있는 AHM 잔여물이 또한 애싱 후에 습식 에칭 프로세스 또는 건식 에칭 프로세스에 의해 제거될 수도 있다. 목표된 패터닝된 기판층이 생성된다.
고 애스팩트 비 패터닝은 높은 에칭 선택도를 갖는 AHM들을 사용한다. 에칭 선택도는 AHM 층의 에칭 레이트를 아래에 놓인 층과 비교함으로써 결정될 수 있다. 에칭 선택도는 또한 AHM 층의 계수, 또는 강도 (rigidity) 를 결정함으로써 근사화될 수 있다. 보다 강하고, 또는 보다 높은 계수의 AHM은 보다 많은 이온 충격들 수반하는 에칭 프로세스에서 보다 높은 에칭 레이트들을 견딜 수 있다. 따라서, 보다 높은 계수를 갖는 AHM들은 보다 높은 선택도 및 보다 낮은 에칭 레이트를 갖고, 고 애스팩트 비 반도체 프로세스들을 프로세싱하기 위해 보다 효율적이고 효과적으로 사용될 수 있다. AHM의 목표된 에칭 선택도 및 계수는 아래에 놓은 층들의 에칭 프로세스 및 조성에 따를 수도 있지만, 에칭 선택도와 계수 간의 상관 관계 (예를 들어, 보다 높은 에칭 선택도에 대해 보다 높은 계수) 가 아래에 놓은 층들의 에칭 프로세스 또는 조성과 관계없이 동일하게 유지된다. 본 명세서에서 기술된 바와 같은 계수-선택도의 상관 관계는 폴리실리콘층들, 산화물 층들, 및 질화물 층들을 포함하는, 모든 타입들의 아래에 놓인 층들에 적용된다.
지금까지, 공지된, 고 선택도의 AHM들은 매우 높은 응력 레벨들을 갖는다. 현재의 방법들은 AHM들을 형성하기 위해 PECVD 프로세스에서 연속파 RF 전력 플라즈마를 사용한다. 연속파 RF 전력 플라즈마를 사용하는 것은 원자들 사이에 보다 많은 sp3 결합들을 생성함으로써 막 밀도를 상승시켜, 에칭 선택도를 증가시키는, 연속하는 이온 충격을 발생한다. 그러나, 연속하는 이온 충격은 또한 막 내에 과도한 결합되지 않은 수소 원자들을 포함하고, 무거운 원자량의 이온들의 높은 이온 충돌들을 유발한다. 이는 높은 응력의 AHM들이 더 쉽게 붕괴하거나 압축되기 때문에 AHM 적용들을 제한하는, 증착된 AHM의 응력을 증가시킬 수도 있다. 증가된 응력 레벨들은 또한 레이저 정렬을 더 어렵게 한다.
다양한 실시예들에 따라, 본 명세서에 고 선택도 및 저 응력을 갖는 AHM들을 형성하는 방법들이 제공된다. 이들 방법들은 주어진 응력 레벨에서 향상된 선택도를 갖는 AHM 또는 주어진 선택도에서 감소된 응력 레벨을 갖는 AHM들 산출하여, 반도체 프로세싱에서 AHM 성능을 향상시킨다.
도 2는 다양한 실시예들에 따라 듀얼 RF 플라즈마 전력을 변조함으로써 AHM들을 형성하는 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다. 방법 (200) 은 동작 202에서, 챔버 내에 기판을 제공함으로써 시작한다. 기판들 및 기판층들의 예들은 도 1을 참조하여 상기에 기술되었다. 도 1에서, 하드마스크로서 AHM을 사용하는 집적 방법이 기술되었다. 일부 다른 실시예들에서, 본 명세서에 기술된 AHM들은 또한 하드마스크들에 부가하여 또는 하드마스크들 대신 에칭 정지층들로서 사용될 수도 있다. 동작 204에서, 기판은 탄소 소스 및 황 소스를 포함하는 프리커서 가스에 노출된다. 일부 실시예들에서, 탄화 수소 프리커서는 일반식 CxHy로 규정된 탄화 수소 프리커서일 수도 있고, 여기서, X는 2 내지 10의 정수이고, Y는 2 내지 24의 정수이다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 시클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다.
일부 실시예들에서, 2종 이상의 탄화 수소 프리커서들이 도입될 수도 있다. 탄화 수소 프리커서들에 부가하여, 캐리어 가스가 프리커서 가스 플로우를 희석하도록 사용될 수도 있다. 캐리어 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2), 수소 (H2), 또는 이들의 임의의 조합을 포함하는 임의의 적합한 캐리어 가스일 수도 있다.
증착 챔버 내의 총 압력은 약 1 Torr 내지 약 20 Torr의 범위일 수도 있다. 일부 실시예들에서, 압력은 약 1 Torr 내지 10 Torr일 수도 있다. 일부 실시예들에서, 탄화 수소 프리커서는 예를 들어, 본 명세서에 참조로서 통합된 미국 특허 제 7,981,777 호 및 제 7,981,810 호에 기술된 바와 같이, 약 0.01 Torr 내지 약 4 Torr의 낮은 부분 압력으로 도입된다. 특정한 실시예들에서, 부분 압력은 약 0.2 Torr 이하이다.
프리커서 가스 플로우 레이트들은 특정한 증착 챔버 및 기판에 의존한다. 4 개의 300 ㎜ 기판들에 사용된 플로우 레이트들의 예들은 아세틸렌 약 200 sccm 내지 약 4,000 sccm, 수소 약 1,000 sccm 내지 약 20,000 sccm, 및 헬륨 약 1,000 sccm 내지 약 20,000 sccm이다.
본 명세서에 개시된 플로우 레이트들 및 RF 전력들은 300 ㎜ 웨이퍼들에 대해 구성된 4-스테이션 툴에 대한 것이다. 전력 레벨들 및 플로우 레이트들은 일반적으로 스테이션들의 수 및 기판 면적에 선형으로 조정된다. 플로우 레이트들 및 전력들은 면적 당 기준으로, 예를 들어, 2500 W는 0.884 W/㎠로 표현될 수 있다.
본 명세서에 개시된 방법들은 약 50℃ 내지 약 550℃의 범위의 예들과 함께 목표된 AHM 특성들을 획득하기 위해 임의의 적절한 프로세스 온도로 사용될 수도 있다. 프로세스 온도는 sp2 결합 대 sp3 결합 형성으로 인해 응력, 선택도 및 투과도에 적어도 부분적으로 영향을 줄 수 있다. 보다 높은 온도들이 C-H 결합들의 용이한 파괴 및 이후의 수소의 확산을 가능하게 하기 때문에 보다 높은 온도들은 sp2 리치 비정질 탄소 네트워크 형성을 선호한다. 예를 들어, 약 500℃ 이상의 온도로 증착된 막들은 증가된 탄소 함유량 및 보다 높은 밀도와 함께, sp3 결합들과 비교하여 상당히 많은 sp2 CH 및 CH2 결합들을 가질 수도 있고, 이는 증가된 에칭 선택도와 상관된다. 그러나, 이들 막들은 sp2 탄소 및 보다 낮은 광 밴드갭으로 인해 두꺼운 하드마스크 애플리케이션들에는 적합하지 않을 수도 있다. 예를 들어, 2 kÅ 이상의 막들은 마스크 정렬을 위한 투과도가 충분하지 않을 수도 있다. 예를 들어, 633 ㎚ 레이저들이 일반적으로 투과성 막들 및 투과성이 낮은 막들에 사용될 수도 있다. 상기에 참조된 미국 특허 제 7,981,810 호는 보다 낮은 온도들 및/또는 희석된 탄화 수소 프리커서 플로우로 선택성 및 투과성 AHM의 증착을 위한 프로세스 조건들을 제공한다. 보다 낮은 온도들 예를 들어, 약 400℃ 이하에서, 증착된 AHM은 보다 높은 온도들에서 증착된 막에 비해 훨씬 적은 sp2 결합을 가질 수도 있다.
다음에, 동작 206에서, 플라즈마를 고주파수 (HF) RF 성분 및 저주파수 (LF) RF 성분을 포함하는 듀얼 RF 플라즈마 소스를 사용하여 점화함으로써 PECVD에 의해 기판 상에 AHM이 증착된다. 일부 실시예들에서, 실시예들의 방법들은 고 에너지 이온 충격을 생성하기 위해 LF RF 전력을 사용한다. 저주파수 RF 전력은 약 100 ㎑ 내지 약 2 ㎒의 주파수를 갖는 RF 전력을 지칭한다. 일부 실시예들에서, LF 생성기의 동작 능력에 의해 펄스 주파수가 제한될 수도 있다. 일부 실시예들에서, LF RF 전력은 약 400 ㎑, 예를 들어, 430 ㎑의 주파수를 사용하는 RF 전력을 갖는다. 증착 동안, 일부 실시예들에서, LF 전력은 약 0.001 W/㎠ 내지 약 0.05 W/㎠의 범위이고, W/㎠는 제곱 센티미터의 기판 표면 면적 당 와트를 표현한다. 일부 실시예들에서, LF 전력은 약 0 W/㎠ 내지 약 1.25 W/㎠의 범위이다. 고주파수 RF 전력은 약 2 ㎒ 내지 60㎒의 주파수를 갖는 RF 전력을 지칭한다. 일부 실시예들에서, HF RF 전력은 약 13.56 ㎒의 주파수를 사용하는 RF 전력을 갖는다. 증착 동안, 일부 실시예들에서, 기판 면적 당 HF 전력은 약 0.001 W/㎠ 내지 약 0.05 W/㎠의 범위이다. 일부 실시예들에서, 기판 면적당 HF 전력은 약 0.05 W/㎠ 내지 1.25 W/㎠의 범위이다.
다음에, 동작 208에서, 상기 LF 전력은 펄스되는 반면 HF 전력은 일정하다. 다양한 실시예들에서, 상기 LF 전력은 상기 LF 전력을 스위칭 온하고 스위칭 오프함으로써 펄스된다. 특정한 실시예들에서, 상기 LF 전력은 약 0 W/㎠ 내지 약 0.05 W/㎠ 로 펄스된다. 다양한 실시예들에서, 상기 LF 전력은 비-제로 전력 레벨들 사이에서 LF를 스위칭함으로써 펄스된다. 일부 실시예들에서, 상기 LF 전력은 약 0 W/㎠ 내지 0.02 W/㎠로 펄스된다. 많은 실시예들에서, HFRF의 전력 및 LFRF의 전력은 플라즈마를 유지하기에 충분하다.
LF 펄싱에 대한 듀티 사이클 (LF가 온 또는 높은 전력인 동안의 시간의 단편 (fraction)) 은 약 10% 내지 약 70%의 범위이다. 다양한 실시예들에서, 상기 LF 전력은 약 2 ㎐ 내지 약 10 ㎐의 주파수로 펄스된다. 일부 실시예들에서, 상기 LF 전력은 적어도 약 10 ㎐로, 또는 적어도 약 20 ㎐, 또는 적어도 약 100 ㎐, 또는 적어도 약 200 ㎐의 주파수로 펄스된다.
프리커서 가스 플로우는 또한 LF 전력 펄싱에 대해 동기화되거나 동기화되지 않은 방식으로 펄스될 수 있다. 가스 펄싱은 에칭 선택도를 향상시키기 위해 막 특성들을 더 변경할 수도 있는, RF 펄싱과 결합될 수도 있다. 예를 들어, 프로세스 가스는 50%의 듀티 사이클, 또는 0.5 초의 펄스 폭으로 펄스될 수도 있다. 다양한 실시예들에 따라, 프로세스 가스의 펄스 주파수는 약 0.05 ㎐ 내지 약 1 ㎐이다.
일부 실시예들에서, HF RF 성분 및 LF RF 성분은 동기화된 방식으로 펄스될 수 있다. HF 성분이 펄스되면, 이는 높은 전력에서 낮은 전력으로 펄스되고 플라즈마 시스 붕괴를 방지하기 위해 턴 오프되지 않는다. 대안적으로, LF RF 전력만을 펄싱하는 것이 보다 안정한 플라즈마를 형성하는데 유리할 수도 있다.
도 3은 LF 전력이 약 0.5 초의 펄스 폭에 대해 2 ㎐로 펄스되고 LF 전력이 약 0.2 초 동안 온되고 약 0.3 초 동안 오프되는 듀얼 RF 플라즈마 소스를 사용하여 PECVD에 의해 AHM이 증착되는 일부 실시예들에 대한 타이밍 시퀀스도이다. 도 3에 3 개의 펄스들이 도시된다. 다른 실시예들에서, 펄스 주파수는 약 2 ㎐ 내지 약 200 ㎐일 수도 있다. 프리커서 가스 플로우의 프로세스 파라미터들, 압력, 캐리어 가스 플로우, 및 HF 전력은 일정하다.
펄싱 LF 전력은 층에 나쁜 영향을 주는 이온 및 원자를 완화시켜, 층 상에 낮은 응력을 야기한다. 층 상에 나쁜 영향을 주는 이온 및 원자의 이러한 완화는 막의 조밀화를 최적화하고 층 내의 수소 함유량을 감소시킨다. 따라서, 층 상의 응력이 감소된다.
다양한 실시예들에 따라, 증착된 AHM들은 아래에 놓은 층에 비해 약 3.6:1 내지 약 4.4:1의 에칭 선택도를 갖는다. 일부 실시예들에서, 증착된 AHM들은 약 18%의 수소 함유량을 갖는다. 일부 실시예들에서, 증착된 AHM들은 약 15%보다 작은, 약 10%보다 작은, 또는 약 5%보다 작은 수소 함유량을 갖는다.
일부 실시예들에서, 증착된 AHM은 1:1의 계수 대 응력 비 (modulus to stress ratio) 를 갖는다. 일부 실시예들에서, 증착된 AHM의 계수는 약 70 GPa이고 응력은 약 -80 MPa이다.
도 2를 참조하면, 동작 206 및 동작 208은 목표된 두께의 막이 증착될 때까지 계속된다. 다양한 실시예들에 따라, 약 1000 Å 내지 약 90,000 Å의 두께를 갖는 막이 증착된다. 일부 실시예들에서, 얇은 AHM 층이 약 50 Å 내지 약 900 Å의 두께로 증착될 수도 있다.
장치
실시예들은 PECVD (plasma enhanced chemical vapor deposition) 반응 장치에서 구현될 수 있다. 이러한 반응 장치는 많은 상이한 형태들을 취할 수도 있다. 다양한 실시예들은 기존의 반도체 프로세싱 장비 - 특히, Lam Research Corporation으로부터 입수가능한 SequelTM 또는 VectorTM과 같은, 기존의 반도체 프로세싱 장비와 호환가능할 수도 있다. 다양한 실시예들이 멀티-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 구체적인 실시예들에서, 4-스테이션 증착 스킴을 갖는 300 ㎜ Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200㎜ SequelTM 툴이 사용된다.
일반적으로, 장치는 각각 하나 이상의 스테이션들을 포함하는, 하나 이상의 챔버들 또는 반응 장치들을 포함할 것이다. 챔버들은 하나 이상의 웨이퍼들을 하우징할 수 있고 웨이퍼 프로세싱에 적합하다. 하나 이상의 챔버들은 회전, 진동, 또는 다른 동요를 방지함으로써, 규정된 위치 또는 규정된 위치들에 웨이퍼를 유지한다. 일부 실시예들에서, AHM 증착을 겪는 웨이퍼는 프로세스 동안 챔버 내에서 하나의 스테이션에서 다른 스테이션으로 이동될 수도 있다. 예를 들어, 2000 Å의 AHM 증착은 하나의 스테이션에서 전체적으로 발생할 수도 있고, 또는 500 Å의 막이 다양한 실시예들에 따라 4 개의 스테이션들 각각에서 증착될 수도 있다. 대안적으로, 총 막 두께의 임의의 다른 단편이 임의의 수의 스테이션들에서 증착될 수도 있다. 다양한 실시예들에서 하나 이상의 AHM이 증착될 때, 하나 이상의 스테이션이 각각의 AHM 층을 증착하도록 사용될 수도 있다. 프로세싱 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척, 및/또는 다른 웨이퍼 홀딩 장치에 의해 제 위치에 홀딩된다. 웨이퍼가 히팅되는 특정한 동작들에서, 장치는 히팅 플레이트와 같은 히터를 가질 수도 있다.
도 4 다양한 실시예들을 실시하기에 적합한 PECVD의 개략적인 예시를 도시한다. 도시된 바와 같이, 반응 장치 (400) 는 다른 반응 장치 구성요소들을 둘러싸고, 접지된 히터 블록 (420) 과 함께 작동하는 샤워헤드 (414) 를 포함하는 캐패시터 타입 시스템에 의해 생성된 플라즈마를 포함하는 프로세스 챔버 (424) 를 포함한다. 고주파수 RF 생성기 (404) 및 저주파수 RF 생성기 (402) 는 샤워헤드 (414) 에 또한 접속되는, 매칭 네트워크 (406) 에 접속된다. 매칭 네트워크 (406) 에 의해 공급된 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기에 충분하다. 제어기는 프리커서 가스를 유입하고, HF RF 생성기 (404) 에서 HF 전력을 스위칭 온하고, HF RF 생성기 (404) 와 LF RF 생성기 (402) 사이에서 LF 전력을 스위칭 온하고 스위칭 오프하기 위한 머신 판독가능한 인스트럭션들을 제공한다. 제어기 (428) 는 장치가 본 실시예들에 따른 방법을 수행하도록 대용량 저장 디바이스 저장되고, 메모리 디바이스로 로딩되고, 프로세서 상에서 실행되는 머신-판독가능 시스템 제어 소프트웨어를 실행한다. 대안적으로, 제어 로직은 시스템 제어기 (428) 내에 하드 코딩 (hard coding) 될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), 프로그램 가능한 논리 디바이스들 (Programmable Logic Devices) (예를 들어, FPGA들 (field-programmable gate arrays), 등이 이들 목적들로 사용될 수도 있다. “소프트웨어” 또는 “코드”가 사용되는 경우, 기능적으로 비교가능한 하드 코딩된 로직이 그 자리에 사용될 수도 있다.
반응 장치 내에서, 웨이퍼 지지부 (418) 는 기판 (416) 을 홀딩한다. 페데스탈은 일반적으로 증착 및/또는 플라즈마 처리 반응들 동안 그리고 증착과 플라즈마 처리 반응들 사이에서 기판을 홀딩하고 이동시키기 위한 척, 포크 (fork), 또는 리프트 핀들 (lift pins) 을 갖는다. 척은 정전 척, 기계적인 척 또는 산업 및/또는 연구에 사용할 수 있는 다양한 다른 타입들의 척일 수도 있다.
프로세스 가스들은 유입구 (412) 를 통해 도입된다. 다수의 소스 가스 선들 (410) 이 매니폴드 (408) 에 접속된다. 가스들은 선택적으로 미리 혼합될 수도 있다. 적절한 밸브 및 질량 유량 (mass flow) 제어 매커니즘들이 프로세스 동안 정확한 가스들이 전달되는 것을 보장하기 위해 채택된다. 임의의 화학적 프리커서(들)가 액체 형태로 전달되는 경우에서, 액체 유량 제어 매커니즘들이 채택된다. 그 후 액체는 기화되고 증착 챔버에 도달하기 전에 자신의 기화점 이상으로 히팅된 매니폴드 내에서의 이동 동안 다른 프로세스 가스들과 혼합된다.
프로세스 가스들은 유출구 (422) 를 통해 챔버 (400) 를 나온다. 진공 펌프 (426) (예를 들어, 1단계 또는 2단계 기계적 드라이 펌프, 또는 터보분자 (turbomolecular) 펌프) 는 프로세스 가스들을 유출하고 (draw out), 쓰로틀 밸브 (throttle valve) 또는 진자 밸브 (pendulum valve) 와 같은 폐루프 제어된 유량 제한 디바이스에 의해 반응 장치 내에 적합한 낮은 압력을 유지한다.
실험
이하의 예들은 다양한 실시예들의 양태들을 더 예시하도록 제공된다. 이들 예들은 양태들을 예를 들고 보다 분명하게 예시하기 위해 제공되고 제한하도록 의도되지 않는다. 이하에 기술된 무선 주파수 (RF) 전력 레벨들은 300 ㎜ 웨이퍼들을 위해 구성된 4-스테이션 툴을 위한 것이다.
예시적인 데이터가 도 2의 프로세스의 실시예를 위해 수집되었다. 도 5는 저온에서 AHM 증착을 위한 MPa 단위의 응력의 함수로서 GPa 단위의 AHM 계수를 도시한다.
AHM은 C2H2를 프리커서로서 그리고 N2 및 He를 캐리어 가스들로서 사용하여 기판 상의 유전체 막 상에 증착된다. LF 변조를 사용하지 않고 증착된 AHM 계수 및 응력이 측정되었다. 이러한 데이터는 도 5에서 다이아몬드형 점들과 실선으로 나타내었다. 캐리어 가스 플로우, 챔버 압력, 프로세스 온도, 및 프리커서 프로세스 가스 플로우가 일정하게 유지된다. 표 1의 파라미터들이 사용되었다.
표 1. 저온 무변조 AHM 증착
파라미터들 파라미터 범위
N2 3000 sccm 0 내지 10000 sccm
C2H2 300 sccm 0 내지 10000 sccm
He 8000 sccm 1000 내지 20000 sccm
HF 전력 0.35 W/㎠ 0.05 내지 1.25 W/㎠
LF 전력 0.42 W/㎠ 0 내지 1.25 W/㎠
압력 2.5 Torr 1 내지 20 Torr
PECVD 방법들을 사용하여 AHM을 증착하기 위해 연속파 플라즈마 생성이 사용된다. 플라즈마 소스가 13.56 ㎒의 주파수를 갖는 HF 전력, 및 430 ㎑의 주파수를 갖는 LF 전력을 생성하였다. HF 전력은 0.35 W/㎠이고 상기 LF 전력은 0.42 W/㎠이다. 상기 LF 전력은 펄스되지 않고; AHM 증착 동안 HF 전력 및 LF 전력 양자가 온이고 일정하다.
LF 변조를 사용하여 증착된 계수 및 AHM 응력이 측정되었다. 이 데이터는 도 5에서 원형 점들과 점선으로 나타낸다. 캐리어 가스 플로우, 챔버 압력, 프로세스 온도, 및 프리커서 프로세스 가스 플로우가 일정하게 유지된다. 표 2의 파라미터들이 사용된다.
표 2. 저온 LF-변조 AHM 증착
LF 온 페이즈 파라미터 범위
N2 3000 sccm 0 내지 10000 sccm
C2H2 300 sccm 0 내지 10000 sccm
He 8000 sccm 1000 내지 20000 sccm
HF 전력 0.35 W/㎠ 0.05 내지 1.25 W/㎠
LF 전력 0.42 W/㎠ 0 내지 1.25 W/㎠
압력 2.5 Torr 1 내지 20 Torr
LF 온 시간 90 sec 1 내지 200 sec
LF 오프 페이즈 파라미터 범위
N2 3000 sccm 0 내지 10000 sccm
C2H2 300 sccm 0 내지 10000 sccm
He 8000 sccm 1000 내지 20000 sccm
HF 전력 0.35 W/㎠ 0.05 내지 1.25 W/㎠
LF 전력 0 W/㎠ 고/저 변조에 대해 0 W/㎠ 이하
(0.02 내지 0.10 W/㎠)
압력 2.5 Torr 1 내지 20 Torr
LF 오프 시간 180 sec 1 내지 200 sec
듀얼 RF 플라즈마 소스가 13.56 ㎒의 주파수를 갖는 HF 전력, 및 430 ㎑의 주파수를 갖는 LF 전력을 생성하였다. HF 전력은 0.35 W/㎠이고 상기 LF 전력은 0 W/㎠ 내지 0.42 W/㎠로 펄스된다. 상기 LF 전력은 2 ㎐의 주파수로 펄스된다.
펄스되지 않은 AHM 및 펄스된 AHM 양자에 대해 응력 및 계수 데이터가 취해지고 결과들이 도 5의 그래프이다. 종합적으로, -450 MPa와 같이 주어진 응력에 대해, LF-펄스된 AHM의 계수는 보다 높다. 이는 증가된 막의 강도와 그에 따라 증가된 에칭 선택도를 제안한다. 임의의 주어진 계수에 대해, 전체 응력이 감속된다. 예를 들어, 60 GPa의 AHM 계수에 대해, 전체 응력은 -450 MPa 내지 -150 MPa로 감소되고, 전체 AHM은 응력이 0 MPa에 도달함에 따라 덜 압축된다는 것을 제안한다.
실험적인 데이터가 도 6의 프로세스의 다른 실시예를 위해 수집되었다. 도 6은 OPOP (산화물/폴리실리콘 스택) 에칭에서 증착된 폴리실리콘에 대한 AHM 에칭 선택도를 고온에서 MPa 단위의 응력의 함수로 도시한다.
응력 및 선택도에 대한 데이터가 연속파 플라즈마 생성을 사용하여 증착된 AHM들에 대해 수집된다. 이 데이터는 도 6에서 다이아몬드형 점들과 실선으로 나타낸다. 폴리실리콘 기판층은 550℃에서 캐리어 가스들 He 및 N2를 사용하여 프리커서 프로세스 가스 C2H2에 노출된다. 캐리어 가스 플로우, 챔버 압력, 프로세스 온도, 및 프리커서 프로세스 가스 플로우는 일정하게 유지된다. LF 펄싱은 AHM 에칭 선택도를 200%만큼 증가시킨다. 이하의 파라미터들이 사용된다:
표 3. 고온 무변조 AHM 증착
파라미터들 파라미터 범위
N2 1000 sccm 0 내지 10000 sccm
C2H2 900 sccm 0 내지 10000 sccm
He 9000 sccm 1000 내지 20000 sccm
HF 전력 0.22 W/㎠ 0.05 내지 1.25 W/㎠
LF 전력 0.22 W/㎠ 0 내지 1.25 W/㎠
압력 5 Torr 1 내지 20 Torr
듀얼 RF 플라즈마 소스가 13.56 ㎒의 주파수를 갖는 HF 전력, 및 430 ㎑의 주파수를 갖는 LF 전력을 생성하였다. HF 전력은 0.22 W/㎠이고 상기 LF 전력은 0.22 W/㎠이다. 상기 LF 전력은 펄스되지 않고; AHM 증착 동안 HF 전력 및 LF 전력 양자가 온이고 일정하다.
다음에, 펄스된 LF PECVD를 사용하여 증착된 AHM 에칭 선택도 및 응력에 대한 데이터가 수집된다. 이 데이터는 도 6에서 원형 점들로 나타낸다. 폴리실리콘 기판층은 550℃에서 프리커서 프로세스 가스 C2H2에 노출된다. 캐리어 가스 플로우, 챔버 압력, 프로세스 온도, 및 프리커서 프로세스 가스 플로우는 일정하다. 이하의 파라미터들이 사용되었다:
표 4. 고온 LF-변조 AHM 증착
LF 온 페이즈 파라미터 범위
N2 1000 sccm 0 내지 10000 sccm
C2H2 900 sccm 0 내지 10000 sccm
He 9000 sccm 1000 내지 20000 sccm
HF 전력 0.22 W/㎠ 0.05 내지 1.25 W/㎠
LF 전력 0.22 W/㎠ 0 내지 1.25 W/㎠
압력 5 Torr 1 내지 20 Torr
LF 온 시간 150 sec 1 내지 200 sec
LF 오프 페이즈 파라미터 범위
N2 1000 sccm 0 내지 10000 sccm
C2H2 900 sccm 0 내지 10000 sccm
He 9000 sccm 1000 내지 20000 sccm
HF 전력 0.22 W/㎠ 0.05 내지 1.25 W/㎠
LF 전력 0 W/㎠ 0 W/㎠ 이하
(0.02 내지 0.10 W/㎠)
압력 5 Torr 1 내지 20 Torr
LF 오프 시간 300 sec 1 내지 300 sec
듀얼 RF 플라즈마 소스가 13.56 ㎒의 주파수를 갖는 HF 전력, 및 430 ㎑의 주파수를 갖는 LF 전력을 생성하였다. HF 전력은 0.22 W/㎠이고 상기 LF 전력은 0 W/㎠ 내지 0.22 W/㎠로 펄스된다. 상기 LF 전력은 2 ㎐의 주파수로 펄스된다.
에칭 선택도는 연속파 및 LF-펄스된 AHM들 양자에 대해 측정되었다. 이 결과들이 도 6의 그래프이다. 종합적으로, 명시된 응력 레벨에서, LF-펄스된 AHM 막의 전체 선택도는 폴리실리콘에 대해 연속파 플라즈마 AHM 막보다 높은 선택도를 갖는다. 이는 증착 프로세스에서 LF 펄싱이 에칭 선택도를 증가시키고, AHM 기술을 상당히 향상시킨다고 제안한다.
관례적인 연속파 플라즈마 AHM들 및 LF-펄스된 AHM들로부터 AHM의 분자 조성을 결정하기 위해, TDS (thermal desorption spectroscopy) 방법들이 사용된다. 도 7은 연속파 플라즈마 및 LF-펄스된 플라즈마를 사용하여 증착된 두 AHM들로부터의 TDS 결과들을 도시한다. 보다 높은 온도들에서, 증착된 AHM 막은 연속파 플라즈마를 사용하여 증착된 AHM보다 적은 H2를 탈기하고, AHM 상에 남아 있는 보다 적은 H 함유량을 제안한다. AHM 내의 보다 적은 H 함유량으로, AHM은 보다 안정한 분자 구조를 가져 보다 높은 선택도 및 개선된 AHM 성능을 갖는다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 이해될 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주목해야 한다. 따라서, 본 실시예들은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되는 것은 아니다.

Claims (15)

  1. 애싱가능한 하드마스크 (AHM; ashable hard mask) 를 형성하는 방법에 있어서,
    탄화 수소 프리커서 가스를 포함하는 프로세스 가스에 반도체 기판을 노출시키는 단계; 및
    고주파수 (HF) 성분 및 저주파수 (LF) 성분을 포함하는 듀얼 무선 주파수 (RF) 플라즈마 소스에 의해 생성된 플라즈마를 사용하는 PECVD (plasma enhanced chemical vapor deposition) 프로세스에 의해 AHM을 상기 기판 상에 증착하는 단계를 포함하고,
    증착 동안, HF 전력은 일정한 반면, LF 전력은 펄스되는, AHM을 형성하는 방법.
  2. 제1항에 있어서,
    상기 LF 전력은 비-제로 전력 레벨들로 펄스되는, AHM을 형성하는 방법.
  3. 제1항에 있어서,
    상기 LF 전력은 상기 LF 전력을 스위칭 온하고 스위칭 오프함으로써 펄스되는, AHM을 형성하는 방법.
  4. 제1항에 있어서,
    LF RF 전력의 주파수는 약 400 ㎑인, AHM을 형성하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 LF 전력은 약 2 ㎐ 내지 약 200 ㎐의 주파수로 펄스되는, AHM을 형성하는 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 LF 전력은 약 2 ㎐ 내지 약 10 ㎐의 주파수로 펄스되는, AHM을 형성하는 방법.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 증착된 하드마스크는 1의 계수 대 응력 비 (modulus to stress ratio) 를 갖는, AHM을 형성하는 방법.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 증착된 하드마스크는 산화물, 질화물 또는 폴리실리콘층에 대해 약 3.6 내지 약 4.4의 에칭 선택도를 갖는, AHM을 형성하는 방법.
  9. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 증착된 하드마스크는 약 15%보다 작은 수소 함유량을 갖는, AHM을 형성하는 방법.
  10. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 AHM은 약 275℃ 내지 550℃의 프로세스 온도로 증착되는, AHM을 형성하는 방법.
  11. 비정질 탄소층을 형성하는 방법에 있어서,
    탄화 수소 프리커서 가스를 포함하는 프로세스 가스에 반도체 기판을 노출시키는 단계; 및
    고주파수 (HF) 성분 및 저주파수 (LF) 성분을 포함하는 듀얼 무선 주파수 (RF) 플라즈마 소스에 의해 생성된 플라즈마를 사용하는 PECVD (plasma enhanced chemical vapor deposition) 프로세스에 의해 비정질 탄소층을 상기 기판 상에 증착하는 단계를 포함하고,
    증착 동안 HF 전력은 일정한 반면, LF 전력은 펄스되는, 비정질 탄소층을 형성하는 방법.
  12. 제11항에 있어서,
    상기 LF 전력은 비-제로 전력 레벨들 사이에서 펄스되는, 비정질 탄소층을 형성하는 방법.
  13. 제11항에 있어서,
    상기 LF 전력은 상기 LF 전력을 스위칭 온하고 스위칭 오프함으로써 펄스되는, 비정질 탄소층을 형성하는 방법.
  14. 제11항 내지 제13항 중 어느 한 항에 있어서,
    상기 LF 전력은 약 2 ㎐ 내지 약 10 ㎐의 주파수로 펄스되는, 비정질 탄소층을 형성하는 방법.
  15. 반도체 기판을 프로세스하도록 구성된 장치에 있어서,
    (a) 증착 챔버로서,
    샤워헤드,
    고주파수 (HF) 성분 및 저주파수 (LF) 성분을 포함하는 듀얼 무선 주파수 (RF) 플라즈마 생성기,
    매칭 네트워크,
    기판 지지부, 및
    하나 이상의 가스 유입구들 (inlets) 을 포함하는, 상기 증착 챔버; 및
    (b) 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고,
    상기 제어기는,
    반도체 기판을 탄화 수소 프리커서 가스를 포함하는 프로세스 가스에 노출시키고,
    HF 전력을 스위칭 온하고,
    LF 전력을 펄스하고, 그리고
    PECVD (plasma enhanced chemical vapor deposition) 프로세스에 의해 AHM을 상기 기판 상에 증착하기 위한 머신 판독가능한 인스트럭션들을 포함하고,
    상기 HF 전력은 일정한 반면, 상기 LF 전력은 펄스되는, 상기 반도체 기판을 프로세스하도록 구성된 장치.
KR1020140130444A 2013-09-30 2014-09-29 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크 KR102447424B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220119327A KR102564160B1 (ko) 2013-09-30 2022-09-21 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884832P 2013-09-30 2013-09-30
US61/884,832 2013-09-30
US14/248,046 US9589799B2 (en) 2013-09-30 2014-04-08 High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US14/248,046 2014-04-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220119327A Division KR102564160B1 (ko) 2013-09-30 2022-09-21 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크

Publications (2)

Publication Number Publication Date
KR20150037638A true KR20150037638A (ko) 2015-04-08
KR102447424B1 KR102447424B1 (ko) 2022-09-23

Family

ID=52740580

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140130444A KR102447424B1 (ko) 2013-09-30 2014-09-29 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR1020220119327A KR102564160B1 (ko) 2013-09-30 2022-09-21 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220119327A KR102564160B1 (ko) 2013-09-30 2022-09-21 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크

Country Status (4)

Country Link
US (1) US9589799B2 (ko)
JP (2) JP6527677B2 (ko)
KR (2) KR102447424B1 (ko)
CN (2) CN109023311B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017062100A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
CN106148917B (zh) * 2015-04-03 2019-01-22 中芯国际集成电路制造(上海)有限公司 利用pecvd工艺淀积薄膜的方法和pecvd装置
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
KR20210116689A (ko) 2019-02-11 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 펄싱된 rf 플라즈마를 통한 막 형성
CN113710829A (zh) * 2019-03-25 2021-11-26 朗姆研究公司 高蚀刻选择性的低应力可灰化碳硬掩模
KR20220002748A (ko) * 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
TW202126840A (zh) * 2019-08-30 2021-07-16 美商蘭姆研究公司 低壓下的高密度、模數、及硬度之非晶碳膜
JP2021174905A (ja) 2020-04-27 2021-11-01 キオクシア株式会社 半導体装置の製造方法
CN115917714A (zh) * 2020-09-29 2023-04-04 朗姆研究公司 通过纯化学手段实现非晶碳硬掩模膜的沉积速率增强
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US20220127721A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Depositing Low Roughness Diamond Films
US11694902B2 (en) 2021-02-18 2023-07-04 Applied Materials, Inc. Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
US20230112644A1 (en) * 2021-09-30 2023-04-13 Texas Instruments Incorporated Carbon and/or Oxygen Doped Polysilicon Resistor
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030051692A (ko) * 2000-10-06 2003-06-25 램 리서치 코포레이션 플라즈마 처리챔버에서 단일 주파수 rf전력을 이용한웨이퍼 처리시스템, 장치 및, 방법
US20030124859A1 (en) * 1998-09-29 2003-07-03 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
KR20040023557A (ko) * 2002-09-09 2004-03-18 에이에스엠 저펜 가부시기가이샤 반도체 집적 회로의 다층 상호접속에 사용되는 층간절연막및 그 제조방법
KR20060053855A (ko) * 2004-07-29 2006-05-22 니혼 에이 에스 에무 가부시키가이샤 듀얼 챔버 플라즈마 처리 장치
KR20070015031A (ko) * 2005-07-29 2007-02-01 어플라이드 머티어리얼스, 인코포레이티드 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
KR20130086525A (ko) * 2010-03-30 2013-08-02 노벨러스 시스템즈, 인코포레이티드 컨포멀 붕소 질화물 막의 증착
KR20140068055A (ko) * 2011-09-07 2014-06-05 램 리써치 코포레이션 이중 챔버 구성의 펄스형 플라즈마 챔버

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
JP3147695B2 (ja) * 1994-02-21 2001-03-19 日新電機株式会社 ダイアモンド状炭素膜形成のためのプラズマcvd法及び装置
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JP3126594B2 (ja) * 1994-07-07 2001-01-22 株式会社半導体エネルギー研究所 プラズマcvd法を用いる成膜方法
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001234340A (ja) * 2000-02-28 2001-08-31 Bosch Automotive Systems Corp 非晶質硬質炭素膜及びその成膜方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4791636B2 (ja) * 2001-01-15 2011-10-12 日華化学株式会社 ハイブリッドパルスプラズマ蒸着装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP2004238649A (ja) * 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4494824B2 (ja) * 2004-02-24 2010-06-30 株式会社クラレ 表示装置用フィルムの製造方法
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
JP2011021256A (ja) * 2009-07-16 2011-02-03 Kochi Univ Of Technology ナノ結晶シリコン薄膜の成膜方法及びナノ結晶シリコン薄膜、並びに該薄膜を成膜する成膜装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
KR101684870B1 (ko) 2010-10-14 2016-12-09 닛산 가가쿠 고교 가부시키 가이샤 단분자층 또는 다분자층 형성용 조성물
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030124859A1 (en) * 1998-09-29 2003-07-03 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
KR20030051692A (ko) * 2000-10-06 2003-06-25 램 리서치 코포레이션 플라즈마 처리챔버에서 단일 주파수 rf전력을 이용한웨이퍼 처리시스템, 장치 및, 방법
KR20040023557A (ko) * 2002-09-09 2004-03-18 에이에스엠 저펜 가부시기가이샤 반도체 집적 회로의 다층 상호접속에 사용되는 층간절연막및 그 제조방법
KR20060053855A (ko) * 2004-07-29 2006-05-22 니혼 에이 에스 에무 가부시키가이샤 듀얼 챔버 플라즈마 처리 장치
KR20070015031A (ko) * 2005-07-29 2007-02-01 어플라이드 머티어리얼스, 인코포레이티드 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
KR20130086525A (ko) * 2010-03-30 2013-08-02 노벨러스 시스템즈, 인코포레이티드 컨포멀 붕소 질화물 막의 증착
KR20140068055A (ko) * 2011-09-07 2014-06-05 램 리써치 코포레이션 이중 챔버 구성의 펄스형 플라즈마 챔버

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017062100A1 (en) * 2015-10-09 2017-04-13 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10971364B2 (en) 2015-10-09 2021-04-06 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron carbon hardmask films
US11728168B2 (en) 2015-10-09 2023-08-15 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Also Published As

Publication number Publication date
US20150093908A1 (en) 2015-04-02
KR102564160B1 (ko) 2023-08-04
JP2015073096A (ja) 2015-04-16
JP6527677B2 (ja) 2019-06-05
JP2019096888A (ja) 2019-06-20
CN104513973A (zh) 2015-04-15
CN104513973B (zh) 2018-07-06
CN109023311A (zh) 2018-12-18
KR102447424B1 (ko) 2022-09-23
KR20220133835A (ko) 2022-10-05
US9589799B2 (en) 2017-03-07
CN109023311B (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
KR102525779B1 (ko) 황 도핑된 탄소 하드마스크들
KR102455124B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
JP7326275B2 (ja) エッチング選択性の高いアモルファスカーボン膜
US7381644B1 (en) Pulsed PECVD method for modulating hydrogen content in hard mask
KR102176750B1 (ko) 탄소 성막-에칭-애싱 갭 충전 프로세스
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR102333160B1 (ko) 플라즈마 cvd 막들에서의 오버레이의 가스 유동 프로파일 조절식 제어
US7981810B1 (en) Methods of depositing highly selective transparent ashable hardmask films
US7981777B1 (en) Methods of depositing stable and hermetic ashable hardmask films
JP2015070270A5 (ko)
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW200937517A (en) Plasma etching carbonaceous layers with sulfur-based etchants
KR102589210B1 (ko) 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입
KR102070350B1 (ko) 헤테로원자-도핑된 탄소 막들의 증착
KR102599830B1 (ko) 결함 평탄화
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
US20220336212A1 (en) Catalytic thermal deposition of carbon-containing materials

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right