JP2019096888A - パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク - Google Patents

パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク Download PDF

Info

Publication number
JP2019096888A
JP2019096888A JP2019005610A JP2019005610A JP2019096888A JP 2019096888 A JP2019096888 A JP 2019096888A JP 2019005610 A JP2019005610 A JP 2019005610A JP 2019005610 A JP2019005610 A JP 2019005610A JP 2019096888 A JP2019096888 A JP 2019096888A
Authority
JP
Japan
Prior art keywords
power
pulsed
frequency
hard mask
ahm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019005610A
Other languages
English (en)
Inventor
シリッシュ・ケー.レディ
K Reddy Sirish
チュンハイ・ジー
Chunhai Ji
チェン・シンイー
Xinyi Chen
プラモド・スブラモニウム
Subramonium Pramod
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2019096888A publication Critical patent/JP2019096888A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

【課題】プラズマ化学気相成長を用いて、高エッチング選択性かつ低応力のアッシャブル・ハードマスクを形成する方法を提供する。【解決手段】アッシャブル・ハードマスクの堆積中に、デュアル高周波プラズマ源を用いて高周波数高周波電力を一定に維持しつつ低周波数高周波電力をパルス化する。低周波数高周波電力は、非ゼロレベル間または該電力をオンとオフで切り替えることにより、パルス化することができる。【効果】堆積される高選択性アッシャブル・ハードマスクは、アッシャブル・ハードマスクへのイオンおよび原子の衝突の緩和およびアッシャブル・ハードマスクに捕捉される水素レベルの低減などの1つ以上の要因によって、応力が低減される。【選択図】図2

Description

メモリおよび論理デバイスの製造を含む半導体処理において、アモルファスカーボン膜をハードマスクおよびエッチング停止層として用いることができる。このような膜は、アッシング技術により除去できることから、アッシャブル(灰化可能)・ハードマスク(AHM)としても知られている。リソグラフィでのアスペクト比が高くなるほど、AHMは、より高いエッチング選択性が要求される。プラズマ化学気相成長(PECVD)プロセスを用いて高選択性AHMを形成する従来の方法は、結果として得られるAHMが高応力となって、ハードマスクとしてのAHMの有用性が制限される。よって、高エッチング選択性を有しつつも、低応力であるAHMを生成することが望ましい。
メモリおよび論理デバイスの製造を含む半導体処理において用いるため、応力レベルが低減するとともにエッチング選択性が向上したアッシャブル・ハードマスク(AHM)を、プラズマ化学気相成長(PECVD)により堆積させる新規の方法を提供する。いくつかの実施形態において、本方法は、炭化水素前駆体を含む処理ガスに半導体基板上の層を暴露することと、PECVDによる堆積中にデュアル高周波(RF)源を用いて一定の高周波数(HF)RF電力を維持しつつ低周波数(LF)RF電力をパルス化することによりプラズマを生成することと、を含み得る。AHMをその上に堆積させることができる層の例として、酸化物および窒化物などの誘電体層と、ポリシリコン層とが含まれる。いくつかの実施形態により、LF電力をパルス化することは、非ゼロレベル間で変調すること、またはLF電力をオンとオフで切り替えること、を含み得る。一部の実施形態では、LF電力は、約2Hz〜約200Hzの間の周波数でパルス化される。堆積は、高温または低温の処理温度で実施することができる。
一態様において、高選択性かつ低応力のAHMを堆積させる方法を提供する。いくつかの実施形態により、前駆体ガスの導入による堆積中に、HF RF電力は一定である一方で、LF RF電力はパルス化される。一部の実施形態では、堆積されるAHMは、約10%〜約25%の間の水素含有量であり、例えば約18%である。一部の実施形態では、堆積されるAHMは、約3.6〜約4.4の間のエッチング選択性を有する。
別の態様は、半導体基板上にアモルファスカーボン層を形成する方法に関する。いくつかの実施形態において、デュアルRFプラズマ源を用いて、LF RF電力をパルス化するとともに、HF電力を一定としながら、アモルファスカーボン層を堆積させる。一部の実施形態では、LF電力は、非ゼロレベル間でパルス化される。一部の実施形態では、LFは、LF電力をオンとオフで切り替えることによりパルス化される。一部の実施形態では、LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される。堆積は、高温または低温の処理温度で実施することができる。
別の態様は、半導体基板を処理するように構成された装置に関する。いくつかの実施形態により、装置は、シャワーヘッド、基板サポート、および1つ以上のガス導入口を含む成長チャンバと、成長チャンバにRF電力を印加するように構成されたHF成分とLF成分とを有するデュアルRFプラズマ発生器と、コントローラと、を備える。コントローラは、装置の動作を制御するように構成されており、炭化水素前駆体ガスを含む処理ガスを成長チャンバに流入させることと、プラズマを点火するためにデュアル周波数RF電力を成長チャンバに印加することと、HF電力を一定に保ちつつLF電力をパルス化すること、のための機械可読命令を含む。
これらおよび他の態様について、以下で図面を参照してさらに説明する。
いくつかの実施形態により、エッチング工程においてアッシャブル・ハードマスクを用いる方法の関連オペレーションを示すプロセスフロー図である。
いくつかの実施形態により、デュアル高周波プラズマ発生器の変調によってアッシャブル・ハードマスクを形成する方法の関連オペレーションを示すプロセスフロー図である。
いくつかの実施形態によるタイミングシーケンス図を示している。
様々な実施形態を実施するのに適したプラズマ化学気相成長(PECVD)チャンバの概略図を示している。
低温でアッシャブル・ハードマスクを堆積させた場合の応力および弾性率の結果を示すグラフである。
高温でアッシャブル・ハードマスクを堆積させた場合の応力および弾性率の結果を示すグラフである。
昇温脱離ガス分析法により測定された水素(H2)ガス放出を示すグラフである。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示する実施形態は、それら特定の詳細の一部または全てを省いても実施することができる。また、開示する実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示する実施形態は、具体的な実施形態に関連させて説明されるが、当然のことながら、開示する実施形態を限定するものではない。
半導体処理では、基板のパターニングおよびエッチングのために、マスキング法が用いられる。基板のアスペクト比が高くなるにつれて、高選択性ハードマスクに対する需要が高まる。高エッチング選択性を有しながらも、基板を損傷することなく容易に除去されるマスクは、基板の処理において重要である。アッシャブル・ハードマスク(AHM)は、エッチング停止層で、もしくは選択的エッチングの際に、またはフォトレジストが下層をマスクするのに十分な厚さではない可能性がある場合に、マスクとして用いることができる。また、AHMは、ディスプレイおよび他の技術用のガラス基板上で用いることもできる。
AHMは、それらが目的を果たした後に、「アッシング」、「プラズマアッシング」、または「乾式剥離」と呼ばれる手法によって、それらを除去することができる化学組成を有する。AHMの一例は、アモルファスカーボン層または膜である。AHMは、一般に、炭素と水素で構成され、さらにオプションとして、微量の1種以上のドーパント(例えば、窒素、フッ素、ホウ素、およびケイ素)が添加される。AHMの結合構造は、成膜条件に応じて、sp2(グラファイト状)もしくはsp3(ダイヤモンド状)、またはその両方の組み合わせなど、様々とすることができる。
図1は、エッチング工程においてAHMをハードマスクとして用いる方法の関連オペレーションを示すプロセスフロー図である。AHM成膜に先立って、被エッチング層を有する基板を、成長チャンバ内に準備することができる。以下の説明では、主に半導体基板について触れているが、本方法は、ガラス基板など、他のタイプの基板上の層に適用することもできる。AHMでマスクすることができる材料の例として、酸化物(例えば、SiO2)および窒化物(例えば、SiNおよびTiN)などの誘電体材料、ポリシリコン(Poly−Si)、さらに、アルミニウム(Al)、銅(Cu)、タングステン(W)などの金属、が含まれる。一部の実施形態では、本明細書に記載のAHMは、酸化物層、窒化物層、またはポリシリコン層のパターニングに用いられる。
オペレーション102では、プラズマ化学気相成長(PECVD)によりアッシャブル・ハードマスクを被エッチング層に堆積させる。PECVDプロセスは、成長チャンバ内でプラズマを発生させることを伴う。図2を参照してさらに詳しく後述するように、高周波数(HF)電力と低周波数(LF)電力とを含むデュアル高周波(RF)プラズマ源を用いることができる。プロセスによっては、1つ以上のAHM層が堆積される。
オペレーション104では、フォトレジスト層を、堆積させ、露光し、所望のエッチングパターンに従って現像する。一部の実現形態では、フォトレジストの堆積に先立って、反射防止層(ARL:Anti−Reflective Layer)をAHMの上に堆積させることができる。
オペレーション106では、AHMの露出部分をエッチングすることにより、AHMに孔を開ける。AHMへの孔開けは、フッ素リッチなドライエッチングによって実施することができる。
次に、オペレーション108では、基板層にパターンを転写するように、基板層を選択的にエッチングする。選択的エッチングは、AHM壁を略損なうことなく、基板層がエッチングされるように実施することができる。エッチングの例として、ラジカル性および/またはイオン性のエッチングを含むことができる。エッチング化学物質の例として、フッ素含有および塩素含有のエッチング化学物質など、ハロゲン系のエッチング化学物質を含むことができる。例えば、フッ化炭素含有の処理ガスから生成した容量結合プラズマを用いて、酸化物層を選択的にエッチングすることができる。処理ガスの具体例として、C48/CH22/O2/Arなど、オプションとして酸素(O2)および不活性ガスを添加した、Cxy含有の処理ガスが含まれる。
最後に、オペレーション110では、アッシング、プラズマアッシング、または乾式剥離と呼ばれる手法を用いて、AHMを除去する。アッシングは、酸素リッチなドライエッチングによって実施することができる。多くの場合、真空下のチャンバ内に酸素を導入して、RF電力によりプラズマ中に酸素ラジカルを生成し、これらがAHMと反応してそれを酸化させ、水(H2O)と一酸化炭素(CO)と二酸化炭素(CO2)にする。オプションとして、アッシングの後に、残ったAHM残渣をウェットまたはドライエッチングプロセスによって除去してもよい。結果として、所望のパターンが形成された基板層が得られる。
高アスペクト比のパターン形成では、高エッチング選択性を有するAHMを用いる。エッチング選択性は、AHM層のエッチング速度を下層と比較することにより求めることができる。また、エッチング選択性は、AHM層の弾性率または剛性を求めることにより、近似することもできる。AHMは、剛性がより大きいか、または弾性率がより高いほど、より多くのイオン衝撃を伴うエッチングプロセスにおいて、より高いエッチング速度に耐えることが可能である。従って、弾性率がより高いAHMほど、選択性はより高く、エッチング速度はより低く、高アスペクト比の半導体プロセスの処理において、より効率的かつ効果的に用いることができる。要求されるAHMのエッチング選択性および弾性率は、下層のエッチングプロセスおよび組成に依存し得るが、エッチング選択性と弾性率との相関関係(例えば、エッチング選択性がより高い場合は、弾性率がより高い)は、下層のエッチングプロセスまたは組成に関係なく同じである。本明細書で記載するような弾性率−選択性の相関関係は、ポリシリコン層、酸化物層、窒化物層を含むあらゆるタイプの下層の場合に当てはまる。
これまで知られている高選択性AHMは、応力レベルが非常に高い。AHMを形成する従来の方法は、PECVDプロセスにおいて、連続波RF電力プラズマを用いる。連続波RF電力を用いると、その結果、連続イオン衝撃が生じることで、膜密度が高くなり、これにより、原子間にsp3結合がより多く形成されることによって、エッチング選択性が高くなる。一方、連続イオン衝撃によって、過剰な非結合水素原子が膜に取り込まれることもあり得るとともに、高原子量イオンの高イオン衝撃が生じ得る。これによって、堆積されるAHMの応力が高くなることがあり、高応力のAHMは、破壊または圧縮する可能性がより高いので、AHMの適用は制限される。また、応力レベルが高くなることで、レーザアライメントがより難しくなる。
いくつかの実施形態により、本明細書において、高選択性かつ低応力のAHMを形成する方法を提供する。これらの方法により、特定の応力レベルで選択性が向上したAHM、または特定の選択性で応力レベルが低減したAHMを生成し、こうして、半導体処理におけるAHM性能を向上させる。
図2は、いくつかの実施形態により、デュアルRFプラズマ電力の変調によってAHMを形成する方法の関連オペレーションを示すプロセスフロー図を示している。方法200は、オペレーション202において、チャンバ内に基板を準備することにより開始する。基板および基板層の例については、図1を参照して上述した。図1では、AHMをハードマスクとして用いる集積方法について説明した。他のいくつかの実施形態では、本明細書で記載するAHMを、ハードマスクとしての使用に加えて、またはその代わりに、エッチング停止層として用いることもできる。次に、オペレーション204において、炭化水素前駆体を含む処理ガスに基板を暴露する。一部の実施形態では、炭化水素前駆体は、式Cxyで定義されるものとすることができ、このとき、Xは2〜10の整数であり、Yは2〜24の整数である。例として、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、トルエン(C78)が含まれる。
一部の実施形態では、2つ以上の炭化水素前駆体を導入することができる。炭化水素前駆体に加えて、前駆体ガス流を希釈するためにキャリアガスを用いることができる。キャリアガスは、ヘリウム(He)、アルゴン(Ar)、窒素(N2)、水素(H2)、またはこれらの任意の組み合わせなど、いずれかの適切なキャリアガスとすることができる。
成長チャンバ内の全圧は、約1〜約20トルの範囲とすることができる。一部の実施形態では、圧力は、約5トル〜約10トルの間である。一部の実施形態では、炭化水素前駆体は、米国特許第7981777号および第7981810号に記載されているように、例えば、約0.01トル〜約4トルの間の低分圧で導入され、これらの文献は、参照により本明細書に組み込まれる。一部の実施形態では、その分圧は、約0.2トル以下である。
前駆体ガス流量は、個々の成長チャンバおよび基板に依存する。4つの300mm基板に用いられる流量の例は、約200sccm〜約4000sccmの間のアセチレン、約1000sccm〜約20000sccmの間の水素、約1000sccm〜約20000sccmの間のヘリウムである。
本明細書で開示される流量およびRF電力は、300mmウェハ用に構成された4ステーションのツールの場合のものである。電力レベルおよび流量は、一般に、ステーション数および基板面積に対して直線的にスケーリングされる。また、流量および電力は、単位面積当たりで表すことができ、例えば、2500Wは、0.884W/cm2と表すこともできる。
本明細書で記載する方法は、所望のAHM特性を得るのに適した処理温度で用いることができ、その例は、約50℃〜約550℃の範囲に及ぶ。処理温度は、sp2結合とsp3結合の構成比が少なくとも一因となって、応力、選択性、および透過性に影響を及ぼし得る。高温では、C−H結合の容易な切断と、それに続く水素の拡散が可能であるため、より高温であるほど、sp2リッチなアモルファスカーボンネットワーク構成となる傾向がある。例えば、約500℃よりも高い温度で堆積された膜は、sp3結合に比べて、著しく多くのsp2CHおよびCH2結合を有して、炭素含有量がより多く、より高密度となることがあり、これは、より高いエッチング選択性に相関する。ところが、このような膜は、sp2カーボンのより低い光学バンドギャップに起因して、厚いハードマスクでの適用には適さない場合がある。例えば、そのような膜は、2kÅ以上では、マスクアライメントのために十分な透過性ではない場合がある。例えば、透過性の膜および透過性の劣る膜に対して、一般に、633nmレーザを用いることができる。上記で参照した米国特許第7981810号では、より低温で、さらに/または炭化水素前駆体流を希釈して、高選択性かつ透過性のAHMを堆積させるためのプロセス条件を提示している。より低温の例えば約400℃未満で堆積させたAHMは、より高温で堆積させた膜と比べて、sp2結合がより少ない。
次に、オペレーション206で、低周波数(LF)成分と高周波数(HF)成分とを含むデュアルRFプラズマ源を用いてプラズマを点火することにより、PECVDプロセスによって、基板上にアッシャブル・ハードマスクを堆積させる。いくつかの実施形態において、それらの実施形態の方法は、LF RF電力を用いて、高エネルギーイオン衝撃を発生させる。低周波数RF電力とは、約100kHz〜約2MHzの間の周波数を有するRF電力を指す。一部の実施形態では、パルス化周波数は、LF発生器の動作能力によって制限され得る。一部の実施形態では、LF RF電力は、例えば430kHzである約400kHzの周波数を有するRF電力である。一部の実施形態では、成膜中のLF電力は、基板の表面積の1cm2当たり、単位Wで表した場合、約0.001W/cm2〜約0.05W/cm2の範囲である。一部の実施形態では、LF電力は、約0〜約1.25W/cm2の範囲である。高周波数RF電力とは、約2MHz〜約60MHzの間の周波数を有するRF電力を指す。一部の実施形態では、HF RF電力は、約13.56MHzの周波数を有するRF電力である。一部の実施形態では、成膜中の、基板面積当たりのHF電力は、約0.001W/cm2〜約0.05W/cm2の範囲である。一部の実施形態では、基板面積当たりのHF電力は、約0.05W/cm2〜1.25W/cm2の範囲である。
次に、オペレーション208で、HF電力を一定としつつLF電力をパルス化する。いくつかの実施形態において、LF電力は、LF電力をオンとオフで切り替えることによりパルス化される。一部の実施形態では、LF電力は、約0W/cm2と約0.05W/cm2の間でパルス化される。いくつかの実施形態において、LF電力は、LFを非ゼロ電力レベル間で切り替えることによりパルス化される。一部の実施形態では、LF電力は、約0W/cm2と約0.02W/cm2の間でパルス化される。多くの実施形態では、HF RFとLF RFの電力は、プラズマを維持するのに十分なものである。
LFパルス化のデューティサイクル(LFがオンまたは高電力である時間の割合)は、約10%〜約70%の範囲である。いくつかの実施形態において、LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される。いくつかの実施形態において、LF電力は、少なくとも約10Hz、または少なくとも約20Hz、または少なくとも約100Hz、または少なくとも約200Hzの周波数で、パルス化される。
また、前駆体ガス流を、LF電力パルス化に同期させるか、または非同期で、パルス化することも可能である。ガスのパルス化を、RFパルス化と併用することができ、これにより、エッチング選択性を向上させるように膜特性をさらに改変することができる。例えば、処理ガスは、50%のデューティサイクルで、または0.5秒のパルス幅で、パルス化することができる。いくつかの実施形態によれば、処理ガスのパルス周波数は、約0.05Hz〜約1Hzの間である。
一部の実施形態では、HFとLFのRF成分を、同期させてパルス化することができる。HF成分がパルス化される場合には、高電力から低電力にパルス化され、プラズマシースの崩壊を回避するため、オフにされることはない。あるいは、LF RF電力のみをパルス化することが、より安定したプラズマを生成するためには効果的であり得る。
図3は、LF電力を約0.2秒間オンにし、約0.3秒間オフにする約0.5秒のパルス幅で、LF電力が2Hzでパルス化されるデュアルRFプラズマ源を用いて、PECVDによりAHMを堆積させる場合の、いくつかの実施形態についてタイミングシーケンス図を示している。3つのパルスを図3に示している。他の実施形態では、パルス周波数は、約2Hz〜約200Hzの間とすることができる。前駆体ガス流、圧力、キャリアガス流、およびHF電力のプロセスパラメータは、一定である。
LF電力をパルス化することによって、層へのイオンおよび原子の衝突が緩和され、その結果、層における応力が低減する。このように層へのイオンおよび原子の衝突を緩和することによって、膜の高密度化を最適化し、層内の水素含有量を低減する。こうして、層における応力が低減される。
いくつかの実施形態によれば、堆積されるAHMは、下層に対するエッチング選択性が、約3.6:1から約4.4:1の間である。一部の実施形態では、堆積されるAHMは、約18%の水素含有量を有する。一部の実施形態では、堆積されるAHMは、約15%未満、約10%未満、または約5%未満の水素含有量を有する。
一部の実施形態では、堆積されるAHMは、弾性率と応力の比が1:1である。一部の実施形態では、堆積されるAHMの弾性率は、約70GPaであり、応力は、約−80MPaである。
図2を参照して、オペレーション206および208は、所望の厚さの膜が堆積されるまで継続される。いくつかの実施形態によれば、約1000Å〜約90000Åの間の厚さを有する膜が堆積される。一部の実施形態では、約50Å〜約900Åの間の厚さを有するAHM薄層を堆積させることができる。
装置
実施形態は、プラズマ化学気相成長(PECVD)反応器において実施することができる。このような反応器は、多くの異なる形態をとり得る。様々な実施形態が、既存の半導体処理装置に適合し、具体的には、ラムリサーチ社(Lam Research Corporation)から入手可能なSequel(登録商標)またはVector(登録商標)反応チャンバなどのPECVD反応器である。様々な実施形態を、マルチステーションまたはシングルステーション・ツールで実施することができる。具体的な実施形態では、4ステーション成膜方式の300mm Lam Vector(登録商標)ツール、または6ステーション成膜方式の200mm Sequel(登録商標)ツールが使用される。
一般に、装置は、1つ以上のステーションをそれぞれが有する1つ以上のチャンバまたは反応器を備える。チャンバは、1枚以上のウェハを収容し、ウェハ処理に適しチャンバである。1つ以上のチャンバでは、ウェハを、その回転、振動、または他の揺動を防ぐことにより、規定の位置またはいくつかの位置に維持する。一部の実施形態では、AHMの堆積を受けるウェハは、プロセス中に、チャンバ内の1つのステーションから別のステーションに移送される。例えば、2000ÅのAHMの堆積を、1つのステーションで全て実施してもよく、または、様々な実施形態により4つのステーションのそれぞれにおいて膜の500Åを堆積させてもよい。あるいは、膜厚全体の他の割合を、任意の数のステーションで堆積させてもよい。複数のAHMが堆積される場合の様々な実施形態において、複数のステーションを使用して、それぞれのAHM層を堆積させることができる。処理中の各ウェハは、ペデスタル、ウェハチャック、および/または他のウェハ保持装置によって、所定位置に保持される。ウェハが加熱されるべきいくつかのオペレーション用として、装置は、加熱プレートなどのヒータを備えることができる。
図4は、様々な実施形態を実施するのに適したPECVDの概略図を示している。図示のように、反応器400は、処理チャンバ424を備え、これは、他の反応器コンポーネントを取り囲むとともに、接地ヒータブロック420と協働するシャワーヘッド414を含む容量型システムにより生成されるプラズマを収容する。高周波数RF発生器402および低周波数RF発生器404が、整合ネットワーク406に接続されており、これがさらにシャワーヘッド414に接続されている。整合ネットワーク406により供給される電力および周波数は、処理ガスからプラズマを発生させるのに十分なものである。コントローラは、前駆体ガスを導入し、HF RF発生器404においてHF電力をオンに切り替え、LF RF発生器402においてLF電力をオンとオフまたはハイとローの間で切り替えるための機械可読命令を供給する。コントローラ428は、機械可読システム制御ソフトウェアを実行し、機械可読命令は、大容量記憶装置に保存され、メモリデバイスにロードされ、プロセッサで実行されることで、本発明の実施形態による方法を本装置で実行させる。あるいは、制御ロジックは、コントローラ428にハードコーディングされてもよい。このような目的で、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などを用いることができる。「ソフトウェア」または「コード」が用いられる場合には、いずれも、機能的に同等のハードコーディングされたロジックが代わりに用いられてもよい。
反応器内において、ウェハサポート418により、基板416を保持する。ペデスタルは、一般に、成膜および/またはプラズマ処理反応の最中および合間に基板を保持および移送するための、チャック、フォーク、またはリフトピンを備える。チャックは、静電チャック、メカニカルチャック、または産業界および/もしくは研究において利用可能であるような他の種々のタイプのチャックとすることができる。
処理ガスは、導入口412を介して導入される。複数の原料ガスライン410がマニホールド408に接続されている。これらのガスは、オプションとして、予混合されてもよい。処理中に的確なガスが供給されることを保証するため、適切な弁操作および質量流量制御機構が採用される。化学的前駆体(複数の場合もある)が液状で供給される場合には、液流制御機構を採用する。その場合、液体は、成長チャンバに達する前に、その搬送中に気化されて、その蒸発点よりも高く加熱されたマニホールド内で他の処理ガスと混合される。
処理ガスは、排出口422を介してチャンバ400から排出される。真空ポンプ426(例えば、単段または2段の機械的乾式ポンプ、および/またはターボ分子ポンプ)により、処理ガスを取り出し、スロットル弁または振り子式弁などの閉ループ制御による流量制限装置によって、反応器内を適切な低圧に維持する。
実験
以下の例は、いくつかの実施形態の様々な側面について、さらに説明するために提示する。これらの例は、様々な側面について例示し、より明確に説明するために提示するものであって、限定するものではない。以下で記載する高周波(RF)電力レベルは、300mmウェハ用に構成された4ステーションのツールの場合のものである。
図2のプロセスの一実施形態について、実験データを収集した。図5は、低温でAHMを堆積させた場合の、GPaを単位とするAHMの弾性率を、MPaを単位とする応力の関数として示している。
AHMは、前駆体としてC22を、キャリアガスとしてN2およびHeを用いて、基板上の誘電体膜に堆積された。LF変調を用いることなく堆積されたAHMの弾性率および応力について測定を行った。そのようなデータを、図5において菱形点および実線で示している。キャリアガス流、チャンバ圧力、処理温度、および前駆体処理ガス流は、一定に維持された。表1のパラメータが使用された。
Figure 2019096888
連続波プラズマ生成を用いて、PECVD法によりAHMを堆積させた。プラズマ源により、13.56MHzの周波数でHF電力を、さらに430kHzの周波数でLF電力を、生成した。HF電力は0.35W/cm2であり、LF電力は0.42W/cm2であった。LF電力はパルス化されず、すなわち、AHM堆積中には、HF電力とLF電力の両方がオンで、かつ一定であった。
LF変調を用いて堆積されたAHMの弾性率および応力について測定を行った。そのデータを、図5において丸点および点線で示している。キャリアガス流、チャンバ圧力、処理温度、および前駆体処理ガス流は、一定に維持された。表2のパラメータが使用された。
Figure 2019096888
デュアルRFプラズマ源により、13.56MHzの周波数でHF電力を、さらに430kHzの周波数でLF電力を、生成した。HF電力は0.35W/cm2であり、LF電力は、0W/cm2と0.42W/cm2の間でパルス化された。LF電力は、2Hzの周波数でパルス化された。
非パルスAHMとパルスAHMの両方について、応力および弾性率のデータを取得した。その結果を、図5にグラフ化している。全体として、−450MPaなどの特定の応力について、LFパルス化によるAHMの弾性率は、より高くなっていた。これは、膜の剛性が大きくなったことを、ひいてはエッチング選択性が高くなったことを示唆している。特定の弾性率について、全体の応力は低減していた。例えば、60GPaのAHM弾性率について、全体の応力は−450MPaから−150MPaまで減少しており、応力が0MPaに近づくほど、AHM全体の圧縮が少ないことを示唆している。
図6のプロセスの別の実施形態について、実験データを収集した。図6は、OPOP(酸化物/ポリシリコン・スタック)エッチングにおいて高温で堆積されるAHMの、ポリシリコンに対するエッチング選択性を、MPaを単位とする応力の関数として示している。
連続波プラズマ生成を用いて堆積されたAHMについて、応力および選択性のデータを収集した。そのデータを、図6において菱形点および実線で示している。ポリシリコン基板層を、550℃で、キャリアガスHeおよびN2を伴う前駆体処理ガスC22に暴露した。キャリアガス流、チャンバ圧力、処理温度、および前駆体処理ガス流は、一定に維持された。LFパルス化によって、AHMのエッチング選択性は、200%高くなった。以下のパラメータを使用した。
Figure 2019096888
デュアルRFプラズマ源により、13.56MHzの周波数でHF電力を、さらに430kHzの周波数でLF電力を、生成した。HF電力は0.22W/cm2であり、LF電力は、0.22W/cm2であった。LF電力はパルス化されず、すなわち、AHM堆積中には、HF電力とLF電力の両方がオンにされ、かつ一定であった。
次に、パルス化されたLFによるPECVDを用いて堆積させたAHMの応力およびエッチング選択性について、データを収集した。そのデータを、図6において丸点で示している。ポリシリコン基板層を、550℃で前駆体処理ガスC22に暴露した。キャリアガス流、チャンバ圧力、処理温度、および前駆体処理ガス流は、一定であった。以下のパラメータを使用した。
Figure 2019096888
デュアルRFプラズマ源により、13.56MHzの周波数でHF電力を、さらに430kHzの周波数でLF電力を、生成した。HF電力は0.22W/cm2であり、LF電力は、0W/cm2と0.22W/cm2の間でパルス化された。LF電力は、2Hzの周波数でパルス化された。
連続波によるAHMと、LFパルス化によるAHMの両方について、エッチング選択性を測定した。その結果を、図6にグラフ化している。全体として、LFパルス化によるAHM膜のポリシリコンに対する全体の選択性は、特定の応力レベルについて、連続波プラズマによるAHM膜よりも高い選択性であった。これは、成膜プロセスにおけるLFパルス化によって、エッチング選択性が高まり、AHM技術が大きく向上することを示唆している。
従来の連続波プラズマによるAHM、およびLFパルス化によるAHMから、AHMの分子組成を測定するために、昇温脱離ガス分析法(TDS:Thermal Desorption Spectroscopy)を用いた。図7は、連続波プラズマおよびLFパルス化プラズマを用いて堆積させた両方のAHMからのTDSの結果を示している。より高温では、堆積されたAHM膜のH2ガス放出は、連続波プラズマを用いて堆積されたAHMよりも少なく、これは、AHMに残されたH含有量がより少なかったことを示唆している。AHMのH含有量がより少なかったことにより、AHMは、より安定した分子構造を有したものであって、ひいては、より高い選択性を有して、AHM性能が向上したものであった。
むすび
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載したが、添付の請求項の範囲内でいくらかの変更および変形を実施することができることは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的方法があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。
図4は、様々な実施形態を実施するのに適したPECVDチャンバの概略図を示している。図示のように、反応器400は、処理チャンバ424を備え、これは、他の反応器コンポーネントを取り囲むとともに、接地ヒータブロック420と協働するシャワーヘッド414を含む容量型システムにより生成されるプラズマを収容する。高周波数RF発生器402および周波数RF発生器404が、整合ネットワーク406に接続されており、これがさらにシャワーヘッド414に接続されている。整合ネットワーク406により供給される電力および周波数は、処理ガスからプラズマを発生させるのに十分なものである。コントローラは、前駆体ガスを導入し、HF RF発生器404においてHF電力をオンに切り替え、LF RF発生器402においてLF電力をオンとオフまたはハイとローの間で切り替えるための機械可読命令を供給する。コントローラ428は、機械可読システム制御ソフトウェアを実行し、機械可読命令は、大容量記憶装置に保存され、メモリデバイスにロードされ、プロセッサで実行されることで、本発明の実施形態による方法を本装置で実行させる。あるいは、制御ロジックは、コントローラ428にハードコーディングされてもよい。このような目的で、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などを用いることができる。「ソフトウェア」または「コード」が用いられる場合には、いずれも、機能的に同等のハードコーディングされたロジックが代わりに用いられてもよい。
のプロセスの別の実施形態について、実験データを収集した。図6は、OPOP(酸化物/ポリシリコン・スタック)エッチングにおいて高温で堆積されるAHMの、ポリシリコンに対するエッチング選択性を、MPaを単位とする応力の関数として示している。
むすび
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載したが、添付の請求項の範囲内でいくらかの変更および変形を実施することができることは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的方法があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。
適用例1:アッシャブル・ハードマスクを形成する方法であって、
炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ源により生成されるプラズマを用いて、プラズマ化学気相成長(PECVD)プロセスによって前記基板上にアッシャブル・ハードマスクを堆積させることを備え、
堆積中は、HF電力は一定である一方で、LF電力はパルス化される、方法。
適用例2:前記LF電力は、非ゼロ電力レベル間でパルス化される、請求項1に記載の方法。
適用例3:前記LF電力は、前記LF電力をオンとオフで切り替えることによりパルス化される、適用例1に記載の方法。
適用例4:LF RF電力の周波数は、約400kHzである、適用例1から3のいずれか一項に記載の方法。
適用例5:前記LF電力は、約2Hz〜約200Hzの間の周波数でパルス化される、適用例1から4のいずれか一項に記載の方法。
適用例6:前記LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される、適用例1から5のいずれか一項に記載の方法。
適用例7:前記堆積されるハードマスクは、応力に対する弾性率の比が1である、適用例1から6のいずれか一項に記載の方法。
適用例8:前記堆積されるハードマスクは、酸化物層、窒化物層、またはポリシリコン層に対するエッチング選択性が約3.6〜4.4の間である、適用例1から7のいずれか一項に記載の方法。
適用例9:前記堆積されるハードマスクは、水素含有量が約15%未満である、適用例1から8のいずれか一項に記載の方法。
適用例10:前記アッシャブル・ハードマスクは、約275℃〜550℃の間の処理温度で堆積される、適用例1から9のいずれか一項に記載の方法。
適用例11:アモルファスカーボン層を形成する方法であって、
炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ源により生成されるプラズマを用いて、プラズマ化学気相成長(PECVD)プロセスによって前記基板上にアモルファスカーボン層を堆積させることを備え、
堆積中は、HF電力は一定である一方で、LF電力はパルス化される、方法。
適用例12:前記LF電力は、非ゼロ電力レベル間でパルス化される、適用例11に記載の方法。
適用例13:前記LF電力は、前記LF電力をオンとオフで切り替えることによりパルス化される、適用例11に記載の方法。
適用例14:前記LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される、適用例11から13のいずれか一項に記載の方法。
適用例15:半導体基板を処理するように構成されている装置であって、
(a)成長チャンバであって、
シャワーヘッドと、
高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ発生器と、
整合ネットワークと、
基板サポートと、
1つまたは複数のガス導入口と、を有する成長チャンバと、
(b)当該装置の動作を制御するためのコントローラであって、
炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
HF電力をオンに切り替え、
LF電力をパルス化し、
プラズマ化学気相成長(PECVD)プロセスによって前記基板上にアッシャブル・ハードマスクを堆積させるための機械可読命令を含む、コントローラと、を備え、
前記HF電力は一定である一方で、前記LF電力はパルス化される、装置。

Claims (15)

  1. アッシャブル・ハードマスクを形成する方法であって、
    炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
    高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ源により生成されるプラズマを用いて、プラズマ化学気相成長(PECVD)プロセスによって前記基板上にアッシャブル・ハードマスクを堆積させることを備え、
    堆積中は、HF電力は一定である一方で、LF電力はパルス化される、方法。
  2. 前記LF電力は、非ゼロ電力レベル間でパルス化される、請求項1に記載の方法。
  3. 前記LF電力は、前記LF電力をオンとオフで切り替えることによりパルス化される、請求項1に記載の方法。
  4. LF RF電力の周波数は、約400kHzである、請求項1から3のいずれか一項に記載の方法。
  5. 前記LF電力は、約2Hz〜約200Hzの間の周波数でパルス化される、請求項1から4のいずれか一項に記載の方法。
  6. 前記LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される、請求項1から5のいずれか一項に記載の方法。
  7. 前記堆積されるハードマスクは、応力に対する弾性率の比が1である、請求項1から6のいずれか一項に記載の方法。
  8. 前記堆積されるハードマスクは、酸化物層、窒化物層、またはポリシリコン層に対するエッチング選択性が約3.6〜4.4の間である、請求項1から7のいずれか一項に記載の方法。
  9. 前記堆積されるハードマスクは、水素含有量が約15%未満である、請求項1から8のいずれか一項に記載の方法。
  10. 前記アッシャブル・ハードマスクは、約275℃〜550℃の間の処理温度で堆積される、請求項1から9のいずれか一項に記載の方法。
  11. アモルファスカーボン層を形成する方法であって、
    炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
    高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ源により生成されるプラズマを用いて、プラズマ化学気相成長(PECVD)プロセスによって前記基板上にアモルファスカーボン層を堆積させることを備え、
    堆積中は、HF電力は一定である一方で、LF電力はパルス化される、方法。
  12. 前記LF電力は、非ゼロ電力レベル間でパルス化される、請求項11に記載の方法。
  13. 前記LF電力は、前記LF電力をオンとオフで切り替えることによりパルス化される、請求項11に記載の方法。
  14. 前記LF電力は、約2Hz〜約10Hzの間の周波数でパルス化される、請求項11から13のいずれか一項に記載の方法。
  15. 半導体基板を処理するように構成されている装置であって、
    (a)成長チャンバであって、
    シャワーヘッドと、
    高周波数(HF)成分と低周波数(LF)成分とを含むデュアル高周波(RF)プラズマ発生器と、
    整合ネットワークと、
    基板サポートと、
    1つまたは複数のガス導入口と、を有する成長チャンバと、
    (b)当該装置の動作を制御するためのコントローラであって、
    炭化水素前駆体ガスを含む処理ガスに半導体基板を暴露し、
    HF電力をオンに切り替え、
    LF電力をパルス化し、
    プラズマ化学気相成長(PECVD)プロセスによって前記基板上にアッシャブル・ハードマスクを堆積させるための機械可読命令を含む、コントローラと、を備え、
    前記HF電力は一定である一方で、前記LF電力はパルス化される、装置。
JP2019005610A 2013-09-30 2019-01-17 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク Pending JP2019096888A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884832P 2013-09-30 2013-09-30
US61/884,832 2013-09-30
US14/248,046 US9589799B2 (en) 2013-09-30 2014-04-08 High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US14/248,046 2014-04-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014193560A Division JP6527677B2 (ja) 2013-09-30 2014-09-24 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク

Publications (1)

Publication Number Publication Date
JP2019096888A true JP2019096888A (ja) 2019-06-20

Family

ID=52740580

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014193560A Active JP6527677B2 (ja) 2013-09-30 2014-09-24 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
JP2019005610A Pending JP2019096888A (ja) 2013-09-30 2019-01-17 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014193560A Active JP6527677B2 (ja) 2013-09-30 2014-09-24 パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク

Country Status (4)

Country Link
US (1) US9589799B2 (ja)
JP (2) JP6527677B2 (ja)
KR (2) KR102447424B1 (ja)
CN (2) CN109023311B (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
CN106148917B (zh) * 2015-04-03 2019-01-22 中芯国际集成电路制造(上海)有限公司 利用pecvd工艺淀积薄膜的方法和pecvd装置
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
KR20210116689A (ko) 2019-02-11 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 펄싱된 rf 플라즈마를 통한 막 형성
CN113710829A (zh) * 2019-03-25 2021-11-26 朗姆研究公司 高蚀刻选择性的低应力可灰化碳硬掩模
KR20220002748A (ko) * 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
TW202126840A (zh) * 2019-08-30 2021-07-16 美商蘭姆研究公司 低壓下的高密度、模數、及硬度之非晶碳膜
JP2021174905A (ja) 2020-04-27 2021-11-01 キオクシア株式会社 半導体装置の製造方法
CN115917714A (zh) * 2020-09-29 2023-04-04 朗姆研究公司 通过纯化学手段实现非晶碳硬掩模膜的沉积速率增强
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US20220127721A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Depositing Low Roughness Diamond Films
US11694902B2 (en) 2021-02-18 2023-07-04 Applied Materials, Inc. Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
US20230112644A1 (en) * 2021-09-30 2023-04-13 Texas Instruments Incorporated Carbon and/or Oxygen Doped Polysilicon Resistor
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002212740A (ja) * 2001-01-15 2002-07-31 Nicca Chemical Co Ltd ハイブリッドパルスプラズマ蒸着装置
JP2005187318A (ja) * 2003-12-04 2005-07-14 Toyota Central Res & Dev Lab Inc 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
JP2005240061A (ja) * 2004-02-24 2005-09-08 Kuraray Co Ltd 炭素膜積層体の製造方法、炭素膜製造装置及び表示装置用フィルム
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP2008291344A (ja) * 2007-05-22 2008-12-04 Tes Co Ltd アモルファス炭素膜の成膜方法及びこれを用いた半導体素子の製造方法
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
JP2013526061A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
JP3147695B2 (ja) * 1994-02-21 2001-03-19 日新電機株式会社 ダイアモンド状炭素膜形成のためのプラズマcvd法及び装置
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JP3126594B2 (ja) * 1994-07-07 2001-01-22 株式会社半導体エネルギー研究所 プラズマcvd法を用いる成膜方法
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001234340A (ja) * 2000-02-28 2001-08-31 Bosch Automotive Systems Corp 非晶質硬質炭素膜及びその成膜方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP2004238649A (ja) * 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245960A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
JP2011021256A (ja) * 2009-07-16 2011-02-03 Kochi Univ Of Technology ナノ結晶シリコン薄膜の成膜方法及びナノ結晶シリコン薄膜、並びに該薄膜を成膜する成膜装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
KR101684870B1 (ko) 2010-10-14 2016-12-09 닛산 가가쿠 고교 가부시키 가이샤 단분자층 또는 다분자층 형성용 조성물
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002212740A (ja) * 2001-01-15 2002-07-31 Nicca Chemical Co Ltd ハイブリッドパルスプラズマ蒸着装置
JP2005187318A (ja) * 2003-12-04 2005-07-14 Toyota Central Res & Dev Lab Inc 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
JP2005240061A (ja) * 2004-02-24 2005-09-08 Kuraray Co Ltd 炭素膜積層体の製造方法、炭素膜製造装置及び表示装置用フィルム
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
JP2008291344A (ja) * 2007-05-22 2008-12-04 Tes Co Ltd アモルファス炭素膜の成膜方法及びこれを用いた半導体素子の製造方法
JP2013526061A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法

Also Published As

Publication number Publication date
US20150093908A1 (en) 2015-04-02
KR102564160B1 (ko) 2023-08-04
JP2015073096A (ja) 2015-04-16
JP6527677B2 (ja) 2019-06-05
CN104513973A (zh) 2015-04-15
KR20150037638A (ko) 2015-04-08
CN104513973B (zh) 2018-07-06
CN109023311A (zh) 2018-12-18
KR102447424B1 (ko) 2022-09-23
KR20220133835A (ko) 2022-10-05
US9589799B2 (en) 2017-03-07
CN109023311B (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
JP6527677B2 (ja) パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
KR102525779B1 (ko) 황 도핑된 탄소 하드마스크들
JP6527214B2 (ja) エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法
US9947549B1 (en) Cobalt-containing material removal
US9659791B2 (en) Metal removal with reduced surface roughness
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
JP2015070270A5 (ja)
TW201543567A (zh) 無鹵素之氣相矽蝕刻
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
TW200937517A (en) Plasma etching carbonaceous layers with sulfur-based etchants
US20230223263A1 (en) Inert gas implantation for hard mask selectivity improvement
WO2003056617A1 (fr) Procede de gravure et dispositif de gravure au plasma
JP2017050413A (ja) プラズマエッチング方法
KR102599830B1 (ko) 결함 평탄화
JPH1098019A (ja) 表面清浄化方法
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
TW202212256A (zh) 低應力含碳層的沉積
KR20230048108A (ko) 저응력 붕소 함유 층들의 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190208

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200312

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200331

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200929

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210323