JP2013526061A - スタック欠陥率を改善するアモルファスカーボン堆積法 - Google Patents

スタック欠陥率を改善するアモルファスカーボン堆積法 Download PDF

Info

Publication number
JP2013526061A
JP2013526061A JP2013508122A JP2013508122A JP2013526061A JP 2013526061 A JP2013526061 A JP 2013526061A JP 2013508122 A JP2013508122 A JP 2013508122A JP 2013508122 A JP2013508122 A JP 2013508122A JP 2013526061 A JP2013526061 A JP 2013526061A
Authority
JP
Japan
Prior art keywords
amorphous carbon
layer
source gas
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013508122A
Other languages
English (en)
Inventor
ボク ホーエン キム,
ハン ユイ,
ディーネッシュ パディ,
マン−ピン ツァイ,
尚美 吉田
リー イェン ミャオ,
シウ エフ. チョン,
シャヒード シェイク,
ソヒョン パク,
フンラク パク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013526061A publication Critical patent/JP2013526061A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

本明細書に記載の実施形態は、半導体基板内の特徴をパターニングおよびエッチングするための材料およびプロセスに関する。一実施形態において、基板上のスタック欠陥率を改善するための複合アモルファスカーボン層を形成する方法が提供される。方法は、プロセスチャンバ内に基板を配置すること、プロセスチャンバ内に炭化水素源ガスを導入すること、プロセスチャンバ内に希釈源ガスを導入すること、プロセスチャンバ内にプラズマ開始ガスを導入すること、プロセスチャンバ内でプラズマを生成すること、基板上にアモルファスカーボン開始層を形成することであって、炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:12以下であること、アモルファスカーボン開始層上にバルクアモルファスカーボン層を形成することであって、バルクアモルファスカーボン層を形成するために使用される炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:6以上であることにより、複合アモルファスカーボン層が形成されることを含む。

Description

本発明の実施形態は、集積回路の製造に関する。より詳細には、本発明の実施形態は、半導体基板内の特徴をパターニングおよびエッチングするための材料およびプロセスに関する。
集積回路の形状寸法は、数十年前にそのようなデバイスが最初に導入されて以来、サイズが劇的に縮小してきた。そのとき以来、集積回路は、一般的に2年/半分サイズルール(しばしばムーアの法則と呼ばれる)にしたがっており、これは、チップ上のデバイスの数が2年ごとに2倍になることを意味する。今日の製造設備は、サブ65nmさらにはサブ45nm特徴サイズさえも有するデバイスを日常的に製造しており、将来の設備は、さらに小さな特徴サイズを有するデバイスを、すぐに製造することになる。
デバイスの形状寸法が継続して減少することによって、半導体基板上でナノメートルスケールの距離で分離されるナノメートルスケールの特徴を形成する方法の要望が生じた。最小特徴サイズが減少するにつれて、半導体産業は、現在のリソグラフィプロセスで近づく光学的解像度の限界に起因して、サブ32nmパターニングの制限に面している。基板上の特徴またはデバイス間の距離を減らすために開発された1つの方法としては、パターンを基板に移送するために使用される、ハードマスク層のダブルパターニングが挙げられる。
ダブルパターニングの1つの種類としては、従来型のリソグラフィ技法を使用するコアパターンを印刷することと、その後に続く、コア特徴の周りに共形のスペーサを次いで堆積することが挙げられる。スペーサの堆積に続いて、様々な方法を適用して、コアのパターン密度の2倍となるパターン密度を達成することができる。
ダブルパターニングの1つのバージョンでは、コアパターニング材料として、ポリシリコンが選択される。しかし、ポリシリコンは、後続の膜層の堆積後により大きなサイズの欠陥へとデコレーションされる、大きな表面粗さを有する。仮にこれらの欠陥が32nmノードで無視されると、これらの欠陥は潜在的に、壊れたパターンおよびブリッジしたラインなどの典型的には命取りとなる欠陥をもたらす、リソグラフィおよびパターニング欠陥の原因となる。この現象は、「スタック欠陥率」として知られ、ポリシリコン基板に限定されない。
したがって、デコレーション効果を最小化し、それによってスタック欠陥率を著しく減少させる、半導体基板内の特徴をパターニングしエッチングするための材料およびプロセスが必要である。
本発明の実施形態は、集積回路の製造に関する。より詳細には、本発明の実施形態は、半導体基板内の特徴をパターニングおよびエッチングするための材料およびプロセスに関する。一実施形態において、基板上のスタック欠陥率を改善するための複合アモルファスカーボン層を形成する方法が提供される。方法は、基板を含むプロセスチャンバ内に炭化水素源ガスを導入すること、プロセスチャンバ内に希釈源ガスを導入すること、プロセスチャンバ内にプラズマ開始ガスを導入すること、プロセスチャンバ内でプラズマを生成すること、基板上にアモルファスカーボン開始層を形成することであって、炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:12以下であること、アモルファスカーボン開始層上にバルクアモルファスカーボン層を形成することであって、バルクアモルファスカーボン層を形成するために使用される炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:6以上であることにより複合アモルファスカーボン層が形成されることを含む。
別の実施形態において、デバイスを形成する方法が提供される。方法は、基板を含むプロセスチャンバに混合ガスを提供することによって基板上にアモルファスカーボン開始層を形成することであって、混合ガスが炭化水素源ガス、希釈源ガス、およびプラズマ開始ガスを含み、炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:12以下であること、プロセスチャンバ内でプラズマを生成し、混合ガス内の炭化水素源ガスを分解して、基板上にアモルファスカーボン開始層を形成することを含む。バルクアモルファスカーボン層は、アモルファスカーボン開始層上に形成され、炭化水素源ガスおよび希釈源ガスを使用してバルクアモルファスカーボン層を形成し、ここで、炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:6以上であることにより、複合アモルファスカーボン層が形成される。バルクアモルファスカーボン層とアモルファスカーボン開始層のうちの少なくとも1つの領域にパターンが画定され、バルクアモルファスカーボン層とアモルファスカーボン開始層のうちの少なくとも1つの領域に画定されたパターンは、バルクアモルファスカーボン層およびアモルファスカーボン開始層をマスクとして使用して基板へと移送される。
一実施形態において、堆積されたままの複合アモルファスカーボン層は、約10%水素〜約25%水素の範囲の調整可能水素成分および約75%〜約90%炭素の範囲の調整可能炭素成分を有する。別の実施形態において、堆積されたままの複合アモルファスカーボン層は、約17.5%水素の調整可能水素成分および約82.5%の調整可能炭素成分を有する。別の実施形態において、堆積されたままの複合アモルファスカーボン層は、約10%水素〜約50%水素の範囲の調整可能水素成分および約50%〜約90%炭素の範囲の調整可能炭素成分を有する。さらに別の実施形態において、堆積されたままの複合アモルファスカーボン層は、約25%水素〜約50%水素の範囲の調整可能水素成分および約50%〜約75%炭素の範囲の調整可能炭素成分を有する。
本発明の上記の特徴を詳細に理解することが可能な方法を、一部が添付の図面に示されている実施形態を参照することによって、上で簡単に要約された本発明をさらに具体的に説明する。しかし、他の同様に有効な実施形態を本発明が容認することができるので、添付の図面は、本発明の単に典型的な実施形態を示しており、したがって本発明の範囲を限定すると考えるべきでないことに留意されたい。
本明細書に記載の実施形態にしたがって、アモルファスカーボン層堆積を実施するために使用することができる、基板処理システムの概略図である。 A〜Cは、本明細書に記載の実施形態にしたがう処理の様々なステージにおける基板構造を描く概略断面図である。 A〜Lは、材料層をエッチングするために、本明細書に記載のようにアモルファスカーボン開始層を使用するためのプロセスの概略側面図である。 A〜Hは、スペースマスクダブルパターニングプロセス中で、本明細書に記載のようにアモルファスカーボン開始層を使用するためのプロセスの概略側面図である。 A〜Jは、材料層をエッチングするために、本明細書に記載のようにアモルファスカーボン開始層を使用するためのプロセスの概略側面図である。 本明細書に記載の実施形態にしたがって堆積された、アモルファスカーボンバルク膜対複合アモルファスカーボン膜についてのスタック欠陥率の比較を示すプロットを描く図である。 A〜Dは、本明細書に記載の実施形態にしたがって堆積された構造についての、横方向拡張成長の減少を例示する走査型電子顕微鏡画像を描く図である。 アモルファスカーボン成長の「間隙充填」様の性質を例示する走査型電子顕微鏡画像を描く図である。
理解しやすくするために、可能な場合は、図に共通な同一の要素を指定するため、同一の参照番号が使用されている。一実施形態の要素および特徴が、さらなる記述なしに、他の実施形態に有利に組み込まれ得ることが意図される。
本発明の実施形態は、集積回路の製造に関する。より詳細には、本発明の実施形態は、半導体基板内の特徴をパターニングおよびエッチングするための材料およびプロセスに関する。ステップカバレッジは、段差、欠陥、または特徴(表面トポグラフィ)の上に堆積された膜の厚さと、平坦な区域内の膜の厚さの比として定義される。ステップカバレッジの比較は、後続の層の堆積期間に下層の欠陥が横に拡張することによりスタック欠陥が形成することの証拠を提供する。したがって、下層の欠陥の横への拡張を抑制することが、スタック欠陥を減少させることになると考えられる。本明細書に記載のいくつかの実施形態は、化学気相堆積(CVD)プロセスを介して、「負の」ステップカバレッジに0を有するアモルファスカーボン開始層の堆積を含む。
アモルファスカーボン開始層によって、上を覆うアモルファスカーボンバルク膜の堆積の前に、下にあるトポグラフィおよび/またはシード粒子が覆われて、確実に平坦な表面を実現する。アモルファスカーボン開始層およびアモルファスカーボンバルク膜層を備えるこの複合層は、アモルファスカーボンバルク膜のみの堆積と比較して、スタック欠陥率を少なくとも1桁減らす。アモルファスカーボン開始層の厚さは、典型的には、存在する最大の欠陥の高さと実質的に一致するように選択される。一実施形態において、アモルファスカーボン開始層は、約100Å〜約1,000Å、好ましくは、約200Å〜約500Åの厚さを有する。
アモルファスカーボン開始層の堆積期間のプロセスパラメータおよび化学物質は、所望の結果に到達するために重要である。いくつかの実施形態において、アモルファスカーボン開始層が、下層の表面トポグラフィを模する典型的な共形堆積プロセスで起こるように欠陥の上部上ではなく、欠陥の側部から堆積するように、プロセスパラメータおよび前駆体流量が選択される。一実施形態において、炭化水素前駆体(C)が、H希釈剤ならびにHeまたはArなどの任意選択の不活性ガスと混合される。炭化水素前駆体とH希釈剤の比が重要である。炭化水素前駆体とH希釈剤の比がより低いことによって、アモルファスカーボン開始層のステップカバレッジが減少し、したがって、アモルファスカーボン開始層は、横に成長することなく、欠陥を「覆うこと」がより効果的となる。しかし、炭化水素前駆体とH希釈剤の比が低すぎることによって、アンダーカットおよびその後のパターニング期間にレジスト地汚れを引き起こす可能性がある、欠陥部位の周囲の周りの拡大「エッチング」をもたらす場合がある。したがって、上の2つの矛盾する要件を充たすために、改善した化学物質が提供される。いくつかの実施形態において、アモルファスカーボン開始層の堆積条件をさらに微調整して、パターンに引き起こされるエッチングの差がないように、バルク膜の膜特性とぴったりと一致する膜特性を得ることができる。
図1は、本明細書に記載の実施形態にしたがう、アモルファスカーボン開始層堆積に使用することができる、基板処理システム100の概略図である。好適なシステムの例としては、DxZ(商標)プロセスチャンバ、PRECISION 5000(登録商標)システム、PRODUCER SE(商標)プロセスチャンバおよびPRODUCER GT(商標)プロセスチャンバなどPRODUCER(商標)システムを使用することができる、CENTURA(登録商標)システムを挙げることができ、これら全ては、California州Santa ClaraのApplied Materials、Inc.から市販されている。本明細書に記載のプロセスは、他の製造業者からの基板処理システムを含む、他の基板処理システム上で実施できることが意図される。
システム100は、プロセスチャンバ125、ガスパネル130、制御ユニット110、ならびに電力供給および真空ポンプなど他のハードウェア構成要素を含む。本明細書に記載の実施形態で使用されるシステムの1つの実施形態のさらなる詳細は、同一出願人による、米国特許「High Temperature Chemical Vapor Deposition Chamber」と題する、2002年4月2日発行の、米国特許第6,364,954号に記載される。
プロセスチャンバ125は、一般的に基板支持ペデスタル150を備え、基板支持ペデスタル150は、半導体基板190などの基板を支持するために使用される。この基板支持ペデスタル150は、シャフト160に連結される移動機構(図示せず)を使用して、プロセスチャンバ125の内部で垂直方向に動く。プロセスによっては、処理の前に所望の温度に半導体基板190を加熱することができる。基板支持ペデスタル150は、埋込みヒータ要素170により加熱される。例えば、基板支持ペデスタル150は、電力供給106からヒータ要素170に電流を印加することによって、抵抗加熱することができる。今度は半導体基板190が、基板支持ペデスタル150によって加熱される。熱電対などの温度センサ172も基板支持ペデスタル150に埋め込まれ、基板支持ペデスタル150の温度を監視する。測定される温度は、フィードバックループで使用され、ヒータ要素170用の電力供給106を制御する。基板温度は、特定のプロセス用途用に選択される温度に維持または制御することができる。
真空ポンプ102を使用して、プロセスチャンバ125を排気し、プロセスチャンバ125内部の適切なガス流および圧力を維持する。シャワーヘッド120は、そこを通ってプロセスガスがプロセスチャンバ125内に導入されるのだが、基板支持ペデスタル150の上に置かれ、プロセスチャンバ125内へのプロセスガスの均一な分布を実現するようになされる。シャワーヘッド120は、ガスパネル130に接続され、ガスパネル130は、プロセスシーケンスの様々なステップで使用される様々なプロセスガスを制御および供給する。プロセスガスは、炭化水素源、水素など希釈源ガス、および任意選択でプラズマ開始ガスを含むことができ、以下で例示的なアモルファスカーボン開始層堆積プロセスの記載に関連して、より詳細に記載される。
同様に、ガスパネル130を使用して、蒸発させた様々な液体前駆体を制御および供給することができる。図示していないが、液体前駆体供給からの液体前駆体は、例えば液体噴射気化器によって蒸発させて、キャリアガスの存在下でプロセスチャンバ125に送達することができる。キャリアガスは、典型的には、窒素などの不活性ガス、またはアルゴンもしくはヘリウムなどの希ガスである。あるいは、液体前駆体は、アンプルから熱および/または真空拡張蒸発プロセスにより蒸発させることができる。
シャワーヘッド120および基板支持ペデスタル150は、1対の離間した電極も形成することができる。電場がこれらの電極間に生成されると、チャンバ125内に導入されるプロセスガスは、プラズマ192へと点火される。典型的には、基板支持ペデスタル150を、整合ネットワーク(図示せず)を介して単一周波数または2つの周波数の高周波(RF)電力(図示せず)源に接続することによって、電場が生成される。あるいは、RF電源および整合ネットワークを、シャワーヘッド120に結合する、またはシャワーヘッド120と基板支持ペデスタル150の両方に結合することができる。
PECVD技法は、電場を基板表面近傍の反応ゾーンに印加して、反応性核種のプラズマを生成することにより、反応性ガスの励起および/または解離を促進する。プラズマ内の核種の反応性によって、行われる化学反応に必要なエネルギーを減少させ、事実上そのようなPECVDプロセスに必要な温度を下げる。
ガスパネル130を介するガス流および液体流の適切な制御および調整は、質量流量コントローラ(図示せず)およびコンピュータなどの制御ユニット110により実施される。シャワーヘッド120によって、ガスパネル130からのプロセスガスをプロセスチャンバ125内に均一に分布および導入することが可能になる。例示的に、制御ユニット110は、中央処理装置(CPU)112、支持回路114、および関連する制御ソフトウェア116を含むメモリを備える。この制御ユニット110は、基板搬送、ガス流量制御、液体流量制御、温度制御、チャンバ排気など、基板処理に必要な多数のステップの自動制御の役目を果たす。プロセス混合ガスがシャワーヘッド120を出ると、炭化水素化合物のプラズマ拡張熱分解が、半導体基板190の表面195において起こり、結果として半導体基板190上に窒素ドープされたアモルファスカーボン層が堆積することになる。
図2A〜図2Cは、本明細書に記載の実施形態にしたがう処理の様々なステージにおける材料スタック200の概略断面図を描く。ベース材料210は、その上に形成される欠陥220を有して、基板表面(図示せず)上に堆積され、材料スタック200を形成し始める。ベース材料210は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含む、半導体デバイスを形成するのに使用される1つまたは複数の材料であってよい。アモルファスカーボン開始層230は、ベース材料210上に堆積される。アモルファスカーボン開始層230は、本明細書に記載のようなアモルファスカーボン材料であってよい。図2Bに示すように、アモルファスカーボン開始層230は、実質的にゼロのステップカバレッジを有し、欠陥220の横方向成長を抑制する。アモルファスカーボン開始層の厚さは、存在する最大の欠陥の高さと実質的に一致するように選択することができる。一実施形態において、アモルファスカーボン開始層は、約100Å〜約1,000Åの厚さを有する。一実施形態において、アモルファスカーボン開始層は、約200Å〜約500Åの厚さを有する。図2Cに示すように、バルクアモルファスカーボン層240は、アモルファスカーボン開始層230上に堆積され、複合層250を形成する。バルクアモルファスカーボン層240は、例えば、California州Santa ClaraのApplied Materials、Inc.から市販されている、アドバンスドパターニングフィルム(商標)(APF)材料、あるいは、本明細書に記載のアモルファスカーボン材料であってよい。
アモルファスカーボン開始層の形成
本明細書に記載の一実施形態において、アモルファスカーボン開始層230などのアモルファスカーボン開始層は、炭化水素源、希釈ガス、およびプラズマ開始ガスを、図1に関連して上で記載したプロセスチャンバ125などのプロセスチャンバ内に導入することを含むプロセスによって形成される。一実施形態において、炭化水素源ガスは、1つまたは複数の炭化水素化合物、および、任意選択でアルゴンなどのキャリアガスの混合物である。
一実施形態において、炭化水素化合物は、炭化水素化合物のフッ素含有誘導体、酸素含有誘導体、水酸基含有誘導体、およびホウ素含有誘導体を含む、炭化水素化合物の部分的または完全にドープされた誘導体であってよい。
炭化水素源ガスに含むことができる炭化水素化合物または炭化水素化合物の誘導体は、化学式Cによって記述することができ、上式で、xは1〜10の範囲を有し、yは2〜30の範囲を有する。
好適な炭化水素化合物としては、以下の化合物のうちの1つまたは複数が挙げられる。例えば、アセチレン(C)、プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、ビニルアセチレンおよびそれらの誘導体などのアルキン、ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、メチルベンゾエート、酢酸フェニル、フェノール、クレゾール、フランなどの芳香族炭化水素、アルファ−テルピネン、シメン、1,1,3,3,−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチル−メタクリレート、およびt−ブチルフルフリルエーテル、化学式CおよびCを有する化合物、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼンなどを含むハロゲン化芳香族化合物。さらなる好適な炭化水素としては、エチレン、プロピレン、ブチレン、ペンテンなどのアルケン、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンなどのジエンが挙げられ、ハロゲン化アルケンとしては、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどが挙げられる。
好適な希釈ガスとしては、水素(H)、アンモニア(NH)、CO、COおよびこれらの組合せが挙げられる。
同様に、所望であれば、とりわけ窒素(N)、アンモニア(NH)、またはこれらの組合せなどの様々なガスを混合ガスに加えることができる。Ar、He、およびNを使用して、アモルファスカーボン開始層の密度および堆積速度を制御する。Nおよび/またはNHの添加を使用して、アモルファスカーボン開始層の水素比を制御することができる。
いくつかの実施形態において、アモルファスカーボン開始層堆積プロセスは、炭化水素化合物の前、炭化水素化合物の後、および/または炭化水素化合物と同時にチャンバに導入されるプラズマ開始ガスを使用することを含み、プラズマが堆積を開始し始める。プラズマ開始ガスは、高いイオン化電位のガスであって、限定するものではないが、ヘリウムガス、水素ガス、窒素ガス、アルゴンガスおよびこれらの組合せを挙げることができ、これらのうちでヘリウムガスが好ましい。プラズマ開始ガスは、ヘリウムガス、窒素ガス、アルゴンガスおよびこれらの組合せなど化学的に不活性なガスであっても良く、これらのうちでアルゴンガスが好ましい。ガスにとっての好適なイオン化電位は、約5eV(電子ポテンシャル)から25eVである。プラズマ開始ガスは、窒素含有炭化水素源ガスおよび/または炭化水素源ガスの前にチャンバ内に導入して良く、このことによって、安定なプラズマを形成することが可能となり、アークを起こす可能性を減少させる。希釈ガスまたはキャリアガスとして使用されるアルゴンなどの不活性ガスを、プラズマ開始ガス、窒素含有炭化水素源、炭化水素源、またはこれらの組合せとともに導入することができる。
一実施形態において、アモルファスカーボン開始層堆積用に導入される炭化水素化合物と希釈ガス流との比は、約1:3以下、例えば、約1:15〜約1:8など約1:32〜1:3とすることができる。一実施形態において、導入される炭化水素化合物と希釈ガスとの比は、約1:12以下、例えば、約1:18〜約1:14とすることができる。一実施形態において、導入される炭化水素化合物と希釈ガス流との比は、約1:15以下とすることができる。一実施形態において、導入される炭化水素化合物と希釈ガスとの比は、約1:32〜約1:18とすることができる。
アモルファスカーボン開始層は、約0.5トル〜約20トルなど約0.5トル以上、一実施形態では、約6トル〜約8トルなど約6トル以上のチャンバ圧力を維持することによって、プロセスガスから堆積することができる。一実施形態では、チャンバ圧力を、例えば約3トルといった、約1トル〜9トルに維持してよい。
アモルファスカーボン開始層は、例えば約500°C〜約650°Cといった、約200°C〜約700°Cの温度または約300°C〜約650°Cの温度など、約0°C〜約800°Cの基板温度を維持するチャンバ内で、炭化水素源ガスおよび希釈ガス源から堆積することができる。いくつかの実施形態において、約200°C〜約300°Cの低い基板温度を使用することができる。アモルファスカーボン開始層を高い温度で堆積することによって、表面原子の拡散が向上することに起因するよりよい欠陥「埋込み(buring)」能力、および膜のエッチング選択性を相応に改善するより稠密な膜をもたらすことが観察された。
アモルファスカーボン開始層を堆積するとき、シャワーヘッドと基板表面との間の電極間隔は、例えば約400ミル間隔といった、約100ミル〜5,000ミルの間隔であってよい。
プラズマが使用される、いくつかの実施形態において、炭化水素源、希釈ガス源、およびプラズマ開始ガスがチャンバ内に導入され、プラズマが堆積を開始し始める。2つの周波数のRFシステムを使用して、プラズマを生成することができる。2つの周波数のRF電力供給は、流束およびイオンエネルギーを独立に制御することを可能にすると考えられる。というのは、膜表面を叩くイオンのエネルギーが膜密度に影響すると考えられるからである。高周波数プラズマがプラズマ密度を制御し、低周波数プラズマが基板表面を叩くイオンの運動エネルギーを制御すると考えられる。混合RF電力の2つの周波数源は、例えば約13.56MHzといった、約10MHz〜約30MHzの範囲の高周波電力、ならびに例えば約350KHzといった、約10KHz〜約1MHzの範囲の低周波電力を提供する。2つの周波数のRFシステムを使用してアモルファスカーボン開始層を堆積するとき、第2のRF電力と全体の混合周波数電力の比は、好ましくは約0.6対1.0(0.6:1)より低い。印加するRF電力および1つまたは複数の周波数を使用することは、基板サイズおよび使用する装置に基づいて変えることができる。いくつかの実施形態において、単一の周波数のRF電力供給を使用することができ、典型的には、本明細書に記載のような高周波数電力の供給である。
プラズマは、例えば約1.55W/cmといった、約0.01〜約2W/cmなど、約0.01W/cm〜約5W/cmの、基板表面積に対する電力密度でRF電力を印加することにより生成することができる。電力供給は、300mm基板用で、例えば約1,400Wといった、約1,000W〜約1,700Wなど、約1ワット〜約2,000ワットであってよい。いくつかの実施形態において、電力供給は、約500ワット〜約600ワットであってよい。
300mm円形基板上にアモルファスカーボン開始層を堆積するための例示的な堆積プロセスは、高温で行われ、アルゴンなどのプラズマ開始ガス、プロピレン(C)などの炭化水素源、および水素(H)などの希釈ガスを使用する。プロセスは、アルゴンなどのプラズマ開始ガスを、例えば約1,000sccm〜約2,000sccmといった、約0sccm〜約50,000sccmの流量で供給すること、プロピレン(C)などの炭化水素源を、例えば約200sccm〜約700sccmといった、約100sccm〜約50,000sccmの流量で供給すること、水素(H)などの希釈ガス源を例えば約7,000sccm〜約9,000sccmといった、約10sccm〜約20,000sccmの流量で供給すること、2つの周波数のRF電力(約13.56MHz)を、例えば約1,200W〜1,600Wといった、約10W〜約2,000Wで印加すること、チャンバ圧力を、例えば約5トル〜8トルといった、約0.5トル〜約20トルで維持すること、および基板温度を約500°C〜約600°Cに維持することを含む。このプロセス範囲は、約100Å/分〜約1,000Å/分の範囲でアモルファスカーボン層の堆積速度を実現する。当業者は、本明細書の開示を読めば、様々な堆積速度のアモルファスカーボン開始層を生産するための適切なプロセスパラメータを計算することができる。
300mm円形基板上にアモルファスカーボン開始層を堆積するための別の例示的な堆積プロセスは、低温で行われ、アルゴンなどのプラズマ開始ガス、プロピレン(C)などの炭化水素源、および水素(H)などの希釈ガスを使用する。プロセスは、アルゴンなどのプラズマ開始ガスを約0sccm〜約50,000sccmの流量で供給すること、プロピレン(C)などの炭化水素源を約100sccm〜約50,000sccmの流量で供給すること、水素(H)などの希釈ガス源を約10sccm〜約20,000sccmの流量で供給すること、2つの周波数のRF電力(約13.56MHz)を、例えば約500W〜600Wといった、約10W〜約2,000Wで印加すること、チャンバ圧力を、例えば約0.5トル〜4トルといった、約0.5トル〜約20トルで維持すること、および基板温度を約200°C〜約300°Cに維持することを含む。炭化水素源ガスおよび希釈源ガスの流量は、約1:32〜1:18の炭化水素化合物と希釈ガス流の量を達成するように調節することができる。当業者は、本明細書の開示を読めば、様々な堆積速度のアモルファスカーボン開始層を生産するための適切なプロセスパラメータを計算することができる。
アモルファスカーボンバルク層の形成
本明細書に記載の一実施形態において、バルクアモルファスカーボン層240などのアモルファスカーボンバルク層は、炭化水素源、希釈ガス、および任意選択でプラズマ開始ガスを、図1に関連して上で記載したプロセスチャンバ125などのプロセスチャンバ内に導入することを含むプロセスによって形成される。一実施形態において、バルクアモルファスカーボン層240は、California州Santa ClaraのApplied Materials、Inc.から市販されている、アドバンスドパターニングフィルム(商標)(APF)材料であってよい。一実施形態において、炭化水素源ガスは、1つまたは複数の炭化水素化合物、および、任意選択でアルゴンなどのキャリアガスの混合物である。一実施形態において、アモルファスカーボンバルク層を堆積するために使用される前駆体およびプロセスガスは、アモルファスカーボン開始層を堆積するために使用される前駆体と同一であるが、前駆体およびプロセスガスの流量は異なる。アモルファスカーボンバルク層を堆積するために使用されるプロセス条件は、アモルファスカーボン開始層の堆積に関連して上で記載したプロセス条件とやはり同様であってよい。
一実施形態において、以下の堆積プロセスパラメータを使用して、アモルファスカーボンバルク層を形成することができる。プロセスパラメータは、約200°C〜約700°Cの基板温度、約1トル〜約20トルのチャンバ圧力、約3W/インチ〜約20W/インチのRF電力、および約300ミル〜約600ミルのプレート間隔の範囲である。上のプロセスパラメータは、約500Å/分〜約3,000Å/分の範囲のアモルファスカーボン層の典型的な堆積速度を実現し、California州Santa ClaraのApplied Materials、Inc.から入手可能な堆積チャンバ内で300mm基板上で実施することができる。
炭化水素化合物および希釈ガスは、アモルファスカーボンバルク層堆積用に導入する炭化水素化合物と希釈ガス流との比を、例えば、約1:10〜約10:1など約1:100〜100:1といった、約1:100以上とすることができる。一実施形態において、炭化水素化合物と希釈ガス流の比は、例えば約1:2〜約1:1といった、約1:4〜約2:1など、約1:4以上とすることができ、アモルファスカーボンバルク層堆積に使用することができる。一実施形態において、炭化水素化合物と希釈ガス流の比は、例えば約1:2〜約1:1といった、約1:6〜約2:1など、約1:6以上とすることができ、アモルファスカーボンバルク層堆積に使用することができる。
300mm円形基板の上のアモルファスカーボン開始層上にアモルファスカーボンバルク層を堆積するための例示的な堆積プロセスは、アルゴンなどのプラズマ開始ガス、プロピレン(C)などの炭化水素源、および水素(H)などの希釈ガスを使用する。プロセスは、アルゴンなどのプラズマ開始ガスを、例えば約1,000sccm〜約2,000sccmの間といった、約0sccm〜約50,000sccmの流量で供給すること、プロピレン(C)などの炭化水素源を、例えば約800sccm〜約1,500sccmといった、約0sccm〜約50,000sccmの流量で供給すること、水素(H)などの希釈ガス源を、例えば約3,000sccm〜約6,000sccmといった、約10sccm〜約10,000sccmの流量で供給すること、単一のHF RFまたは2つの周波数のRF電力を、例えば約1,200W〜1,700Wといった、約10W〜約2,000Wで印加すること、チャンバ圧力を、例えば約6トル〜8トルといった、約0.5トル〜約20トルで維持すること、および基板温度を約500°C〜約600°Cに維持することを含むことができる。このプロセス範囲は、約500Å/分〜約3,000Å/分の範囲でアモルファスカーボン層の堆積速度を実現する。当業者は、本明細書の開示を読めば、様々な堆積速度のアモルファスカーボンバルク層を生産するための適切なプロセスパラメータを計算することができる。
以下の非限定的な実施例は、本明細書に記載の実施形態をさらに例示するために提供される。しかし、実施例は、全て包括的であることを意図しておらず、本明細書に記載の実施形態の範囲を限定することを意図していない。
300Åのアモルファスカーボン開始層が、Producer(登録商標)システム内のポリシリコン基板上に堆積された。アモルファスカーボン開始層は、以下のように堆積された。約400ミルの間隔で、約56秒の時間間隔の間、プロセスチャンバに約1,600sccmのアルゴンの流量を提供すること、プロセスチャンバに約8,000sccmの水素(H)の流量を提供すること、プロセスチャンバに約500sccmのプロピレン(C)の流量を提供すること、約1,400Wで高周波RF電力(13.56MHz)を印加すること、約550°Cの堆積温度を維持すること、約7トルのチャンバ圧力を維持すること。
1,700Åのアモルファスカーボンバルク層が、Producer(登録商標)システム内のアモルファスカーボン開始層上に堆積された。アモルファスカーボンバルク層は、以下のように堆積された。約400ミルの間隔で、約106秒の時間間隔の間、プロセスチャンバに約1,600sccmのアルゴンの流量を提供すること、プロセスチャンバに約4,000sccmの水素(H)の流量を提供すること、プロセスチャンバに約1,000sccmのプロピレン(C)の流量を提供すること、約1,400Wで高周波RF電力(13.56MHz)を印加すること、約550°Cの堆積温度を維持すること、約7トルのチャンバ圧力を維持すること。
図3A〜図3Lは、材料層をエッチングするために、本明細書に記載のようにアモルファスカーボン開始層を使用するためのプロセスの概略側面図である。ベース材料310が基板表面(図示せず)上に堆積され、材料スタック300を形成し始める。ベース材料310は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含む、半導体デバイスを形成するのに使用される1つまたは複数の材料であってよい。本明細書に記載の実施形態にしたがって形成されたアモルファスカーボン開始層315は、ベース材料310上に堆積され、ベース材料310の表面上に存在する任意の欠陥を隠蔽し、後続の層の堆積で平坦化した表面を実現する。図3Bに示すように、第1のアモルファスカーボン層320がアモルファスカーボン開始層315上に堆積され、第1の反射防止コーティング材料330が第1のアモルファスカーボン層320上に堆積される。アモルファスカーボン層は、California州Santa ClaraのApplied Materials、Inc.から市販されている、アドバンスドパターニングフィルム(商標)(APF)材料、あるいは、本明細書に記載のアモルファスカーボン材料であってよい。第1の反射防止コーティング材料330を使用して、リソグラフィのパターニングプロセス期間に光の反射を制御する。第1の反射防止コーティング材料330は、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、またはこれらの組合せを含むことができる。反射防止コーティング材料は、California州Santa ClaraのApplied Materials、Inc.から市販されている、DARC(商標)材料層であってよい。
図3Cに示すように、第2のアモルファスカーボン層340および第2の反射防止コーティング材料350を、連続して、第1の反射防止コーティング材料上に堆積することができる。第2のアモルファスカーボン層340および第2の反射防止コーティング材料350は、層320および第1の反射防止コーティング材料330用に堆積されたものと同一の材料であってよい。図3Dに示すように、次いでフォトレジスト材料などのレジスト層360が、第2の反射防止コーティング材料350上に堆積される。図3Eに示すように、次いでレジスト層は、リソグラフィプロセスによりパターン形成されて、パターン形成されたレジスト層361を作る。図3Fに示すように、レジスト層361内に形成される第1のパターン362は、第2のアモルファスカーボン層340に移送され、最初に第2の反射防止コーティング材料350、次いで第2のアモルファスカーボン層340を1つまたは複数のエッチングプロセスによりエッチングすることによって、パターン形成された第2のアモルファスカーボン層341を形成する。パターン形成された第2のアモルファスカーボン層341は、下にある材料にとってハードマスクとして機能する。第2の反射防止コーティング材料350は、1つもしくは複数のエッチングプロセスによって、または別個のプロセスによって除去することができる。
図3Gに示すように、共形のアモルファスカーボン層370が、第1の反射防止コーティング材料330およびパターン形成された第2のアモルファスカーボン層341上に堆積される。図3Hに示すように、共形のアモルファスカーボン層370は、異方性エッチングプロセスによってパターン形成され、側壁アモルファスカーボン材料371をもうける。側壁アモルファスカーボン材料371が存在することによって、他の場合に通常、現行のフォトリソグラフィプロセスによって達成することができるよりも、限界寸法および特徴サイズが減少した、すなわちパターン密度が増加した第2のパターン372を形成することが可能となる。パターン形成された第2のアモルファスカーボン層341は、側壁アモルファスカーボン材料371と組み合わせて、下にある第1の反射防止コーティング材料330および第1のアモルファスカーボン層320用のハードマスク層として機能することができる。
図3Iに示すように、次いで第1の反射防止コーティング材料330をエッチングして、第2のパターン372を備えるパターン形成された反射防止コーティング層331を形成する。パターン形成された第2のアモルファスカーボン層341および側壁アモルファスカーボン材料371は、エッチングプロセス期間に、または後続のプロセスによって除去される。アモルファスカーボン開始層315および第1のアモルファスカーボン層320を次いでエッチングして、下にあるベース材料310に移送される第2のパターン372を有する、パターン形成された第1のアモルファスカーボン層321およびパターン形成されたアモルファスカーボン開始層316を形成する。一実施形態において、アモルファスカーボン開始層315および第1のアモルファスカーボン層320は、単一のステップでエッチングされる。別の実施形態において、アモルファスカーボン開始層315および第1のアモルファスカーボン層320は、別個のステップでエッチングされる。図3Kに示すように、次いで、パターン形成された第1のアモルファスカーボン層321およびパターン形成されたアモルファスカーボン開始層316をハードマスク層として使用して、ベース材料310がエッチングされる。図3Lに示すように、パターン形成された第1のアモルファスカーボン層321およびパターン形成されたアモルファスカーボン開始層316を除去して、第2のパターン372を有するパターン形成されたベース材料311を備える基板表面を実現する。
代替実施形態において、図3F〜図3Lのパターン形成された第2のアモルファスカーボン層341の代わりにパターン形成されたレジスト材料が使用され、このことによって、パターン形成された第2のアモルファスカーボン層341および第2の反射防止コーティング材料350ならびに図3C〜図3Eの対応する堆積ステップおよびエッチングステップの必要をなくす。
図4A〜図4Hは、スペースマスクダブルパターニングプロセス中で、本明細書に記載のようにアモルファスカーボン開始層を使用するためのプロセスの概略側面図である。ベース材料410が基板表面上に堆積され、材料スタック400を形成し始める。ベース材料410は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含む、半導体デバイスを形成するのに使用される1つまたは複数の材料であってよい。本明細書に記載の実施形態にしたがって形成されたアモルファスカーボン開始層415は、ベース材料410上に堆積され、ベース材料410の表面上に存在する任意の欠陥を隠蔽する。図4Aに示すように、第1のアモルファスカーボン層420がアモルファスカーボン開始層415上に堆積され、第1のパターン432を有するパターン形成されたレジスト層430が第1のアモルファスカーボン層420上に形成される。アモルファスカーボン層420は、California州Santa ClaraのApplied Materials、Inc.から市販されている、アドバンスドパターニングフィルム(商標)(APF)材料、あるいは、本明細書に記載のアモルファスカーボン材料であってよい。レジスト層430は、リソグラフィプロセスによってパターン形成することができる。図4Bに示すように、次いで、パターン形成されたレジスト層430は、トリミングプロセスを受け、これによって、パターン形成されたレジスト材料の幅を狭くし、トリミングされたレジスト材料431によって画定される第2のパターン433を形成する。図4Cに示すように、次いで、第1のアモルファスカーボン層420およびアモルファスカーボン開始層415をエッチングして、第2のパターン433を移送し、パターン形成されたアモルファスカーボン層421およびパターン形成されたアモルファスカーボン開始層416を形成する。
次いで、側壁スペーサ440が、パターン形成されたアモルファスカーボン層421構造およびパターン形成されたアモルファスカーボン開始層構造に隣接して形成される。スペーサは、第1のアモルファスカーボン層420およびアモルファスカーボン開始層415と異なるエッチング速度を有するエッチング可能材料を含むことができる。好適な材料としては、例えば、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、またはこれらの組合せが挙げられる。図4Eに示すように、次いで、共形のアモルファスカーボン材料の間隙充填層450が側壁スペーサ440上に堆積される。共形のアモルファスカーボン材料は、本明細書に記載のプロセスのうちのいずれかによって堆積することができる。好ましい共形のアモルファスカーボン材料は、パターン形成されたアモルファスカーボン層421と同様のエッチング特性を有する材料である。図4Fに示すように、次いで、間隙充填層450はエッチバックされ、側壁スペーサ440を曝す。図4Gに示すように、次いで、側壁スペーサ440は、エッチングされて、ハードマスク層451を画定するベース材料410を曝す。図4Hに示すように、次いで、ベース材料410は、パターン形成エッチングされ、パターン形成されたベース材料411を形成することができる。
代替プロセスにおいて、図4Dに示すように側壁スペーサ440がパターン形成されたアモルファスカーボン層421構造およびパターン形成されたアモルファスカーボン開始層416構造に隣接して形成された後、パターン形成されたアモルファスカーボン層421およびパターン形成されたアモルファスカーボン開始層416は、次いで基板表面から剥がされる。図4E’に示すように、次いで、側壁スペーサ440がパターンを形成し、パターンは、ベース材料410用のハードマスクとして使用することができる。次いで、ベース材料410は、パターン形成エッチングされ、パターン形成されたベース材料411を形成することができる。
図5A〜図5Jは、材料層をエッチングするために、本明細書に記載のようにアモルファスカーボン開始層を使用するためのプロセスの概略側面図である。ベース材料510が基板表面(図示せず)上に堆積され、材料スタック500を形成し始める。ベース材料は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含む、半導体デバイスを形成するのに使用される1つまたは複数の材料であってよい。本明細書に記載の実施形態にしたがって形成されたアモルファスカーボン開始層515は、ベース材料510上に堆積され、ベース材料510の表面上に存在する任意の欠陥を隠蔽する。図5Bに示すように、第1のアモルファスカーボン層520がベース材料510上に堆積され、反射防止コーティング材料530が第1のアモルファスカーボン層520上に堆積される。アモルファスカーボン層は、California州Santa ClaraのApplied Materials、Inc.から市販されている、アドバンスドパターニングフィルム(商標)(APF)材料、あるいは、本明細書に記載のアモルファスカーボン材料であってよい。反射防止コーティング材料530を使用して、リソグラフィのパターニングプロセス期間に光の反射を制御する。反射防止コーティング材料530は、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、またはこれらの組合せを含むことができる。反射防止コーティング材料は、California州Santa ClaraのApplied Materials、Inc.から市販されている、DARC(商標)材料層であってよい。
図5Cに示すように、次いで、フォトレジスト材料などのレジスト層540が、反射防止コーティング材料530上に堆積される。図5Dに示すように、次いでレジスト層は、リソグラフィプロセスによりパターン形成されて、パターン形成されたレジスト層541を作る。パターン形成されたレジスト層541は、第1のエッチングパターン542を形成する。
図5Eに示すように、第2のアモルファスカーボン層550が、パターン形成されたレジスト層541上に共形にまたは実質的に共形に堆積される。図5Fに示すように、第2のアモルファスカーボン層550は、エッチングされパターン形成されて、第1のエッチングパターン542よりも減少した、例えばより狭い特徴寸法を有する第2のエッチングパターン552を形成する。共形の第2のアモルファスカーボン層550は、異方性エッチングプロセスによってパターン形成され、側壁アモルファスカーボン材料551をもうける。
側壁アモルファスカーボン材料551が存在することによって、他の場合に通常、現行のフォトリソグラフィプロセスによって達成することができるよりも、限界寸法および特徴サイズが減少した、すなわちパターン密度が増加した第2のエッチングパターン552を形成することが可能となる。そのようなプロセスによって、レジスト層内に形成される特徴解像度の限界寸法などの寸法を減少(「シュリンク(shrinked)」)して、下層内でより細かい特徴パターンを実現することができる。パターン形成されたレジスト層541は、側壁アモルファスカーボン材料551と組み合わせて、下にある反射防止コーティング材料530および第1のアモルファスカーボン層520用のハードマスク層として機能することができる。
側壁アモルファスカーボン材料551およびレジスト層541とともに形成される第2のエッチングパターン552は、第1のアモルファスカーボン層520に移送され、最初に図5Gに示すように反射防止コーティング材料530、次いで図5Hに示すように第1のアモルファスカーボン層520およびアモルファスカーボン開始層515を1つまたは複数のエッチングプロセスによりエッチングすることによって、パターン形成された第1のアモルファスカーボン層521およびパターン形成されたアモルファスカーボン開始層516を形成する。パターン形成された第1のアモルファスカーボン層521およびパターン形成されたアモルファスカーボン開始層516は、下にあるベース材料510用のハードマスクとして機能することができる。反射防止コーティング材料531は、下にある材料をエッチングする前に、1つもしくは複数のエッチングプロセスによって、または別個のプロセスによって除去することができる。
ベース材料510は、次いで、パターン形成された第1のアモルファスカーボン層521およびパターン形成されたアモルファスカーボン開始層516をハードマスク層として使用して、図5Iに示すようにエッチングされ、パターン形成された第1のアモルファスカーボン層521およびパターン形成されたアモルファスカーボン開始層516が除去されて、図5Jに示すように第2のエッチングパターン552を有するパターン形成されたベース材料511を備える基板表面を実現する。
結果
表1は、ポリシリコン基板の上に堆積された、本明細書に記載のアモルファスカーボン複合膜(アモルファスカーボン開始層ならびにアモルファスカーボン開始層の上に堆積されたアモルファスカーボンバルク膜)対ポリシリコン基板の上に直接堆積されたアモルファスカーボンバルク膜(例えば、California州Santa ClaraのApplied Materials、Inc.から入手可能なAPF 550)の、平坦化特性の比較を提供する。表1に描かれた結果は、多くのAPF550膜単独と同じ特性を備える一方、複合a:C膜は、単独で堆積されたAPF550膜に比べてスタック欠陥を最小化することを呈示する。

表1
図6は、アモルファスカーボンバルク膜対本明細書に記載の実施形態にしたがって堆積された複合アモルファスカーボン膜についてのスタック欠陥率の比較を示すプロット600を描く図である。y軸はスタック欠陥数(>0.12μm)を示し、x軸はサンプル数(スロット1、スロット2、スロット3、およびスロット4)を示す。スロット1およびスロット2の材料スタックは、1,000Åポリシリコン基板、1,000Åポリシリコン基板の上に堆積された2,000Åバルク a:c層、およびバルク a:c層の上に堆積された500Å窒化ケイ素層を備える。スロット3およびスロット4の材料スタックは、スロット1およびスロット2の材料スタックと同様であるが、本明細書に記載のアモルファスカーボン開始層が、a:cバルク層の堆積の前に、ポリシリコン基板の上に堆積された。スロット1およびスロット2の両方は、最初のポリシリコン基板上の欠陥の数と材料スタック上の欠陥の数を比較すると、測定した欠陥が増加したことを示す。比較して、スロット3およびスロット4は、ポリシリコン基板上の測定した欠陥と材料スタック上の欠陥の数を比較すると、スタック欠陥率で10倍以上減少することを示す。したがって、本明細書に記載のアモルファスカーボン開始層の堆積によって、スタック欠陥率が減少する。
図7A〜図7Dは、本明細書に記載の実施形態にしたがって堆積された構造についての、横方向拡張成長の減少を例示する走査型電子顕微鏡画像を描く。図8は、本明細書に記載の実施形態にしたがうアモルファスカーボン成長の「間隙充填」様の性質を例示する走査型電子顕微鏡画像を描く。図7A〜図7Dに示すように、本明細書に開示のプロセス条件を使用すると、図8のアモルファスカーボン成長の「間隙充填」様の性質により例示されるように、欠陥の上部上には最小に堆積する一方、欠陥の側面に成長が起こることが可能である。本明細書に記載の実施形態によって、後続の平坦な層の堆積が可能となり、スタック欠陥が全体的に減少することが可能となる。
上記は本発明の実施形態を対象としているが、本発明には他にさらなる実施形態が、本発明の基本範囲から逸脱することなく考案することができ、本発明の範囲は、以下の特許請求の範囲により決定される。

Claims (15)

  1. 基板上のスタック欠陥率を改善する複合アモルファスカーボン層形成方法であって、
    基板を収容しているプロセスチャンバ内に炭化水素源ガスを導入するステップと、
    前記プロセスチャンバ内に希釈源ガスを導入するステップと、
    前記プロセスチャンバ内にプラズマ開始ガスを導入するステップと、
    前記プロセスチャンバ内でプラズマを生成するステップと、
    前記基板上にアモルファスカーボン開始層を形成するステップであって、前記炭化水素源ガスの体積流量の、前記希釈源ガスの体積流量に対する比が1:12以下であるステップと、
    前記アモルファスカーボン開始層上にバルクアモルファスカーボン層を形成するステップであって、前記バルクアモルファスカーボン層を形成するために使用される炭化水素源ガスの体積流量の、希釈源ガスの体積流量に対する比が1:6以上であることにより、前記複合アモルファスカーボン層が形成されるステップと
    を含む方法。
  2. 前記アモルファスカーボン開始層用の、前記炭化水素源ガスの体積流量の、前記希釈源ガスの体積流量に対する前記比が約1:18〜1:14である、請求項1に記載の方法。
  3. 前記バルクアモルファスカーボン層用の、前記炭化水素源ガスの体積流量の、前記希釈源ガスの体積流量に対する前記比が約1:4〜2:1である、請求項2に記載の方法。
  4. 基板上にアモルファスカーボン開始層を形成するステップの間に、前記基板を約500°C〜約650°Cの温度に加熱するステップをさらに含む、請求項2に記載の方法。
  5. 前記堆積されたままの複合アモルファスカーボン層が、約10%水素〜約25%水素の範囲の調整可能水素成分と、約75%〜約90%炭素の範囲の調整可能炭素成分とを有する、請求項4に記載の方法。
  6. 基板上にアモルファスカーボン開始層を形成するステップの間に、前記基板を約200°C〜約300°Cの温度に加熱するステップをさらに含む、請求項1に記載の方法。
  7. 前記アモルファスカーボン開始層用の前記炭化水素源ガスの体積流量の、前記希釈源ガスの体積流量に対する前記比が1:32〜1:18である、請求項6に記載の方法。
  8. 前記堆積されたままの複合アモルファスカーボン層が、約25%水素〜約50%水素の範囲の調整可能水素成分と、約50%〜約75%炭素の範囲の調整可能炭素成分とを有する、請求項7に記載の方法。
  9. 前記希釈源ガスが水素であり、前記炭化水素源ガスがプロピレンである、請求項8に記載の方法。
  10. 前記炭化水素源ガスが、アセチレン(C)、プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、ビニルアセチレン、およびこれらの組合せからなる群から選択される、請求項1に記載の方法。
  11. 前記希釈源ガスが、水素(H)、アンモニア(NH)、CO、CO、およびこれらの組合せからなる群から選択される、請求項10に記載の方法。
  12. デバイスを形成する方法であって、
    基板上にアモルファスカーボン開始層を形成するステップであり、
    基板を含むプロセスチャンバに混合ガスを提供するステップであって、前記混合ガスが、炭化水素源ガス、希釈源ガス、およびプラズマ開始ガスを含み、前記炭化水素源ガスの体積流量の、前記希釈源ガスの体積流量に体する比が1:12以下であるステップ、および
    前記プロセスチャンバ内でプラズマを生成し、前記混合ガス内の前記炭化水素源ガスを分解して、前記基板上にアモルファスカーボン開始層を形成するステップ
    によって基板上にアモルファスカーボン開始層を形成するステップと、
    バルクアモルファスカーボン層を前記アモルファスカーボン開始層上に形成するステップであって、炭化水素源ガスおよび希釈源ガスを使用して前記バルクアモルファスカーボン層を形成し、前記炭化水素源ガスの体積流量の、前記希釈源ガスの体積流量に対する比が1:6以上であることにより、複合アモルファスカーボン層が形成されるステップと、
    前記バルクアモルファスカーボン層と前記アモルファスカーボン開始層のうちの少なくとも1つの領域にパターンを画定するステップと、
    前記バルクアモルファスカーボン層と前記アモルファスカーボン開始層のうちの前記少なくとも1つの領域に画定された前記パターンを、前記バルクアモルファスカーボン層および前記アモルファスカーボン開始層をマスクとして使用して前記基板へと移送するステップと
    を含む方法。
  13. 前記アモルファスカーボン開始層用の、前記炭化水素源ガスの体積流量の、前記希釈源ガスの前記体積流量に対する前記比が、1:32〜1:18である、請求項12に記載の方法。
  14. 基板上にアモルファスカーボン開始層を形成するステップの間に、前記基板を約200°C〜約700°Cの温度に加熱するステップをさらに含む、請求項18に記載の方法。
  15. 前記炭化水素源ガスが、アセチレン(C)、プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、ビニルアセチレンおよびこれらの組合せからなる群から選択され、
    前記希釈源ガスが、水素(H)、アンモニア(NH)、CO、COおよびこれらの組合せからなる群から選択される、
    請求項14に記載の方法。
JP2013508122A 2010-04-30 2011-04-25 スタック欠陥率を改善するアモルファスカーボン堆積法 Withdrawn JP2013526061A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33002910P 2010-04-30 2010-04-30
US61/330,029 2010-04-30
US34663110P 2010-05-20 2010-05-20
US61/346,631 2010-05-20
PCT/US2011/033750 WO2011137059A2 (en) 2010-04-30 2011-04-25 Amorphous carbon deposition method for improved stack defectivity

Publications (1)

Publication Number Publication Date
JP2013526061A true JP2013526061A (ja) 2013-06-20

Family

ID=44862106

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013508122A Withdrawn JP2013526061A (ja) 2010-04-30 2011-04-25 スタック欠陥率を改善するアモルファスカーボン堆積法

Country Status (6)

Country Link
US (2) US8227352B2 (ja)
JP (1) JP2013526061A (ja)
KR (1) KR20130115085A (ja)
CN (1) CN102939641A (ja)
TW (1) TW201216329A (ja)
WO (1) WO2011137059A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015073096A (ja) * 2013-09-30 2015-04-16 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
CN110892509A (zh) * 2017-05-16 2020-03-17 朗姆研究公司 消除光刻中随机数的收率影响
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR20130115085A (ko) * 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
KR102151611B1 (ko) * 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 초-콘포말한 탄소 막 증착
TWI567785B (zh) * 2013-03-27 2017-01-21 聯華電子股份有限公司 半導體裝置圖案化結構之製作方法
CN103343330B (zh) * 2013-06-24 2015-06-03 上海华力微电子有限公司 改善硅片背面金属污染的方法
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
KR20150055473A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 탄소 함유 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US20150200094A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
CN103972057A (zh) * 2014-05-27 2014-08-06 上海华力微电子有限公司 一种半导体精细特征尺寸图形的形成方法
US9337051B2 (en) * 2014-08-14 2016-05-10 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10246975B2 (en) * 2015-06-30 2019-04-02 Schlumberger Technology Corporation System and method for shock mitigation
CN106706172B (zh) * 2015-11-12 2021-04-02 上海丽恒光微电子科技有限公司 压力传感器的制备方法
CN106706173B (zh) * 2015-11-12 2021-04-02 上海丽恒光微电子科技有限公司 压力传感器的制备方法
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
TW202403083A (zh) * 2018-06-19 2024-01-16 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
US11198606B2 (en) * 2019-09-23 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for microelectromechanical systems (MEMS) devices to control pressure at high temperature
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8105465B2 (en) 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
KR20130115085A (ko) * 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015073096A (ja) * 2013-09-30 2015-04-16 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
JP2019096888A (ja) * 2013-09-30 2019-06-20 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
CN110892509A (zh) * 2017-05-16 2020-03-17 朗姆研究公司 消除光刻中随机数的收率影响
JP2020521320A (ja) * 2017-05-16 2020-07-16 ラム リサーチ コーポレーションLam Research Corporation リソグラフィにおける確率的な歩留まりへの影響の排除
JP7199381B2 (ja) 2017-05-16 2023-01-05 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除
JP2023036764A (ja) * 2017-05-16 2023-03-14 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除
CN110892509B (zh) * 2017-05-16 2024-02-09 朗姆研究公司 消除光刻中随机数的收率影响
JP7504977B2 (ja) 2017-05-16 2024-06-24 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Also Published As

Publication number Publication date
US8349741B2 (en) 2013-01-08
CN102939641A (zh) 2013-02-20
US20120015521A1 (en) 2012-01-19
US8227352B2 (en) 2012-07-24
WO2011137059A3 (en) 2012-02-16
TW201216329A (en) 2012-04-16
US20120208374A1 (en) 2012-08-16
KR20130115085A (ko) 2013-10-21
WO2011137059A2 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
JP2013526061A (ja) スタック欠陥率を改善するアモルファスカーボン堆積法
KR101357181B1 (ko) 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
JP2013524508A (ja) 窒素ドープされたアモルファスカーボンハードマスク
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US9589799B2 (en) High selectivity and low stress carbon hardmask by pulsed low frequency RF power
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140701