KR102151611B1 - 초-콘포말한 탄소 막 증착 - Google Patents

초-콘포말한 탄소 막 증착 Download PDF

Info

Publication number
KR102151611B1
KR102151611B1 KR1020157028449A KR20157028449A KR102151611B1 KR 102151611 B1 KR102151611 B1 KR 102151611B1 KR 1020157028449 A KR1020157028449 A KR 1020157028449A KR 20157028449 A KR20157028449 A KR 20157028449A KR 102151611 B1 KR102151611 B1 KR 102151611B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
nitrogen
substrate
gas
layer
Prior art date
Application number
KR1020157028449A
Other languages
English (en)
Other versions
KR20150131165A (ko
Inventor
스와얌부 피. 베헤라
샤히드 샤이크
프라미트 만나
만다르 비. 판디트
테르셈 수만
패트릭 레일리
디니쉬 파드히
김복현
박흥락
데렉 알. 위티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150131165A publication Critical patent/KR20150131165A/ko
Application granted granted Critical
Publication of KR102151611B1 publication Critical patent/KR102151611B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

본 발명의 구체예들은 콘포말한 탄소-기반 물질의 증착에 관한 것이다. 일 구체예에서, 본 방법은 기판 위에 사전결정된 두께를 갖는 희생 유전체 층을 증착시키고, 희생 유전체 층의 일부들을 제거하여 기판의 상부 표면을 노출시킴으로써 기판 상에 패턴화된 피쳐들을 형성시키고, 가공 챔버에 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 도입하되, 탄화수소 소스 : 플라즈마-개시 가스 : 희석 가스의 부피 유량이 1:0.5:20의 비이고, 플라즈마를 약 300℃ 내지 약 500℃의 증착 온도에서 발생시켜 패턴화된 피쳐들 및 기판의 노출된 상부 표면 상에 콘포말한 비정질 탄소 층을 증착시키고, 패턴화된 피쳐들의 상부 표면 및 기판의 상부 표면으로부터 비정질 탄소 층을 선택적으로 제거하고, 패턴화된 피쳐들을 제거하는 것을 포함한다.

Description

초-콘포말한 탄소 막 증착{ULTRA-CONFORMAL CARBON FILM DEPOSITION}
본 발명의 구체예들은 일반적으로 집적 회로들의 제작, 및 특히 에칭 공정 동안 하드 마스크 스페이서들의 측벽들을 보호하는 방법에 관한 것이다.
집적 회로들(IC)의 크기를 감소시키는 것은 성능 개선, 용량 증가 및/또는 비용 감소를 야기시킨다. 각 크기 감소는 IC들을 형성시키기 위해 더욱 정교한 기술들을 필요로 한다. 포토리소그래피(Photolithography)는 통상적으로 기판 상에 IC들을 패턴화시키기 위해 사용된다. IC의 예시적인 피쳐(feature)는 금속, 반도체 또는 절연체일 수 있는 물질의 라인(line)이다. 라인폭(linewidth)은 라인의 폭이며, 간격은 인접한 라인들 간의 거리이다. 피치(pitch)는 두 개의 인접한 라인들 상에서 동일한 포인트 간의 거리로서 정의된다. 피치는 라인폭 및 간격의 총합과 동일하다. 그러나, 광학 및 광 또는 방사선 파장과 같은 인자들로 인하여, 포토리소그래피 기술들은 최소 피치를 갖는데, 그 미만에서 특정 포토리소그래픽 기술은 피쳐들을 신뢰성 있게 형성시키지 못할 수 있다. 이에 따라, 포토리소그래픽 기술의 최소 피치는 피쳐 크기 감소를 제한할 수 있다.
이중 패턴화 공정들은 3x 및 그 이상의 피쳐들의 패턴화에서 널리 사용된다. 자가-정렬된 이중 패턴화(Self-aligned double patterning; SADP)는 포토리소그래픽 기술들의 능력을 최소 피쳐 이상으로 확장시키기 위해 사용되는 하나의 이중 패턴화 공정이다. 이러한 방법은 도 1a 내지 1f에 예시되어 있다. 도 1a를 참조로 하여, 패턴화된 코어 피쳐들(102)은 표준 포토-리소그래피 및 에칭 기술들을 이용하여 기판(100) 상의 유전체 층(114) 위에 희생 구조 물질로부터 형성된다. 패턴화된 피쳐들은 종종 플레이스홀더(placeholder)들 또는 코어들로서 지칭되고, 고해상도 포토마스크(photomask)를 이용하여 포토리소그래피 시스템의 광학 해상도 부근의 라인폭들 및/또는 간격들을 갖는다. 도 1b에 도시된 바와 같이, 하드 마스크 물질의 콘포멀한 층(conformal layer; 106)은 후속하여 코어 피쳐들(102) 위에 증착된다. 하드 마스크 스페이서들(108)은 이후에 도 1c에 도시된 바와 같이, 패턴화된 코어 피쳐들(102)의 상단 상에 증착된 하드 마스크 물질을 개방시킬 뿐만 아니라 두 개의 측벽들 사이의 바닥에 증착된 하드 마스크 물질을 제거하기 위해 수평 표면들로부터의 하드 마스크 물질을 이방성 플라즈마 에치로 우선적으로 에칭시킴으로써 코어 피쳐들(102)의 측면들 상에 형성된다. 패턴화된 코어 피쳐들(102)은 이후에 제거되어, 하드 마스크 스페이서들(108)을 남겨 놓는다(도 1d). 이러한 포인트에서, 하드 마스크 스페이서들(108)은 도 1e에 도시된 바와 같이, 유전체 리브들(dielectric rib; 116)을 형성시키기 위해 패턴을 유전체 층(114)으로 전사시키기 위한 에치 마스크로서 사용될 수 있다. 하드 마스크 스페이서들(108)은 후속하여 제거된다(도 1f). 이에 따라, 유전체 리브들(116)의 밀도는 포토-리소그래피로 패턴화된 코어 피쳐들(102)의 두 배이며, 유전체 리브들(116)의 피치는 패턴화된 코어 피쳐들(102)의 피치의 절반이다.
현재, 하드 마스크 스페이서들(108)은 실리콘 옥사이드들과 같은 에칭 가능한 물질을 사용하여 원자층 증착(ALD)에 의해 형성된다. 이러한 옥사이드들은 통상적으로 매우 낮은 온도(예를 들어, 200℃ 미만)에서 증착된다. 결과적으로, 이러한 하드 마스크 스페이서들은 고온 적용을 위해 양립 가능하지 않다. 또한, 증착된 물질 품질은 불량하며, 낮은 밀도 및 불량한 기계적 강도 및 후속 에칭 화학물질에 대한 저하된 내약품성을 갖는다. 또한, 옥사이드들 스페이서 물질들(oxides spacer material)은 에칭 후 제거를 위한 습식 세정 공정을 필요로 한다. 습식 세정은 통상적으로 CD 손실 및 언더-컷(under-cut) 문제들을 야기시키는 등방성 공정(isotropic process)이다. 이에 따라, 건식-스트립핑 가능한 스페이서 물질(dry-strippable spacer material)이 매우 요망될 수 있다.
비정질 탄소는 통상적인 건식 애싱 공정(dry ashing process)을 이용하여 이의 용이한 스트립핑 능력(strippability)에 기인하여 에치 하드 마스크 물질(etch hard mask material)에 대한 적절한 대안물이었다. 이는 SiO2, SiN, 등과 같은 다른 주변 물질들에 영향을 미치지 않으면서 탄소 막들의 선택적인 제거를 가능하게 한다. 비정질 탄소 증착에서 주요 과제들 중 하나는 최소 패턴 로딩 효과, 갭 충전 및 고 종횡비 구조물들 상에서의 평탄화 능력들과 함께 콘포말성(conformality)을 달성하는 것이다.
이에 따라, 밀도, 경도 및 모듈러스(modulus)와 같은 기계적 성질들을 보존하면서 최소 패턴 마이크로-로딩을 가지거나 이를 전혀 가지지 않는 고도로 콘포말한 비정질 탄소 막을 증착시키는 개선된 방법이 요구된다.
본 발명의 구체예들은 초-콘포말한(ultra-conformal) 탄소-기반 물질의 증착에 관한 것이다. 일 구체예에서, 본 방법은 기판 위에 사전결정된 두께를 갖는 희생 유전체 층을 증착시키고, 기판의 상부 표면을 노출시키기 위해 희생 유전체 층의 일부들을 제거함으로써 기판 상에 패턴화된 피쳐들을 형성시키고, 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 가공 챔버에 도입하되, 여기서 탄화수소 소스:플라즈마-개시 가스:희석 가스의 부피 유량이 1:0.5:20의 비이고, 약 300℃ 내지 약 500℃의 증착 온도에서 가공 챔버에서 플라즈마를 발생시켜 기판의 노출된 상부 표면 및 패턴화된 피쳐들 상에 콘포말한 비정질 탄소 층을 증착시키고, 이방성 에칭 공정을 이용하여 기판의 상부 표면 및 패턴화된 피쳐들의 상부 표면으로부터 비정질 탄소 층을 선택적으로 제거하여 콘포말한 비정질 탄소 층으로부터 형성된 측벽 스페이서들 내에 채워진 패턴화된 피쳐들을 제공하고, 희생 유전체 층으로부터 형성된 패턴화된 피쳐들을 제거하는 것을 포함한다.
다른 구체예에서, 가공 챔버에서 기판 상에 콘포말한 비정질 탄소 층을 형성시키는 방법이 제공된다. 본 방법은 기판의 상부 표면 상에 패턴화된 피쳐들을 형성시키고, 기판의 노출된 상부 표면 및 패턴화된 피쳐들 상에 콘포말한 희생 유전체 층을 증착시키고, 기판의 노출된 상부 표면 및 패턴화된 피쳐들의 상부 표면으로부터 희생 유전체 층을 선택적으로 제거하여 희생 유전체 층으로부터 형성된 제1 측벽 스페이서들 내에 채워진 패턴화된 피쳐들을 제공하고, 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 가공 챔버에 도입하되 탄화수소 소스:플라즈마-개시 가스:희석 가스의 부피 유량이 1:0.5:20의 비이고 약 300℃ 내지 약 500℃의 증착 온도에서 가공 챔버에서 플라즈마를 발생시켜 패턴화된 피쳐들 및 기판의 노출된 상부 표면 상에 콘포말한 비정질 탄소 층을 증착시키고 이방성 에칭 공정을 이용하여 패턴화된 피쳐들의 상부 표면 및 기판의 상부 표면으로부터 비정질 탄소 층을 선택적으로 제거하는 것을 포함하는 제1 측벽 스페이서들에 인접하거나 이와 접촉한 제2 측벽 스페이서들을 형성시키고, 제1 측벽 스페이서들 내에 채워진 패턴화된 피쳐들을 제거하는 것을 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 구체예들을 참조로 하여 이루어질 수 있는데, 이러한 구체예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구체예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구체예들을 허용할 수 있기 때문이다.
도 1a 내지 1f는 통상적인 이중 패턴화 공정을 나타내는 단면도들을 예시한 것이다.
도 2는 본 발명의 일 구체예에 따른 예시적인 패턴화 공정과 관련된 단계들을 도시한 순서도이다.
도 3a 내지 3e는 도 2에 기술된 순서도에 의해 형성된 구조물의 단면도들을 예시한 것이다.
도 4는 본 발명의 다른 구체예에 따른 예시적인 패턴화 공정과 관련된 단계들을 도시한 순서도이다.
도 5a 내지 5h는 도 4에 기술된 순서도에 의해 형성된 구조물의 단면도들을 예시한 것이다.
본 발명의 구체예들은 초-콘포말한 탄소-기반 물질(super-conformal carbon-based material)의 증착에 관한 것이다. 다양한 구체예들에서, 초-콘포말한 탄소-기반 물질, 예를 들어 비정질 탄소는 고해상도 포토마스크를 사용하여 패턴화된 희생 구조 물질의 피쳐들 위에 증착된다. 일 예에서, 초-콘포말한 탄소-기반 물질은 애싱 또는 에칭 공정 동안 보호 층으로서 제공되어, 탄소-기반 스페이서들에 의해 보호된 측벽들 및 노출된 상부 표면을 갖는 희생 구조 물질을 남긴다. 희생 구조 물질의 제거 시에, 잔류하는 탄소-기반 스페이서들은 하부 층 또는 구조물을 에칭시키기 위한 하드마스크 층으로서 수행할 수 있다. 본원에 기술된 공정이 주로 탄소-기반 물질을 사용한 스페이서 적용에 관한 것이지만, 본 발명의 개선된 공정은 또한 콘포말한 비정질 탄소 막, 예를 들어 갭 충전물, 고온 이온 주입을 위한 하드 마스크, 반도체 소자들을 위한 피쳐 홀 수축 또는 보호, 또는 소자 노드들을 위한 라인 에지 거칠기의 치유(healing)를 필요로 할 수 있는 다른 적용들에 적용 가능하다.
본 발명의 구체예들은 임의의 적합한 가공 챔버, 예를 들어 플라즈마 강화 화학 증기 증착(PECVD) 챔버를 이용하여 수행될 수 있다. 가공 챔버는 기판 가공 시스템에 도입될 수 있다. 본 발명을 실행하기 위해 사용될 수 있는 예시적인 기판 가공 시스템은 2002년 4월 2일에 출원된 공동으로 양도된 미국특허번호 제6,364,954호(Salvador 등)에 기술되어 있고, 이는 본원에 참고로 포함된다. 적합한 시스템들의 예들은 Applied Materials, Inc.(Santa Clara, Calif)로부터 상업적으로 입수 가능한, DxZTM 가공 챔버를 이용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCERTM 시스템들, PRODUCER GTTM 및 PRODUCER SETM 가공 챔버들을 포함한다. 다른 제조업체들로부터 입수 가능한 증착 가공 시스템들을 포함하는, 다른 증착 가공 시스템이 본원에 기술된 구체예들을 실행시키기 위해 구성될 수 있다는 것이 고려된다.
도 2는 본 발명의 일 구체예에 따른 예시적인 자가-정렬 이중 패턴화(SADP) 공정과 관련된 단계들을 도시한 공정 순서도이다. 도 3a 내지 3e는 도 2에 기술된 단계들에 의해 형성된 구조물의 단면도들을 예시한 것이다. 자가-정렬 이중 패턴화 공정이 예시 목적을 위해 선택된다는 것이 고려된다. 본 발명의 개념은 필요한 경우에, 다양한 반도체 공정들, 예를 들어 NAND 플래시 적용, DRAM 적용, 또는 CMOS 적용, 등에서 보호 스페이서 또는 보호 희생 층의 사용을 필요로 할 수 있는, 다른 증착 공정들 또는 패턴화 방식들, 예를 들어 자가-정렬 삼중 패턴화(SATP) 공정, 자가-정렬 사중 패턴화(SAQP) 공정, 비아/홀(via/hole) 수축 공정, 생산라인 후단(back end of line; BEOL), 등에 동일하게 적용 가능하다.
공정(200)은 박스(202)에서 기판(300) 상에 희생 구조 층(320)을 형성시킴으로써 개시한다. 희생 구조 층(320)은 실리콘-기반 물질, 예를 들어 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카바이드들, 또는 폴리실리콘일 수 있다. 희생 구조 층(320)을 위해 사용되는 물질들의 선택이 그 위에 형성되는 콘포말한 보호 층에 대한 에칭/애싱 속도에 따라 달라질 수 있다는 것이 고려된다.
도시되어 있지는 않지만, 하나 이상의 반사 방지 코팅 층들이 리소그래픽 패턴화 공정 동안 광의 반사를 조절하기 위해 희생 구조 층(320) 상에 증착될 수 있다. 적합한 반사 방지 코팅 층은 실리콘 디옥사이드, 실리콘 옥시니트라이드, 실리콘 니트라이드, 또는 이들의 조합들을 포함할 수 있다. 하나의 예시적인 반사 방지 코팅 층은 Applied Materials, Inc.(Santa Clara, Calif)로부터 상업적으로 입수 가능한 DARCTM 물질일 수 있다.
기판(300)은 도시된 바와 같이 실질적으로 평평한 표면(323)을 가질 수 있다. 대안적으로, 기판(300)은 패턴화된 구조물들을 가질 수 있으며, 이의 표면은 그 안에 형성된 트렌치(trench)들, 홀들, 또는 비아(via)들을 갖는다. 기판(300)이 단일 바디(single body)로서 예시되어 있지만, 기판(300)은 금속 콘택트(metal contact)들, 트렌치 격리들, 게이트들, 비트라인(bitline)들, 또는 임의의 다른 상호연결 피쳐들과 같은 반도체 소자들을 형성시에 사용되는 하나 이상의 물질들을 함유할 수 있다. 일 구체예에서, 기판(300)은 하나 이상의 금속 층들, 하나 이상의 유전체 물질들, 반도체 물질, 및 반도체 소자들을 제작하기 위해 사용되는 이들의 조합들을 포함할 수 있다. 예를 들어, 기판(300)은 적용에 따라, 옥사이드 물질, 니트라이드 물질, 폴리실리콘 물질, 등을 포함할 수 있다. 메모리 적용이 요망되는 경우들에서, 기판(300)은 실리콘 기판 물질, 옥사이드 물질, 및 니트라이드 물질을 포함할 수 있으며, 이들 사이에 폴리실리콘이 샌드위칭되거나 그러하지 않는다.
일부 구체예들에서, 기판(300)은 복수의 교대 옥사이드 및 니트라이드 물질들(즉, 옥사이드-니트라이드-옥사이드(ONO)), 하나 이상의 옥사이드 또는 니트라이드 물질들, 폴리실리콘 또는 비정질 실리콘 물질들, 비정질 실리콘과 교대하는 옥사이드들, 폴리실리콘과 교대하는 옥사이드들, 도핑된 실리콘과 교대하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교대하는 도핑되지 않은 폴리실리콘, 또는 기판의 표면 상에 증착된 도핑된 비정질 실리콘과 교대하는 도핑되지 않은 비정질 실리콘(미도시됨)을 포함할 수 있다. 기판(300)은 하기 중 하나 이상을 포함하는 물질 또는 층 스택(layer stack)일 수 있다: 결정질 실리콘, 실리콘 옥사이드, 실리콘 옥시니트라이드, 실리콘 니트라이드, 변형된 실리콘, 실리콘 게르마늄, 텅스텐, 티탄 니트라이드, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들 및 패턴화되거나 패턴화되지 않은 웨이퍼들, 절연체 상 실리콘(Silcon on insulator; SOI), 탄소 도핑된 실리콘 옥사이드들, 실리콘 니트라이드들, 도핑된 실리콘, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어, 저 k 유전체들, 및 이들의 조합들.
박스(204)에서, 레지스트 층(resist layer)(330), 예를 들어 포토레지스트 물질이 희생 구조 층(320) 상에 증착되고, 도 3a에 도시된 바와 같이 요망되는 피치로 패턴화된다.
박스(206)에서, 희생 구조 층(320)으로부터 형성된 패턴화된 피쳐들(321)은 도 3b에 도시된 바와 같이, 표준 포토-리소그래피 및 에칭 기술들에 의해 마스크로서 레지스트 층(330)을 사용하여, 기판(300) 상에 형성된다. 패턴화된 피쳐들은 때때로 플레이스홀더(placeholder)들, 만드렐(mandrel)들 또는 코어들로서 지칭되고, 사용되는 포토레지스트 물질을 기반으로 한 특정 라인폭 및/또는 간격을 갖는다. 패턴화된 피쳐들(321)의 폭은 레지스트 층(330)을 트림밍 공정(trimming process)으로 처리함으로써 조정될 수 있다. 패턴이 희생 구조 층(320)으로 전사된 후에, 임의의 잔류 포토레지스트 및 하드 마스크 물질(사용되는 경우)은 적합한 포토레지스트 스트립핑 공정을 이용하여 제거된다.
박스(208)에서, 탄소-기반 보호 층(340)은 도 3c에 도시된 바와 같이, 패턴화된 피쳐들(321) 및 기판(300)의 노출된 표면들 상에 콘포말하게 또는 실질적으로 콘포말하게 증착된다. 탄소-기반 호보 층(340)은 하기에 논의되는 신규한 공정 조건들을 사용하여 증착할 때, 적어도 약 80% 이상, 예를 들어 약 100% 이상, 예를 들어 120%의 단차 피복(step coverage)을 달성할 것이다. 탄소-기반 보호 층(340)의 두께는 약 5Å 내지 약 200Å일 수 있다. 일 구체예에서, 탄소-기반 보호 층은 비정질 탄소(a-C) 층이다. 비정질 탄소는 도핑되지 않거나 질소로 도핑될 수 있다. 일 예에서, 탄소-기반 보호 층(340)은 질소-도핑된 비정질 탄소 층이다. 질소-도핑된 비정질 탄소 층은 임의의 적합한 증착 기술들, 예를 들어 플라즈마 강화 화학 증기 증착(PECVD) 공정에 의해 증착될 수 있다. 일 구체예에서, 질소-도핑된 비정질 탄소 층은 다른 것들 중에서, 탄화수소 소스, 질소-함유 가스, 예를 들어 N2 또는 NH3, 플라즈마-개시 가스, 및 희석 가스를 PECVD 챔버로 흘려보냄으로써 증착될 수 있다. 다른 구체예에서, 질소-도핑된 비정질 탄소 층은 다른 것들 중에서, 탄화수소 소스, 질소-함유 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 PECVD 챔버로 흘려보냄으로써 증착될 수 있다. 또 다른 구체예에서, 질소-함유 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스는 패턴화된 피쳐들(321) 및 기판(300)의 노출된 표면 상에 질소-도핑된 비정질 탄소 보호 층을 형성시키기 위해 PECVD 챔버로 흘려보내어진다.
탄화수소 소스는 하나 이상의 탄화수소 화합물들의 혼합물일 수 있다. 탄화수소 소스는 하기에서 추가로 논의되는 바와 같이, 가스상 탄화수소 화합물 및/또는 액체상 탄화수소 화합물 및 캐리어 가스의 증기들을 포함하는 가스 혼합물을 포함할 수 있다. 플라즈마-개시 가스는 헬륨일 수 있는데, 왜냐하면, 이러한 것이 용이하게 이온화될 수 있기 때문이다. 그러나, 다른 가스들, 예를 들어 아르곤이 또한 사용될 수 있다. 희석 가스는 용이하게 이온화되고, 비교적 무겁고, 아르곤, 크립톤, 제논과 같은 화학적으로 불활성인 가스일 수 있다. 일부 경우들에서, 추가 수소 희석은 이후에 논의되는 바와 같이, 막 밀도를 추가로 증가시키기 위해 도입될 수 있다.
탄화수소 화합물들은 탄화수소 화합물들의 불소-, 산소-, 하이드록실 기-, 및 붕소-함유 유도체들을 포함하는, 탄화수소 화합물들의 일부 또는 전부 도핑된 유도체들일 수 있다. 탄화수소 화합물들은 질소를 함유할 수 있거나, 질소-함유 가스, 예를 들어 암모니아로 증착될 수 있거나, 탄화수소 화합물들은 불소 및 산소와 같은 치환체들을 가질 수 있다. 일반적으로, 탄화수소 소스에 포함될 수 있는 탄화수소 화합물들 또는 이들의 유도체들은 화학식 CxHy (여기서, x는 1 내지 20의 범위를 가지며, y는 1 내지 20의 범위를 갖는다)에 의해 기술될 수 있다. 다른 구체예에서, 탄화수소 소스에 포함될 수 있는 탄화수소 화합물들 또는 이들의 유도체들은 화학식 CxHyFz (여기서, x는 1 내지 24의 범위를 가지며, y는 0 내지 50의 범위를 가지며, z는 0 내지 50의 범위를 가지며, x 대 y+c의 비가 1:2 또는 그 초과이다)에 의해 기술될 수 있다. 또 다른 구체예에서, 탄화수소 소스는 산소 및/또는 질소 치환된 화합물들에 대해 화학식 CaHbOcFdNe (여기서, a는 1 내지 24의 범위를 가지며, b는 0 내지 50의 범위를 가지며, c는 0 내지 10의 범위를 가지며, d는 0 내지 50의 범위를 가지며, e는 0 내지 10의 범위를 가지며, a 대 b+c+d+e의 비는 1:2 또는 그 초과이다)에 의해 기술될 수 있다.
적합한 탄화수소 화합물들은 하기 화합물들, 예를 들어 알칸들, 예를 들어 메탄 (CH4), 에탄 (C2H6), 프로판 (C3H8), 부탄 (C4H10) 및 이의 이성질체 이소부탄, 펜탄 (C5H12) 및 이의 이성질체들 이소펜탄 및 네오펜탄, 헥산 (C6H14) 및 이의 이성질체들 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸부탄, 등 중 하나 이상을 포함한다. 추가적인 적합한 탄화수소들은 알켄들, 예를 들어 에틸렌, 프로필렌, 부틸렌 및 이의 이성질체들, 펜텐 및 이의 이성질체들, 등, 디엔들, 예를 들어 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 등을 포함할 수 있으며, 할로겐화된 알켄들은 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 등을 포함한다. 또한, 알킨들, 예를 들어 아세틸렌 (C2H2), 프로핀 (C3H4), 부틴 (C4H6), 비닐아세틸렌 및 이의 유도체들이 탄소 전구체들로서 사용될 수 있다. 추가적으로, 환형 탄화수소들, 예를 들어 벤젠, 스티렌, 톨루엔, 자일렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페닐아세틸렌 (C8H6), 페놀, 크레졸, 푸란, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠, 등을 포함하는 할로겐화된 방향족 화합물들이 사용될 수 있다. 할로겐화된 탄화수소들, 예를 들어 카본 테트라클로라이드 (CCl4), 디요오도메탄 (CH2I2), 클로로플루오로카본 (CFC), 브로모트리클로로메탄 (BrCCl3), 1,1-디클로로에틸렌, 브로모벤젠, 또는 이들의 유도체들이 또한 사용될 수 있다.
탄화수소 화합물들의 적합한 유도체들의 예들은 불화된 알칸들, 할로겐화된 알칸들, 및 할로겐화된 방향족 화합물들을 포함할 수 있지만, 이로 제한되지 않는다. 불화된 알칸들은 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 테트라플루오로메탄, 모노플루오로에탄, 테트라플루오로에탄들, 펜타플루오로에탄, 헥사플루오로에탄, 모노플루오로프로판들, 트리플루오로프로판들, 펜타플루오로프로판들, 퍼플루오로프로판, 모노플루오로부탄들, 트리플루오로부탄들, 테트라플루오로부탄들, 옥타플루오로부탄들, 디플루오로부탄들, 모노플루오로펜탄들, 펜타플루오로펜탄들, 테트라플루오로헥산들, 테트라플루오로헵탄들, 헥사플루오로헵탄들, 디플루오로옥탄들, 펜타플루오로옥탄들, 디플루오로테트라플루오로옥탄들, 모노플루오로노난들, 헥사플루오로노난들, 디플루오로데칸들, 펜타플루오로데칸들, 등을 포함할 수 있지만, 이로 제한되지 않는다. 할로겐화된 알켄들은 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 등을 포함할 수 있지만, 이로 제한되지 않는다. 할로겐화된 방향족 화합물들은 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠, 등을 포함할 수 있지만, 이로 제한되지 않는다.
질소-함유 탄화수소 소스에 포함될 수 있는 질소-함유 탄화수소 화합물들 또는 이들의 유도체들은 화학식 CxHyNz (여기서, x는 1 내지 12의 범위를 가지며, y는 2 내지 20의 범위를 가지며, z는 1 내지 10의 범위를 갖는다)에 의해 기술될 수 있다. 적합한 질소 함유 탄화수소 화합물들은 하기 화합물들 중 하나 이상을 포함할 수 있다: 메틸아민, 디메틸아민, 트리메틸아민 (TMA), 트리에틸아민, 퀴놀린, 이미다졸, 비닐 이미다졸, 아세토니트릴, 아크릴로니트릴, 아닐린, 피롤, 피리딘, 피페리딘, 및 벤조니트릴.
특정 구체예들에서, 산소-함유 탄화수소들 화합물들, 예를 들어 벤즈알데하이드, 아세토페논, 아니솔, 디에틸 에테르, 아세톤, 메탄올, 에탄올, 이소프로판올, 에탄올아민, 크레졸, 모르폴린, 또는 디비닐 에테르가 또한 비정질 탄소 막의 증착에서 사용될 수 있다.
플라즈마-개시 가스는 증착을 개시시키기 위해 탄화수소 화합물 및 플라즈마가 개시되기 전 및/또는 동시에 PECVD 챔버에 도입될 수 있다. 플라즈마-개시 가스는 헬륨 가스, 수소, 가스, 질소 가스, 아르곤 가스 및 이들의 조합물들을 포함하지만 이로 제한되지 않는 높은 이온화 전위 가스일 수 있다. 플라즈마-개시 가스는 또한, 화학적 불활성 가스, 예를 들어 헬륨 가스, 질소 가스, 또는 아르곤 가스일 수 있다. 가스들을 위한 적합한 이온화 전위들은 약 5 eV(전자 전위) 내지 25 eV이다. 플라즈마-개시 가스는 질소 함유 탄화수소 소스 및/또는 탄화수소 소스 이전제 PECVD 챔버에 도입될 수 있으며, 이는 안정한 플라즈마를 형성시키고 아크발생(arcing)의 기회들을 감소시킬 수 있다.
불활성 가스는 통상적으로 탄화수소 소스, 플라즈마-개시 가스, 질소 함유 탄화수소 소스, 또는 이들의 조합들과 함께 유동시키기 위해 희석 가스 또는 캐리어 가스로서 사용된다. 적합한 희석 가스들은 아르곤(Ar), 헬륨(He), 수소(H2), 질소(N2), 암모니아(NH3), 희가스, 예를 들어 크립톤, 제논, 또는 이들의 임의 조합물들을 포함할 수 있다. 일 예에서, 아르곤은 경제적인 이유로 희석 가스로서 사용된다. Ar, He, 및 N2는 비정질 탄소 층의 밀도 및 증착 속도를 조절하기 위해 사용될 수 있다. 일부 경우들에서, H2 및/또는 NH3의 첨가는 비정질 탄소 층의 수소 비율을 조절하기 위해 사용될 수 있다. 알킨들, 예를 들어 아세틸렌(C2H2) 또는 알켄들, 예를 들어 프로필렌이 탄화수소 소스로서 사용되는 경우들에서, 캐리어 가스는 증착 동안에 사용되지 않을 수 있다.
비정질 탄소의 콘포말성은 전구체들 및 증착 조건들의 선택에 의해 최적화될 수 있다. 일반적으로, 보다 낮은 H:C 비(<1:1 비)를 갖는 전구체들은 보다 높은 콘포말성을 산출한다. 콘포말한 비정질 탄소 막의 증착을 위한 예시적인 공정 조건들은 하기에 기술된다.
탄화수소 소스, 질소-함유 가스 및 희석 가스는 질소-도핑된 비정질 탄소를 증착시키기 위해 PECVD 챔버에 도입될 수 있다. 탄화수소 소스는 상기에 논의된 바와 같은 임의의 적합한 탄화수소 화합물일 수 있다. 액체 탄화수소 소스가 사용되는 경우에, 전구체 흐름은 약 50 mg/min 내지 약 1000 mg/min일 수 있다. 가스상 탄화수소 소스가 사용되는 경우에, 전구체 흐름은 약 100 sccm 내지 약 5000 sccm, 예를 들어 약 200 sccm 내지 약 600 sccm일 수 있다. 캐리어 가스가 사용되는 경우에, 캐리어 흐름은 약 500 sccm 내지 약 10000 sccm일 수 있다. 플라즈마-개시 가스는 상기에 논의된 바와 같은 임의의 적합한 플라즈마-개시 가스일 수 있고, 약 0 sccm 내지 약 50,000 sccm, 예를 들어 약 400 sccm 내지 약 8,000 sccm의 유량으로 흐를 수 있다. 희석 가스는 상술된 바와 같은 임의의 희석 가스일 수 있고 약 0 sccm 내지 약 5,000 sccm, 예를 들어 약 500 sccm 내지 약 1,000 sccm의 유량으로 공급될 수 있다.
다양한 구체예들에서, 질소-함유 가스는 약 1:100 내지 약 20:1, 예를 들어 약 1:40 내지 약 10:1의 질소-함유 가스 대 탄화수소 소스 비로 도입될 수 있다. 희석 가스는 약 2:1 내지 약 40:1, 예를 들어 약 20:1 내지 약 30:1의 희석 가스 대 탄화수소 소스 비로 도입될 수 있다. 일 구체예에서, 탄화수소 소스:질소-함유 가스:플라즈마-개시 가스:희석 가스의 부피 유량은 예를 들어, 약 1:1:0.5:20, 예를 들어, 약 1:0.5:0.5:20, 예를 들어, 약 1:0.2:0.5:20, 예를 들어, 약 1:0.2:0.5:30, 예를 들어, 약 1:0.2:0.5:40의 비이다.
질소-함유 탄화수소 소스 (상술된 바와 같음)가 사용되는 경우에, 질소-함유 탄화수소 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 500 sccm 내지 약 1,500 sccm의 유량으로 흐를 수 있다. 질소-함유 탄화수소 소스가 액체 전구체인 경우에, 질소-함유 탄화수소 소스 흐름은 15 mg/min 내지 2,000 mg/min, 예를 들어 100 mg/min 내지 1,000 mg/min일 수 있다. 일 구체예에서, 질소-함유 탄화수소 소스: 플라즈마-개시 가스 : 희석 가스의 부피 유량은 예를 들어, 약 1:0.5:20, 예를 들어, 약 1:0.2:20, 예를 들어, 약 1:0.8:20, 예를 들어, 약 1:1:20, 예를 들어, 약 1:0.5:30, 예를 들어, 약 1:0.5:40의 비이다.
증착 동안에, 기판 온도는 얻어진 막의 흡착 계수를 최소화하기 위하여, 약 75℃ 내지 약 650℃, 예를 들어 약 200℃ 내지 약 550℃, 예를 들어, 300℃ 내지 480℃로 유지될 수 있다. 공정 챔버는 약 100 mTorr 내지 약 100 Torr, 예를 들어 약 2 Torr 내지 약 15 Torr, 예를 들어, 약 8 Torr 이상, 예를 들어 약 20 Torr의 챔버 압력으로 유지될 수 있다. 플라즈마는 약 0.001 W/㎠ 내지 약 5 W/㎠, 예를 들어 약 0.01 W/㎠ 내지 약 1 W/㎠, 예를 들어, 약 0.04 W/㎠ 내지 약 0.07 W/㎠의 기판 표면적에 출력 밀도로 RF 전력을 인가함으로써 발생될 수 있다. 출력 적용은 300 mm 기판의 경우에 약 1 와트 내지 약 2,000 와트, 예를 들어 약 10 W 내지 약 100 W일 수 있다. RF 출력은 단일 주파수 또는 이중 주파수 중 어느 하나일 수 있다. 이중 주파수 RF 출력 적용은 플럭스(flux) 및 이온 에너지의 독립적인 조절을 제공하는 것으로 여겨지는데, 왜냐하면, 막 표면을 타격하는 이온들의 에너지가 막 밀도에 영향을 미치기 때문이다. 인가된 RF 출력 및 하나 이상의 주파수들의 사용은 사용되는 기판 크기 및 장비를 기초로 하여 달라질 수 있다. 단일 주파수 출력이 사용되는 경우에, 주파수 출력은 약 10KHz 내지 약 30MHz, 예를 들어, 약 13.56MHz 이상, 예를 들어 27MHz 또는 60MHz일 수 있다. 이중-주파수 RF 출력이 플라즈마를 발생시키기 위해 사용되는 경우에, 혼합된 RF 출력이 사용될 수 있다. 혼합된 RF 출력은 약 10 MHz 내지 약 60 MHz의 범위, 예를 들어, 약 13.56 MHz, 27MHz 또는 60MHz의 고주파수 출력, 뿐만 아니라 약 10 KHz 내지 약 1 MHz의 범위, 예를 들어, 약 350 KHz의 저주파수 출력을 제공할 수 있다. 전극 간격, 즉 기판과 샤워헤드 간의 거리는 약 200 mil 내지 약 1000 mil, 예를 들어 약 280 mil 내지 약 300 mil 간격일 수 있다.
본원에서 논의되는 바와 같은 공정 범위는 질소 도핑된 탄소 층에 대하여 약 10Å/min 내지 약 30,000Å/min 범위의 증착 속도를 제공한다. 당업자는, 본원의 설명을 읽을 시에, 상이한 증착 속도들의 질소 도핑된 비정질 탄소 막을 형성시키기 위해 적절한 공정 파라미터들을 계산할 수 있다. 증착시 질소-도핑된 비정질 탄소 층은 약 0.1% 질소 내지 약 10% 질소, 예를 들어 약 2% 내지 약 6% 범위인 조정가능한 탄소:질소 비를 갖는다. 본원에 기술된 공정들에 의해 증착된 질소 도핑된 비정질 탄소 물질들의 예는 하기와 같이 제공된다.
다시 도 2를 참조로 하여, 박스(210)에서, 탄소-기반 보호 층(340)이 박스(208)에서 논의된 신규한 공정을 이용하여 패턴화된 피쳐들(321) 상에 콘포말하게 증착된 후에, 탄소-기반 보호 층(340)은 구역들(311)에서 기판(300)을 노출시키고 패턴화된 피쳐들(321)의 상부 표면을 노출시키기 위해 이방성으로 에칭되어(수직 에치), 도 3d에 도시된 바와 같이, (탄소-기반 보호 층(340)으로부터 형성된) 탄소-기반 측벽 스페이서들(341)에 의해 보호된 패턴화된 피쳐들(321)을 야기시킨다.
박스(212)에서, 패턴화된 피쳐들(321)은 통상적인 플라즈마 에칭 공정 또는 다른 적합한 습식 스트립핑 공정을 이용하여 제거되어, 도 3e에 도시된 바와 같이 비-희생 탄소-기반 측벽 스페이서들(341)을 남긴다. 플라즈마 에칭 공정은 패턴화된 피쳐들(321)을 제거하기 위해 기판(300)을 불소-기반 에칭 화학으로부터 발생된 플라즈마와 접촉시킴으로써 수행될 수 있다. 개선된 물질 품질 및 커버리지(coverage)로 인하여, 탄소-기반 측벽 스페이서들(341)은 손상되지 않는데, 왜냐하면, 이러한 것들이 불소-기반 반응성 에칭 화학 또는 습식 스트립-기반 화학에 대한 매우 양호한 민감성을 갖기 때문이다. 패턴화된 피쳐들(321)의 제거 시에, 잔류하는 탄소-기반 측벽 스페이서들(341)은 하부 층, 층 스택(layer stack) 또는 구조물을 에칭시키기 위한 하드마스크로서 사용될 수 있다. 공정(200)은 약 2.47 nm의 갭 공간 및 약 2.93 nm의 코어 공간을 갖는 비-희생 탄소-기반 측벽 스페이서들(341)을 형성시킬 수 있는 것으로 입증되었다.
본 공정의 다른 변형예들이 고려된다. 예를 들어, 공정(200)에서 상기에서 논의된 바와 같이 단지 하나의 스페이서 물질을 사용하는 대신에, 두 개의 상이한 부류의 스페이서 물질들이 사용될 수 있다. 이러한 경우에, 두 개의 스페이서 물질들은, 하나가 다른 스페이서 물질에 영향을 미치지 않으면서 제거될 수 있도록, 서로에 대해 선택적일 필요가 있다. 상술된 본 공정에 따라 증착된 콘포말한 비정질 탄소는 두 개의 스페이서 물질들을 사용한 다양한 패턴화 공정들, 예를 들어 자가-정렬 삼중 패턴화(SATP) 공정을 위한 스페이서 물질에 대한 이상적인 후보물질일 수 있는데, 왜냐하면 비정질 탄소 막이 건식 및 습식 스트립 관점들로부터 통상적인 실리콘-기반 물질들에 대해 높은 민감성을 제공하기 때문이다. SATP에 대한 일부 가능한 공정 흐름들은 하기와 같다: (1) 탄소 코어 패턴화 → 제1 옥사이드 스페이서 증착 → 제1 옥사이드 스페이서 에치 백(etch back) → 콘포말한 탄소 스페이서 증착 → 콘포말한 탄소 스페이서 에치 백 → 제1 옥사이드 스페이서의 제거; 또는 (2) 옥사이드 코어 패턴화 → 콘포말한 탄소 제1 스페이서 증착 → 콘포말한 탄소 제1 스페이서 에치 백 → 옥사이드 스페이서 증착 → 옥사이드 스페이서 에치 백 → 제1 탄소 스페이서의 제거. 공정 (1)의 세부사항들은 하기 공정 400에서 논의되고 도 5a 내지 5h와 관련이 있다.
도 4는 본 발명의 다른 구체예에 따른 두 개의 상이한 스페이서 물질들을 사용한 예시적인 패턴화 공정과 관련된 단계들을 도시한 순서도이다. 도 5a 내지 5h는 도 4에 기술된 단계들에 의해 형성된 구조물의 단면도들을 예시한 것이다. 유사하게, 본 구체예의 개념은 필요한 경우에, 다양한 반도체 공정들, 예를 들어 NAND 플래시 적용, DRAM 적용, 또는 CMOS 적용, 등에서 보호 스페이서 또는 보호 희생 층의 사용을 필요로 할 수 있는, 다른 패턴화 공정들, 예를 들어 자가-정렬 이중 패턴화(SADP) 공정, 자가-정렬 삼중 패턴화(SATP) 공정, 자가-정렬 사중 패턴화(SAQP) 공정, 비아/홀 수축 공정, 생산라인 후단(BEOL), 등에 동일하게 적용 가능하다.
공정(400)은 박스(402)에서 기판(500)을 가공 챔버, 예를 들어 PECVD 챔버에 제공함으로써 개시한다. 기판(500)은 도 3a에 도시된 기판(300)과 관련하여 상기에서 논의된 바와 같이, 실리콘 물질, 옥사이드 물질, 폴리실리콘 물질, 등을 포함하는 반도체 소자들을 형성하는데 사용되는 하나 이상의 물질들일 수 있다.
박스(404)에서, 비-희생 구조 층(520)은 도 5b에 도시된 바와 같이 기판(500) 상에 증착된다. 비-희생 구조 층(520)은 탄소-기반 물질, 예를 들어 비정질 탄소일 수 있다. 일 예에서, 탄소-기반 물질은 비정질 수소화된 탄소이다. 희생 구조 층(520)으로서 사용될 수 있는 하나의 예시적인 탄소-기반 물질은 Advanced Patterning FilmTM (APF) 물질로서, 이는 Applied Materials, Inc.(Santa Clara, Calif)로부터 상업적으로 입수 가능하다.
박스(406)에서, 하부 반사 방지 코팅(BARC) 층(540)은 비-희생 구조 층(520) 위에 증착된다. BARC 층(540)은 유기 물질, 예를 들어 폴리아미드들 및 폴리설폰들일 수 있다. BARC 층(540)은 후속 레지스트 층의 패턴화 동안 광의 반사를 감소시킬 것으로 사료되고, 또한 보다 얇은 레지스트 층들을 위해 도움이 되는데, 왜냐하면, BARC 층(540)이 하부 층 또는 구조물의 에치 동안 개선된 에치 저항(etch resistance)을 위해 다층 마스크의 전체 두께를 증가시기 때문이다. 일부 구체예들에서, 광 흡수 층(530)은 포토리소그래피 성능을 개선시키기 위하여 도 5c에 도시된 바와 같이, BARC 층(540)과 비-희생 구조 층(520) 사이에 임의적으로 증착될 수 있다. 광 흡수 층(530)은 금속 층, 예를 들어 니트라이드들일 수 있다. 일 예에서, 광 흡수 층(530)은 티탄 니트라이드이다.
박스(408)에서, 레지스트 층, 예를 들어 포토레지스트 물질은 BARC 층(540) 상에 증착된다. 레지스트 층은 이후에 도 5d에 도시된 바와 같이 요망되는 에치 패턴(551)을 갖는 패턴화된 레지스트 층(550)을 형성시키기 위해 리소그래픽 공정에 의해 패턴화된다. 에치 패턴(551)은 적용에 따라, 상이한 패턴 폭을 가질 수 있다.
박스(410)에서, BARC 층(540), 광 흡수 층(530), 및 비-희생 구조 층(520)은 요망되는 에치 패턴(551)을 비-희생 구조 층(520)으로 전사시키기 위한 통상적인 포토리소그래피 및 에칭 공정들을 이용하여 순차적으로 패턴화되어, 도 5e에 도시된 바와 같이 패턴화된 비-희생 피쳐들(521)을 남긴다.
박스(412)에서, 제1 콘포말한 층은 패턴화된 비-희생 피쳐들(521) (비-희생 구조 층(520)으로부터 형성됨) 및 기판(500)의 노출된 표면들 상에 콘포말하게 또는 실질적으로 콘포말하게 증착된다. 제1 콘포말한 층은 패턴화된 희생 피쳐들(521)과는 다른 에칭율을 갖는 스트립핑 가능한 물질을 포함할 수 있다. 제1 콘포말한 층에 대한 적합한 물질들은 예를 들어, 옥사이드들, 예를 들어 실리콘 디옥사이드, 실리콘 옥시니트라이드, 또는 니트라이드들, 예를 들어 실리콘 니트라이드를 포함할 수 있다. 제1 콘포말한 층은 이후에 구역들(511)에서 기판(500)을 노출시키고 패턴화된 비-희생 피쳐들(521)의 상부 표면을 노출시키기 위해 이방성으로 에칭되어, 도 5f에 도시된 바와 같이, 제1 콘포말한 층으로부터 형성된 스트립핑 가능한 측벽 스페이서들(561)에 의해 보호된 패턴화된 비-희생 피쳐들(521)을 야기시킨다.
박스(414)에서, 비-희생 탄소-기반 측벽 스페이서들(571)은 패턴화된 비-희생 피쳐들(521)에 인접하게 또는 접촉하여 형성된다. 비-희생 탄소-기반 측벽 스페이서들(571)은 도핑되거나 도핑되지 않은 비정질 탄소와 같은 탄소-기반 물질의 콘포말한 층을 기판 상에 증착시키고, 패턴화된 비-희생 피쳐들(521), 스트립핑 가능한 측벽 스페이서들(561) 및 기판의 노출된 표면들을 덮음으로써 형성될 수 있다. 콘포말한 비정질 탄소는 이러한 것이 후속 스페이서 에치-백 공정 동안 보호 층으로서 작용하도록, 스트립핑 가능한 측벽 스페이서들(561)의 적어도 상단 상에 증착된다. 콘포말한 비정질 탄소의 두께는 집적 요건들을 기초로 하여, 통상적으로 10Å 내지 200Å에서 선택될 수 있다. 우수한 콘포말성(> 95%)을 갖는 것은 스트립핑 가능한 측벽 스페이서들(561)의 측벽이 심지어 탄소 막의 매우 얇은 층(즉, < 50Å)으로 적절하게 덮혀지는 것을 확보하기 위해 중요하다.
일 예에서, 비정질 탄소의 콘포말한 층은 박스들(208 및 210)에 대하여 상술된 탄화수소 화합물들 및 공정들을 이용하여 질소로 도핑된다. 비정질 탄소 층은, 상기에 논의된 바와 같은 신규한 공정 조건들(박스 208)을 이용하여 증착할 때, 적어도 약 80% 이상, 예를 들어 약 100% 이상, 예를 들어 120%의 단차 피복을 달성할 것이다.
비정질 탄소의 증착된 콘포말한 층은 이후에 구역들(511)에서 기판(500)의 표면들을 노출시키고 패턴화된 비-희생 피쳐들(521)의 상부 표면들 및 스트립핑 가능한 측벽 스페이서들(561)을 노출시기 위해 이방성으로 에칭되어, 도 5g에 도시된 바와 같이, 스트립핑 가능한 측벽 스페이서들(561)에 인접하거나 이와 접촉하여 제1 콘포말한 층 및 비-희생 탄소-기반 측벽 스페이서들(571)로부터 형성된 스트립핑 가능한 측벽 스페이서들(561)에 의해 보호된 패턴화된 비-희생 피쳐들(521)을 초래한다.
박스(416)에서, 패턴화된 비-희생 피쳐들(521)과 비-희생 탄소-기반 측벽 스페이서들(571) 사이에 위치된 스트립핑 가능한 측벽 스페이서들(561)은 통상적인 습식 스트립핑 공정 또는 다른 적합한 에칭 공정을 이용하여 제거되어, 도 5h에 도시된 바와 같이, 패턴화된 비-희생 피쳐들(521) 및 비-희생 탄소-기반 측벽 스페이서들(571)을 남긴다. 나머지 패턴화된 비-희생 피쳐들(521) 및 비-희생 탄소-기반 측벽 스페이서들(571)은 이후에 하부 층, 층 스택, 또는 구조물을 에칭시키기 위한 하드마스크로서 사용될 수 있다. 특히, 이러한 패턴화 공정에 따라 얻어진 하드마스크(즉, 패턴화된 비-희생 피쳐들(521) 및 비-희생 탄소-기반 측벽 스페이서들(571)의 밀도는 패턴화된 비-희생 피쳐들(521)의 세 배이며, 얻어진 하드마스크의 피치(즉, 패턴화된 비-희생 피쳐들(521) 및 비-희생 탄소-기반 측벽 스페이서들(571))는 패턴화된 비-희생 피쳐들(521)의 피치의 절반이다.
본 발명에 따라 증착된 탄소-기반 보호 층들 또는 탄소-기반 측벽 스페이서들은 실리콘 옥사이드 물질들을 사용한 통상적인 ALD 성장된 스페이서들과 비교하여, 하기 표 2에 나타낸 바와 같이, 개선된 막 성질들과 함께 95% 보다 높은 우수한 콘포말성을 제공할 수 있는 것으로 관찰되었다. 하드 마스크 스페이서들의 측벽들이 애싱 또는 이방성 플라즈마 에칭 공정 동안에 손상되지 않기 때문에, 라인 에지 거칠기는 현저하게 감소된다. 이에 따라, 얻어진 하드 마스크 스페이서들은 거의 마이크로로딩되지 않거나 전혀 마이크로로딩되지 않으면서 우수한 에치 프로파일 및 에치 선택성을 제공할 수 있다. 하기 표 1은 박스(208)와 관련하여 상술된 공정 조건들을 사용하여 증착된 비정질 탄소 막의 막 성질들을 나타낸 것이다.
표 1
Figure 112015098036051-pct00001
상술된 것이 본 발명의 구체예들에 관한 것이지만, 본 발명의 다른 구체예 및 추가 구체예가 본 발명의 기본 범위를 벗어나지 않으면서 고안될 수 있으며, 이의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 가공 챔버에서 기판 상에 콘포말한 비정질 탄소 층(conformal amorphous carbon layer)을 형성시키는 방법으로서,
    기판 상에 유전체 층을 증착시키고;
    유전체 층의 상부 표면 상에 희생 유전체 층을 증착시키고;
    유전체 층의 상부 표면의 부분들을 노출시키기 위해 희생 유전체 층의 부분들을 제거함으로써 희생 유전체 층에 패턴을 형성시키고;
    가공 챔버에 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 도입하되, 탄화수소 소스:플라즈마-개시 가스:희석 가스의 부피 유량이 1:0.5:20의 비이고;
    가공 챔버에서 플라즈마를 발생시켜 유전체 층의 상부 표면의 노출된 부분들 상 및 패턴화된 희생 유전체 층 상에 콘포말한 비정질 탄소 층을 증착시키고;
    이방성 에칭 공정을 이용하여 비정질 탄소 층을 선택적으로 제거시켜 유전체 층의 상부 표면 및 패턴화된 희생 유전체 층을 노출시키고;
    노출된 패턴화된 희생 유전체 층을 제거하는 것을 포함하는 방법.
  2. 제1항에 있어서, 가공 챔버에 질소-함유 가스를 도입하는 것을 추가로 포함하는 방법.
  3. 제2항에 있어서, 질소-함유 가스가 1:40 내지 10:1의 질소-함유 가스 대 탄화수소 소스 비로 도입되는 방법.
  4. 제1항에 있어서, 플라즈마가 200℃ 이하의 증착 온도에서 가공 챔버에서 발생되는 방법.
  5. 제1항에 있어서, 탄화수소 소스가 아세틸렌 (C2H2), 에틸렌 (C2H4), 에탄 (C2H6), 프로필렌 (C3H6), 프로핀 (C3H4), 프로판 (C3H8), 부탄 (C4H10), 부틸렌 (C4H8), 부틴 (C4H6), 페닐아세틸렌 (C8H6), 또는 이들의 조합물들을 포함하는 방법.
  6. 제1항에 있어서, 탄화수소 소스가 질소-함유 탄화수소 소스인 방법.
  7. 제6항에 있어서, 질소-함유 탄화수소 소스가 화학식 CxHyNz에 의해 기술되며, 여기서 x는 1 내지 12의 범위를 가지며, y는 2 내지 20의 범위를 가지며, z는 1 내지 10의 범위를 갖는 방법.
  8. 제7항에 있어서, 질소-함유 탄화수소 소스가 메틸아민, 디메틸아민, 트리메틸아민 (TMA), 트리에틸아민, 아닐린, 퀴놀린, 피리딘, 아크릴로니트릴, 벤조니트릴, 및 이들의 조합물들로 이루어진 군으로부터 선택된 하나 이상의 질소 함유 탄화수소 화합물들을 포함하는 방법.
  9. 제1항에 있어서, 비정질 탄소 층이 0.1% 질소 내지 10% 질소의 탄소:질소 비를 갖는 질소-도핑된 비정질 탄소인 방법.
  10. 가공 챔버에서 기판 상에 콘포말한 비정질 탄소 층을 형성시키는 방법으로서,
    기판 상에 유전체 층을 증착시키고;
    기판의 상부 표면의 부분들을 노출시키기 위해 유전체 층의 부분들을 제거함으로써 유전체 층에 패턴을 형성시키고;
    기판의 상부 표면의 노출된 부분들 상 및 패턴화된 유전체 층 상에 콘포말한 희생 유전체 층을 증착시키고;
    패턴화된 유전체 층의 상부 표면들을 노출시키고 기판의 상부 표면의 부분들을 노출시키기 위해 희생 유전체 층을 선택적으로 제거하여 패턴화된 유전체 층의 측벽들 상에 제1 스페이서를 제공하고;
    가공 챔버에 탄화수소 소스, 플라즈마-개시 가스, 및 희석 가스를 도입하되, 탄화수소 소스:플라즈마-개시 가스:희석 가스의 부피 유량이 1:0.5:20의 비이고, 75℃ 내지 650℃의 증착 온도에서 가공 챔버에서 플라즈마를 발생시켜 패턴화된 유전체 층의 상부 표면들 및 기판의 상부 표면의 노출된 부분들 상에 콘포말한 비정질 탄소 층을 증착시키고, 이방성 에칭 공정을 이용하여 비정질 탄소 층을 선택적으로 제거하여 패턴화된 유전체 층의 상부 표면들 및 기판의 상부 표면의 노출된 부분들을 노출시키는 것을 포함하여, 제1 스페이서에 인접한 제2 스페이서를 형성시키고;
    패턴화된 유전체 층을 제거하는 것을 포함하는 방법.
  11. 제10항에 있어서, 제1 스페이서에 인접한 제2 스페이서를 형성시키는 것이 질소-함유 가스를 가공 챔버에 도입하는 것을 추가로 포함하며, 질소-함유 가스가 1:40 내지 10:1의 질소-함유 가스 대 탄화수소 소스 비로 도입되는 방법.
  12. 제10항에 있어서, 제1 스페이서에 인접한 제2 스페이서를 형성시키는 것이 희석 가스를 가공 챔버에 도입하는 것을 추가로 포함하며, 희석 가스가 20:1 내지 30:1의 희석 가스 대 탄화수소 소스 비로 도입되는 방법.
  13. 제10항에 있어서, 탄화수소 소스가 질소-함유 탄화수소 소스이며, 질소-함유 탄화수소 소스가 메틸아민, 디메틸아민, 트리메틸아민 (TMA), 트리에틸아민, 아닐린, 퀴놀린, 피리딘, 아크릴로니트릴, 벤조니트릴, 및 이들의 조합물들로 이루어진 군으로부터 선택된 하나 이상의 질소 함유 탄화수소 화합물들을 포함하는 방법.
  14. 제11항에 있어서, 콘포말한 비정질 탄소 층이 0.1% 질소 내지 10% 질소의 탄소:질소 비를 갖는 질소-도핑된 비정질 탄소인 방법.
  15. 가공 챔버에서 기판 상에 콘포말한 비정질 탄소 층을 형성시키는 방법으로서,
    기판 상에 유전체 층을 증착시키고;
    유전체 층의 상부 표면 상에 희생 유전체 층을 증착시키고;
    유전체 층의 상부 표면의 부분들을 노출시키기 위해 희생 유전체 층의 부분들을 제거함으로써 희생 유전체 층에 패턴을 형성시키고;
    탄화수소 소스, 질소-함유 가스, 플라즈마-개시 가스, 및 희석 가스를 가공 챔버에 도입하되, 탄화수소 소스:질소-함유 가스:플라즈마-개시 가스:희석 가스의 부피 유량이 1:0.5:0.5:20의 비이고;
    200℃ 내지 550℃의 증착 온도, 2 Torr 내지 15 Torr의 챔버 압력, 및 200 mil 내지 1000 mil의 전극 간격에서 가공 챔버에 플라즈마를 발생시켜 유전체 층의 상부 표면의 노출된 부분들 상 및 패턴화된 희생 유전체 층 상에 콘포말한 비정질 탄소 층을 증착시키되, 플라즈마가 RF 출력을 0.04 W/㎠ 내지 0.07 W/㎠의 기판 표면적에 대한 출력 밀도로 인가함으로써 발생되고;
    이방성 에칭 공정을 이용하여 비정질 탄소 층을 선택적으로 제거하여 패턴화된 희생 유전체 층의 상부 표면들을 노출시키고 유전체 층의 상부 표면을 노출시키고;
    희생 유전체 층을 제거하는 것을 포함하는 방법.
KR1020157028449A 2013-03-15 2014-02-14 초-콘포말한 탄소 막 증착 KR102151611B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361793979P 2013-03-15 2013-03-15
US61/793,979 2013-03-15
PCT/US2014/016604 WO2014149281A1 (en) 2013-03-15 2014-02-14 Layer-by-layer deposition of carbon-doped oxide films

Publications (2)

Publication Number Publication Date
KR20150131165A KR20150131165A (ko) 2015-11-24
KR102151611B1 true KR102151611B1 (ko) 2020-09-03

Family

ID=51580597

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157028449A KR102151611B1 (ko) 2013-03-15 2014-02-14 초-콘포말한 탄소 막 증착

Country Status (5)

Country Link
US (2) US9721784B2 (ko)
JP (1) JP2016513883A (ko)
KR (1) KR102151611B1 (ko)
TW (1) TW201500572A (ko)
WO (1) WO2014149281A1 (ko)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9099400B2 (en) * 2013-09-30 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105845574A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI555082B (zh) * 2015-05-15 2016-10-21 力晶科技股份有限公司 圖案化方法
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9852917B2 (en) 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US9960266B2 (en) * 2016-05-17 2018-05-01 The United States Of America, As Represented By The Secretary Of The Navy Damage-free plasma-enhanced CVD passivation of AlGaN/GaN high electron mobility transistors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10326003B2 (en) * 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10147611B1 (en) 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US10325777B2 (en) 2017-08-30 2019-06-18 International Business Machines Corporation Utilizing multiple layers to increase spatial frequency
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR20230169487A (ko) * 2017-12-01 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10903329B2 (en) * 2018-02-13 2021-01-26 Wisconsin Alumni Research Foundation Contact photolithography-based nanopatterning using photoresist features having re-entrant profiles
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR20200127261A (ko) * 2018-03-26 2020-11-10 램 리써치 코포레이션 탄소 막들의 원자 층 증착
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7442459B2 (ja) * 2018-04-24 2024-03-04 アプライド マテリアルズ インコーポレイテッド カーボンハードマスクのプラズマ強化化学気相堆積
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI764002B (zh) * 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
TWI820667B (zh) * 2018-06-19 2023-11-01 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102608900B1 (ko) 2018-07-30 2023-12-07 삼성전자주식회사 반도체 소자 제조 방법
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20220235464A1 (en) * 2019-06-24 2022-07-28 Lam Research Corporation Selective carbon deposition
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7357528B2 (ja) * 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11251182B2 (en) 2020-03-17 2022-02-15 International Business Machines Corporation Staggered stacked vertical crystalline semiconducting channels
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113818002A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR20240022392A (ko) * 2021-06-23 2024-02-20 램 리써치 코포레이션 라디칼-활성화된 탄소 막 증착
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20080122125A1 (en) 2006-11-29 2008-05-29 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US20100075503A1 (en) 2008-09-19 2010-03-25 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US20100138784A1 (en) 2008-11-28 2010-06-03 Nokia Corporation Multitasking views for small screen devices
US20100291713A1 (en) 2009-05-15 2010-11-18 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US20120015521A1 (en) 2010-04-30 2012-01-19 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4882256A (en) 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP2010534924A (ja) 2007-06-15 2010-11-11 アプライド マテリアルズ インコーポレイテッド 基板ギャップ内に犠牲酸化物ライナを形成する酸素sacvd
KR100955265B1 (ko) 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US20090311634A1 (en) 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR101357181B1 (ko) * 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US8084310B2 (en) 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
US7935464B2 (en) 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US7972959B2 (en) * 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
US8404592B2 (en) 2009-07-27 2013-03-26 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using L-shaped spacers
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US8673725B2 (en) * 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
KR101425760B1 (ko) 2010-08-27 2014-08-01 도쿄엘렉트론가부시키가이샤 에칭 방법, 기판 처리 방법, 패턴 형성 방법, 반도체 소자의 제조 방법, 및 반도체 소자
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US20080122125A1 (en) 2006-11-29 2008-05-29 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions
US20100075503A1 (en) 2008-09-19 2010-03-25 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US20100138784A1 (en) 2008-11-28 2010-06-03 Nokia Corporation Multitasking views for small screen devices
US20100291713A1 (en) 2009-05-15 2010-11-18 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US20120015521A1 (en) 2010-04-30 2012-01-19 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity

Also Published As

Publication number Publication date
US20170301537A1 (en) 2017-10-19
US9721784B2 (en) 2017-08-01
JP2016513883A (ja) 2016-05-16
US20160005596A1 (en) 2016-01-07
US10074534B2 (en) 2018-09-11
WO2014149281A1 (en) 2014-09-25
KR20150131165A (ko) 2015-11-24
TW201500572A (zh) 2015-01-01

Similar Documents

Publication Publication Date Title
US10074534B2 (en) Ultra-conformal carbon film deposition
US10236182B2 (en) Conformal amorphous carbon for spacer and spacer protection applications
JP7266068B2 (ja) 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
CN110622280B (zh) 用于硬掩模及其他图案化应用的高密度低温碳膜
US10014174B2 (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US10410872B2 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US20120080779A1 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US10453751B2 (en) Tone inversion method and structure for selective contact via patterning
US9922972B1 (en) Embedded silicon carbide block patterning
KR20230142235A (ko) 식각 가스 조성물 및 이를 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right