TWI764002B - 形成非晶碳膜及蝕刻基板之方法 - Google Patents

形成非晶碳膜及蝕刻基板之方法

Info

Publication number
TWI764002B
TWI764002B TW108119128A TW108119128A TWI764002B TW I764002 B TWI764002 B TW I764002B TW 108119128 A TW108119128 A TW 108119128A TW 108119128 A TW108119128 A TW 108119128A TW I764002 B TWI764002 B TW I764002B
Authority
TW
Taiwan
Prior art keywords
substrate
amorphous carbon
carbon
layer
hardmask
Prior art date
Application number
TW108119128A
Other languages
English (en)
Other versions
TW202006175A (zh
Inventor
帕拉米特 曼納
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202006175A publication Critical patent/TW202006175A/zh
Application granted granted Critical
Publication of TWI764002B publication Critical patent/TWI764002B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本案描述了用於在基板上沉積非晶碳層之方法。基板經暴露於具有式(I)結構之碳前驅物。本案亦描述了蝕刻基板之方法,該方法包括藉由將基板暴露於具有式(I)結構之碳前驅物來在基板上形成非晶碳硬遮罩。

Description

形成非晶碳膜及蝕刻基板之方法
本揭示案之實施例係關於電子裝置製造之領域,且特定言之,係關於積體電路(integrated circuit;IC)製造。更特定言之,本揭示案之實施例提供沉積正形之碳膜的方法,該方法可用於圖案化應用。
積體電路已發展成為可在單個晶片上包括數百萬個電晶體、電容器及電阻器之複雜裝置。晶片設計之發展持續地要求更快的電路系統及更大的電路密度。對具有更大電路密度之更快電路的要求對用於製造該等積體電路之材料施加了相應要求。特定言之,隨著積體電路元件之尺寸減小,必須使用低電阻率之導電材料以及低介電常數絕緣材料以從該等元件獲得適當的電效能。
對更大積體電路密度之要求亦對用於製造積體電路元件之製程順序施加要求。例如,在使用習知光微影技術之製程順序中,在佈置於基板上的材料層堆疊上形成一層能量敏感抗蝕劑。能量敏感抗蝕劑層經暴露於用於形成光阻劑遮罩之圖案影像。其後,使用蝕刻製程將遮罩圖案傳遞至材料層中之一或多者。用於蝕刻製程之化學蝕刻劑經選擇以具有比對於能量敏感抗蝕劑之遮罩更大的對於堆疊材料層之蝕刻選擇性。亦即,化學蝕刻劑以比能量敏感抗蝕劑快得多的速率蝕刻材料堆疊之一或多個層。對抗蝕劑上的堆疊之一或多個材料層之蝕刻選擇性防止能量敏感抗蝕劑在完成圖案轉移之前被消耗。
隨著圖案尺寸減少,能量敏感抗蝕劑之厚度必須相應的減少以控制圖案解析度。歸因於化學蝕刻劑之侵蝕,該薄抗蝕劑層可能不足以在圖案轉移步驟期間遮罩下部的材料層。由於對化學蝕刻劑更大的抗性,通常在能量敏感抗蝕劑層與下部材料層之間使用稱為硬遮罩的中間層(例如,氮氧化矽、碳化矽,或碳膜)以促進圖案轉移。隨著臨界尺寸(critical dimension; CD)減小,相對於下部材料(例如,氧化物及氮化物)具有所要蝕刻選擇性以及高沉積速率之硬遮罩材料是所需的。然而,多個圖案化方案需要形成正形且可灰化之薄膜。
典型地,ALD用於沉積正形薄膜,但ALD不是用於沉積碳膜之可行沉積技術。因此,存在對於一種沉積正形且可灰化之碳膜之方法的需要。
本文描述了用於製造積體電路之裝置及方法。在一或多個實施例中,描述了一種在基板上形成非晶碳膜之方法。在一個實施例中,藉由將基板暴露於具有式(I)結構的碳前驅物來將非晶碳膜形成於基板上,
Figure 02_image004
其中R1 至R10 中之每一者係獨立地選自於H、鹵素,或經取代或未經取代之C1 至C4 烷基。
一或多個實施例提供蝕刻基板之方法。非晶碳硬遮罩係形成於基板上。非晶碳硬遮罩具有至少一個開口且係藉由將基板暴露於具有式(I)結構之碳前驅物來形成,
Figure 02_image006
其中R1 至R10 中之每一者係獨立地選自於H、鹵素,或經取代或未經取代之C1 至C4 烷基。基板係穿過開口而蝕刻。
一或多個實施例係針對方法。提供具有基板表面之基板。該基板經暴露於溫度範圍在約100℃至約650℃之間,且壓力範圍在約1托至約600托下的碳前驅物,以在基板表面上形成碳硬遮罩。碳前驅物具有式(I)結構
Figure 02_image008
, 其中R1 至R10 中之每一者係獨立地選自於H、鹵素或經取代或未經取代之C1 至C4 烷基。基板之至少一部分係穿過碳硬遮罩中之開口蝕刻。碳硬遮罩係藉由灰化從基板表面移除。
在描述本案之若干示例性實施例之前,應理解本揭示案不限於以下描述中闡述之構造或製程步驟之細節。本揭示案能夠具有其他實施例並且能夠以各種方式實施或執行。
如本文中使用之「基板」指代任何基板或形成於基板上之材料表面,膜處理在製造製程期間於該基板或表面上執行。例如,取決於應用,可在其上執行處理之基板表面包括以下材料:諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石以及任何其他材料,諸如金屬、金屬氮化物、金屬合金;及其他導電材料。基板包括但不限於半導體晶圓。基板可經暴露於預處理製程以拋光、蝕刻、還原、氧化、羥化、退火及/或烘烤表面。除了直接在基板本身之表面上的膜處理之外,在本案中,所揭示之膜處理步驟中之任一者亦可在如下文中更詳細揭示之基板上形成的下層上執行,並且術語「基板表面」意欲包括如上下文指示之此下層。因此,例如,在膜/層或部分膜/層已沉積至基板表面上之情況下,新沉積的膜/層之暴露表面成為基板表面。
如本說明書及隨附申請專利範圍中所使用,術語「前驅物」、「反應物」、「反應氣體」及類似術語經可互換地使用以指代可與基板表面反應之任何氣態物種。
如本文所使用,亦被稱為「非晶碳」且表示為a-C:H之用語「非晶氫化碳」指代不具有長程結晶規則的碳材料,該碳材料可含有大量之氫含量,例如約10至45原子百分比之氫含量。因為非晶碳之化學惰性、光學透明性及良好的機械性質,非晶碳被用作半導體應用中之硬遮罩材料。歸因於成本效率及膜性質多功能性,電漿增強化學氣相沉積(Plasma enhanced chemical vapor deposition; PECVD) 係廣泛地用於沉積非晶碳膜。在PECVD製程中,烴源(諸如氣相烴或已經夾雜於載氣中的液相烴之蒸汽)經引入至PECVD腔室中。典型地為氦之電漿引發氣體亦經引入至腔室中。隨後,在腔室中引發電漿以產生激發CH-自由基。激發CH-自由基經化學結合至定位於腔室中之基板表面上,在該表面上形成所要非晶碳膜。
使用非晶碳硬遮罩層之裝置製造商需要滿足以下兩個關鍵要求:(1)在下部材料之乾式蝕刻期間的硬遮罩的非常高之選擇性及(2)在用於微影定位準確性的可見光譜中之高光學透明性。如本文中所使用,術語「乾式蝕刻」通常指代其中材料並不是藉由浸入於化學溶劑中來溶解之蝕刻製程,並且包括諸如反應性離子蝕刻、濺射蝕刻及氣相蝕刻之方法。此外,對於其中硬遮罩層沉積在具有地形特徵之基板上的應用,對於非晶碳硬遮罩之額外要求在於硬遮罩層正形地覆蓋地形特徵之所有表面。如本中所使用,術語「地形特徵」指代溝槽、通孔及峰端等的一或多者。術語「正形」意謂膜/塗層適應於地形特徵之輪廓。膜/層之正形性係典型地由沉積於特徵之側壁上的平均層厚度與基板之該區域或上表面上的相同經沉積層之平均厚度的比率來量化。
儘管廣泛使用PECVD來沉積非晶碳膜,但是PECVD通常產生非正形之非晶碳膜,該等非晶碳膜具有污染基板表面之大量顆粒,並且要求基板被過度加熱。
一或多個實施例之方法有利地使用熱化學氣相沉積(chemical vapor deposition; CVD)製程沉積非晶碳膜。該方法有利地提供具有良好蝕刻選擇性、高光學透明性之非晶碳膜之沉積,該非晶碳膜可正形地沉積於具有一或多個特徵之基板上,且可以相對低的溫度產生。
硬遮罩被用作半導體處理中之蝕刻終止層。可灰化硬遮罩具有一種化學成分,該化學成分一旦在硬遮罩已用於其目的,就允許該等硬遮罩得以由被稱為灰化之技術移除。可灰化硬遮罩通常係由具有微量之一或多種摻雜劑(例如,氮、氟、硼、矽)的碳及氫組成。在一典型應用中,在蝕刻之後,硬遮罩已用於其目的且從下層移除。此舉通常係至少部分地藉由亦稱為「電漿灰化」或「乾式剝離」之灰化完成。通常部分地製造半導體晶圓之具有待灰化硬遮罩之基板在真空下經置放於腔室中,並且引入氧氣且氧氣經歷射頻電源,如此產生氧自由基(電漿)。自由基與硬遮罩反應以將其氧化為水、一氧化碳,及二氧化碳。在一些情況下,硬遮罩之完全移除可藉由在灰化後跟隨額外濕式或乾式製程來完成,例如當可灰化硬遮罩留下無法僅由灰化移除之任何殘餘物時。
硬遮罩層通常用於窄及/或深的接觸蝕刻應用,其中光阻劑可能並不足夠厚以遮罩下層。此舉當關鍵尺寸縮小時尤為適用。
第1A圖至第1E圖圖示根據先前技術之併入非晶碳層作為硬遮罩的積體電路製造順序的在不同階段處的基板100之示意橫截面圖。基板結構150表示基板100以及在基板100上形成之其他材料層。第1A圖(先前技術)圖示具有已由其習知形成之材料層102之基板結構150的橫截面圖。材料層102可以是低介電常數材料及/或例如SiO2 之氧化物。第1B圖(先前技術)圖示沉積於第1A圖之基板結構150上的非晶碳層104。非晶碳層104係藉由習知手段,諸如PECVD形成於基板結構150上。取決於處理之特定階段,非晶碳層104之厚度是可變的。典型地,非晶碳層104具有範圍從約500 Å至約10000 Å之厚度。取決於用於製造順序中之能量敏感抗蝕劑材料108之蝕刻化學性,可選覆蓋層(未示出)可在形成能量敏感抗蝕劑材料108之前形成於非晶碳層104上。可選覆蓋層當圖案經轉移至其中時用作用於非晶碳層104之遮罩且保護非晶碳層104不受能量敏感抗蝕劑材料108之影響。如第1B圖中所示,能量敏感抗蝕劑材料108係形成於非晶碳層104上。能量敏感抗蝕劑材料108層可經旋塗於基板上達範圍從約2000 Å至約6000 Å之厚度。大多數能量敏感抗蝕劑材料對於具有小於約450 nm之波長,並且對於具有245 nm或193 nm之波長的一些應用的紫外線(ultraviolet; UV)輻射敏感。藉由將能量敏感抗蝕劑材料108經由圖案化裝置(諸如遮罩110)暴露於紫外線輻射130,並且隨後在適當顯影劑中形成能量敏感抗蝕劑材料108來將圖案引入能量敏感抗蝕劑材料108層。在已形成能量敏感抗蝕劑材料108之後,組成孔/開口140之所需圖案存在於能量敏感抗蝕劑材料108中,如第1C圖(先前技術)中所示。其後,參看第1D圖(先前技術),能量敏感抗蝕劑材料108中界定之圖案係使用作為遮罩之能量敏感抗蝕劑材料108經由非晶碳層104轉移。使用選擇性地蝕刻能量敏感抗蝕劑材料108及材料層102之上的非晶碳層104之化學蝕刻劑,將孔140延伸至材料層102之表面。適當的化學蝕刻劑包括臭氧、氧氣或氨電漿。參看第1E圖(先前技術),圖案隨後使用作為硬遮罩之非晶碳層104經由材料層102轉移。在製程步驟中,使用選擇性地移除在非晶碳層104之上的材料層102之蝕刻劑,諸如乾式蝕刻,亦即非反應性電漿蝕刻。在圖案化材料層102之後,可從基板100視情況地剝離非晶碳層104。
如上所述,在一些應用中,硬遮罩層可以下層地形特徵沉積於基板上,例如溝槽、通孔或峰端。在該等應用中,與下層地形高度正形之非晶碳層亦是所需的。第2圖(先前技術)圖示具有特徵204及形成於其上的非正形非晶碳層206之基板200的示意橫截面圖。因為非正形非晶碳層206不完全地覆蓋特徵204之側壁208,所以隨後的蝕刻製程可能產生側壁208之非期望腐蝕。缺少由非正形非晶碳層206對側壁208之完全覆蓋亦可能導致在非正形非晶碳層206之下的材料之光阻劑中毒,此舉已知會損壞電子裝置。層之正形性係典型地由沉積於特徵之側壁上的平均層厚度與基板之該區域或上表面上的相同經沉積層之平均厚度的比率來量化。
在一或多個實施例中,描述了一種在基板上形成非晶碳膜之方法。在一個實施例中,藉由將基板暴露於具有式(I)結構的碳前驅物來將非晶碳膜形成於基板上,
Figure 02_image008
其中R1 至R10 中之每一者係獨立地選自於H、鹵素,或經取代或未經取代之C1 至C4 烷基。
一或多個實施例之方法之優點為相較於其他非晶碳沉積製程之正形性的增強,如第3圖中所示。第3圖圖示具有形成於其上之至少一個特徵304之基板302的橫截面圖300。在一或多個實施例中,基板包括至少一個地形特徵,例如,峰端、溝槽或通孔。如第3圖中所示,基板302包括可為溝槽之至少一個特徵304。非晶碳層306經形成於基板302上,且經正形與填充至少一個特徵304。非晶碳層306圖示使用一或多個實施例之方法沉積的碳膜之外觀。
定性而言,非晶碳層306是高度正形的且完全覆蓋至少一個特徵304之側壁308及底表面310。參看第3圖,定量而言,非晶碳層306可具有大於約95%之正形性,其中正形性經定義為沉積在側壁308上的非晶碳層306之平均厚度S與在基板302之上表面312上的非晶碳層306之平均厚度T之比率。在一或多個實施例中,非晶碳層306可具有大於約95%,包括大於約96%、大於約97%、大於約98%,及大於約99%之正形性。參看回第2圖(先前技術),圖示用不具有通式I之前驅物沉積的膜的一般外觀的非正形非晶碳層206典型地具有小於約75%之正形性。
在一或多個實施例中,非晶碳膜係可灰化的。在一或多個實施例中,非晶碳膜為正形或可灰化膜中之一或多者。在一或多個實施例中,非晶碳膜同時為正形且可灰化的。
一或多個實施例之方法的另一優點為較低的溫度製程可用於產生具有所需密度及透明度之非晶碳層。通常,在沉積期間的較高基板溫度為用於促進更高密度薄膜形成的製程參數。當碳前驅物具有式(I)結構時
Figure 02_image008
其中R1 至R10 係獨立地選自所使用之H、鹵素,或經取代或未經取代之C1 至C4 烷基,基板溫度可在沉積期間降低例如至低至約300℃之溫度,並且仍然產生所需密度(即,從約1.2 g/cc至約1.8 g/cc)之薄膜。因此,一或多個實施例之方法可產生具有低至約0.09之吸收係數的相對高密度薄膜。此外,較低的處理溫度對於所有基板通常都是需要的,因為如此降低了製程之熱預算,保護在該基板上形成之元件不發生摻雜劑遷移。
在一個實施例中,基板302包括半導體材料,例如,矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷化銦(InP)、砷化銦鎵 (InGaAs)、砷化鋁銦 (InAlAs)、其他半導體材料,或其任何組合。在一實施例中,基板302為絕緣體上半導體(semiconductor-on-isolator; SOI)基板,包括塊狀下基板、中間絕緣層,及頂部單晶層。頂部單晶層可包括上文列出之任何材料,例如,矽。在各種實施例中,基板302可為例如,有機、陶瓷、玻璃或半導體基板。儘管在本文中描述了可自其可形成基板302之材料的一些實例,但是可用作在其上可建立被動及主動電子裝置(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子裝置,或任何其他電子裝置)之基礎的任何材料落在本揭示案之精神及範疇之內。
在一個實施例中,基板302包括用於積體電路之一或多個金屬化互連層。在至少一些實施例中,基板302包括經配置以連接金屬化層之例如通孔之互連。在至少一些實施例中,基板302包括電子裝置,例如,電晶體、記憶體、電容器、電阻器、光電子裝置、開關,或藉由電絕緣層分離之任何其他主動及被動電子裝置,該電絕緣層例如層間介電層、溝槽絕緣層、或電子裝置製造領域中之一般技術者已知的任何其他絕緣層。在一個實施例中,基板302包括用於限制晶格位錯及缺陷之基板302上的一或多個層。
非晶碳層306係藉由熱化學氣相沉積(chemical vapor deposition; CVD)在基板上形成。非晶碳層306之厚度是可變的。在一或多個實施例中,非晶碳層306可具有範圍從約5 nm至約50 nm,包括範圍約10 nm至約30 nm之厚度。在一或多個實施例中,非晶碳層306可具有範圍從約5 nm至約50 nm,包括約5 nm、約10 nm、約15 nm、約20 nm、約25 nm、約30 nm、約35 nm、約40 nm、約45 nm,及約50 nm之厚度。
為了形成非晶碳層306,基板302經暴露於具有式(I)結構之碳前驅物
Figure 02_image012
, 其中R1 至R10 中之每一者係獨立地選自氫、鹵素,或經取代或未經取代之C1 至C4 烷基。
如本文中使用,「鹵素」指代元素週期表中之一組元素中的一或多者,更特定言之,指代氟(F)、氯(Cl)、溴(Br)、碘(I),及砈(At)。
如本文中使用,「烷基(alkyl)」或「烷基(alk)」包括直鏈或支鏈烴,包含正鏈中的1至20個碳,諸如甲基,乙基,丙基,異丙基,丁基,第三丁基,異丁基,戊基,己基,異己基,庚基,4,4-二甲基戊基,辛基,2,2,4-三甲基-戊基,壬基,癸基,十一烷基,十二烷基,其各種支鏈異構體等。該等基團可視情況包括最多1至4個取代基。在一或多個實施例中,R1 至R10 中之每一者係獨立地來自H、鹵素,或經取代或未經取代之C1 至C4 烷基。
在一或多個實施例中,式(I)化合物包含樟腦、L-葑酮、3-氯-2-降冰片酮、降冰片酮、1,3,7,7-四甲基-2-降冰片酮、降樟腦、(1R)-(-)-葑酮、(+)-葑酮、(-)-葑酮中之一或多者。
在一個實施例中,碳前驅物包含具有化學結構
Figure 02_image014
之樟腦。在一個實施例中,碳前驅物包含L-葑酮,具有化學結構
Figure 02_image016
。在一個實施例中,碳前驅物包含3-氯-2-降冰片酮,具有化學結構
Figure 02_image018
在一或多個實施例中,碳前驅物經引入至處理腔室中,並且經允許以熱裂解。如本文中所使用,用語「熱裂解」描述在熱影響下之分子的分裂。在一或多個實施例中,基板經保持在約100℃至約650℃之溫度範圍下。在一或多個實施例中,基板經保持在小於600℃之溫度下。在一或多個實施例中,基板經保持在約300℃至約600℃之溫度範圍下。在一或多個實施例中,基板經保持在小於約300℃之溫度下。
在一或多個實施例中,非晶碳膜之形成發生在範圍為約1托至約600托之壓力下。
在一或多個實施例中,非晶碳膜係使用熱分解製程形成。在一或多個實施例中,非晶碳膜係使用熱化學氣相沉積形成。在一或多個實施例中,非晶碳膜係在不使用或無電漿之情況下沉積。
在一或多個實施例中,將碳前驅物在安瓿中加熱且利用載氣將其流至基板,該碳前驅物具有式(I)結構
Figure 02_image020
,其中R1 至R10 中之每一者係獨立地選自H、鹵素,或經取代或未經取代之C1 至C4 烷基。如本文中所使用,術語「載氣」指代可將前驅物分子從一個位置移動至另一個位置的流體(氣體或液體中之任一者)。例如,載氣可為將安瓿中之固態前驅物的分子移動至煙霧器之液體。在一些實施例中,載氣可為惰性氣體。在一或多個實施例中,載氣為氫氣(H2 )、氬氣(Ar)、氦氣(He)、氙氣(Xe),或氮氣(N2 )中之一或多者。
在一或多個實施例中,非晶碳膜係硬遮罩層。
在一或多個實施例中,基板具有待圖案化之層。
在一或多個實施例中,基板包含黏著層或介電層中之一或多者。
一或多個實施例提供蝕刻基板之方法。如本文中所使用,術語「蝕刻」指代在半導體製造期間從基板(例如,晶圓)之表面化學移除層之製程。蝕刻為在半導體製造期間關鍵重要之製程,並且每一基板在其完成之前經歷多次蝕刻步驟。在一或多個實施例中,藉由抗蝕刻之遮罩材料來保護基板不受蝕刻劑的影響。在一或多個實施例中,硬遮罩材料為光阻劑,該光阻劑已經使用光微影圖案化。
一或多個實施例提供蝕刻基板之方法。參看第4A圖,在一或多個實施例中,非晶碳硬遮罩404經形成於基板400上。在一或多個實施例中,非晶碳硬遮罩具有至少一個開口440且係藉由將基板暴露於具有式(I)結構之碳前驅物來形成,
Figure 02_image022
其中R1 至R10 中之每一者係獨立地選自於H、鹵素,或經取代或未經取代之C1 至C4 烷基。在一或多個實施例中,基板400係穿過至少一個開口440蝕刻。
在一或多個實施例中,非晶碳硬遮罩係在小於約600℃之溫度下且在約1托至約600托之間的壓力範圍中形成。在一或多個實施例中,非晶碳硬遮罩404已經使用光微影或熟習該項技術者已知的其他方法來圖案化。
在一或多個實施例中,光阻劑係在蝕刻之前形成於基板上。在一或多個實施例中,光阻劑402經形成於基板400與非晶碳硬遮罩404之間。參看第4B圖,在一或多個實施例中,光阻劑406經形成於非晶碳硬遮罩404上。
參看第4C圖,在一或多個實施例中,在蝕刻基板400之後,移除非晶碳硬遮罩404。在一或多個實施例中,非晶碳硬遮罩404係藉由灰化移除。
一或多個實施例係針對方法。在一或多個實施例中,提供具有基板表面之基板。在一或多個實施例中,該基板經暴露於溫度範圍在約100℃至約650℃之間,且壓力範圍在約1托至約600托下的碳前驅物,以在基板表面上形成碳硬遮罩。碳前驅物具有以下式(I)結構
Figure 02_image024
, 其中R1 至R10 中之每一者係獨立地選自於H、鹵素或經取代或未經取代之C1 至C4 烷基。在一或多個實施例中,基板之至少一部分係穿過碳硬遮罩中之開口蝕刻。在一或多個實施例中,碳硬遮罩係藉由灰化從基板表面移除。
在上述說明書中,本揭示案之實施例已參照其特定示例性實施例來描述。顯而易見,可在不背離如在以下申請專利範圍中闡述之本案的實施例之更廣泛精神及範圍之情況下,對其進行各種修改。因此,本案及附圖被視為具有說明性意義而非限制性意義。
100‧‧‧基板 102‧‧‧材料層 104‧‧‧非晶碳層 108‧‧‧能量敏感抗蝕劑材料 110‧‧‧遮罩 130‧‧‧紫外線輻射 140‧‧‧孔/開口 150‧‧‧基板結構 200‧‧‧基板 204‧‧‧特徵 206‧‧‧非正形非晶碳層 208‧‧‧側壁 300‧‧‧橫截面圖 302‧‧‧基板 304‧‧‧特徵 306‧‧‧非晶碳層 308‧‧‧側壁 310‧‧‧底表面 312‧‧‧上表面 400‧‧‧基板 402‧‧‧光阻劑 404‧‧‧非晶碳硬遮罩 406‧‧‧光阻劑 440‧‧‧開口 S‧‧‧平均厚度 T‧‧‧平均厚度
以可詳細理解本案之上述特徵之方式,簡要概述於上文之本揭示案之更特定描述可參照實施例獲得,該等實施例中之一些圖係示於附圖中。然而,應注意,附圖僅圖示本揭示案之典型實施例並且因此不視為限制其範疇,因為本揭示案可允許其他同等有效之實施例。如本文所述之實施例係藉由舉例之方式示出且不限於附圖之圖式中,在附圖中相同的元件符號指示相同的元件。
第1A圖圖示根據先前技術之基板之橫截面圖;
第1B圖圖示根據先前技術之基板之橫截面圖;
第1C圖圖示根據先前技術之基板之橫截面圖;
第1D圖圖示根據先前技術之基板之橫截面圖;
第1E圖圖示根據先前技術之基板之橫截面圖;
第2圖圖示根據先前技術之基板之橫截面圖;
第3圖圖示根據一或多個實施例之基板之橫截面圖;
第4A圖圖示根據一或多個實施例之基板之橫截面圖;
第4B圖圖示根據一或多個實施例之基板之橫截面圖;及
第4C圖圖示根據一或多個實施例之基板之橫截面圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
300‧‧‧橫截面圖
302‧‧‧基板
304‧‧‧特徵
306‧‧‧非晶碳層
308‧‧‧側壁
310‧‧‧底表面
312‧‧‧上表面
S‧‧‧平均厚度
T‧‧‧平均厚度

Claims (19)

  1. 一種包括在無電漿之情況下且在自100℃至小於600℃之一範圍中之一溫度下藉由將一基板暴露於一碳前驅物而在該基板上形成一非晶碳膜之方法,該碳前驅物具有一式(I)結構:
    Figure 108119128-A0305-02-0024-3
    其中R1至R10中之每一者係獨立地選自於H、一鹵素,或一經取代或未經取代之C1至C4烷基。
  2. 如請求項1所述之方法,其中該碳前驅物包含樟腦、L-葑酮、3-氯-2-降冰片酮、降冰片酮、1,3,7,7-四甲基-2-降冰片酮、降樟腦、(1R)-(-)-葑酮、(+)-葑酮、(-)-葑酮中之一或多者。
  3. 如請求項1所述之方法,其中該溫度於自300℃至小於600℃之一範圍中。
  4. 如請求項1所述之方法,其中該碳前驅物係在一安瓿中加熱且利用一載氣流動至該基板。
  5. 如請求項4所述之方法,其中該載氣包含氫氣(H2)、氬氣(Ar)、氦氣(He)、氙氣(Xe),或氮氣(N2)中之一或多者。
  6. 如請求項1所述之方法,其中該非晶碳膜係藉由一熱分解製程形成。
  7. 如請求項1所述之方法,其中該非晶碳膜為正形或可灰化膜中之一或多者。
  8. 如請求項1所述之方法,其中該非晶碳膜係一硬遮罩層。
  9. 如請求項8所述之方法,其中該基板包含待圖案化之一層。
  10. 如請求項1所述之方法,其中該基板包含一黏著層或一介電層中之一或多者。
  11. 如請求項1所述之方法,其中該基板包括選自一峰端、一溝槽或一通孔之至少一個特徵。
  12. 如請求項11所述之方法,其中該非晶碳膜係正形的。
  13. 一種蝕刻一基板之方法,包含以下步驟:在該基板上形成一非晶碳硬遮罩,在無電漿之情況下且在自100℃至小於600℃之一溫度範圍下沉積該非晶碳硬遮罩,及該非晶碳硬遮罩具有至少一個開口且藉由將該基板暴露於一碳前驅物來形成,該碳前驅物具有一式(I)結構:
    Figure 108119128-A0305-02-0026-4
    其中R1至R10中之每一者係獨立地選自於H、一鹵素,或一經取代或未經取代之C1至C4烷基;及通過該至少一個開口蝕刻該基板。
  14. 如請求項13所述之方法,其中該碳前驅物包含樟腦、L-葑酮、3-氯-2-降冰片酮、降冰片酮、1,3,7,7-四甲基-2-降冰片酮、降樟腦、(1R)-(-)-葑酮、(+)-葑酮、(-)-葑酮中之一或多者。
  15. 如請求項13所述之方法,進一步包括以下步驟:在蝕刻之前形成一光阻劑,該光阻劑形成於該基板與該非晶碳硬遮罩之間或形成於該非晶碳硬遮罩上。
  16. 如請求項13所述之方法,進一步包括以下步驟:在蝕刻該基板之後移除該非晶碳硬遮罩。
  17. 如請求項16所述之方法,其中該非晶碳硬遮罩係藉由灰化移除。
  18. 如請求項13所述之方法,其中該非晶碳硬遮罩係在1托至600托之一範圍中之一壓力下形成。
  19. 一種蝕刻一基板之方法,包括以下步驟: 提供具有一基板表面之一基板;於自100℃至600℃之一範圍中之一溫度及自1托至600托之一範圍中之一壓力下,將該基板暴露至一碳前驅物,以在該基板表面上形成一碳硬遮罩,該碳硬遮罩沉積於無電漿之情況下,且該碳前驅物具有一式(I)結構:
    Figure 108119128-A0305-02-0027-5
    其中R1至R10中之每一者係獨立地選自於H、一鹵素,或一經取代或未經取代之C1至C4烷基;穿過該碳硬遮罩中之一開口蝕刻該基板之至少一部分;及藉由灰化從該基板表面移除該碳硬遮罩。
TW108119128A 2018-06-15 2019-06-03 形成非晶碳膜及蝕刻基板之方法 TWI764002B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862685413P 2018-06-15 2018-06-15
US62/685,413 2018-06-15

Publications (2)

Publication Number Publication Date
TW202006175A TW202006175A (zh) 2020-02-01
TWI764002B true TWI764002B (zh) 2022-05-11

Family

ID=68839369

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108119128A TWI764002B (zh) 2018-06-15 2019-06-03 形成非晶碳膜及蝕刻基板之方法

Country Status (7)

Country Link
US (1) US11043379B2 (zh)
JP (1) JP7464539B2 (zh)
KR (1) KR102571633B1 (zh)
CN (1) CN112262227B (zh)
SG (1) SG11202011810RA (zh)
TW (1) TWI764002B (zh)
WO (1) WO2019241012A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210082692A1 (en) * 2019-09-17 2021-03-18 Asm Ip Holding B.V. Method of forming a carbon-containing layer and structure including the layer
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
KR102619935B1 (ko) * 2022-02-18 2024-01-02 부산대학교 산학협력단 Sb-SnO2에 루테늄 산화물이 존재하는 헤테로 구조 촉매 및 그 제조방법
US20230360924A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Low temperature carbon gapfill
WO2023249899A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation High aspect ratio etch with a metal or metalloid containing mask

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101174099A (zh) * 2006-07-18 2008-05-07 应用材料公司 用于高数值孔径和浸润式光刻的分级式抗反射涂层
TW201500572A (zh) * 2013-03-15 2015-01-01 Applied Materials Inc 碳摻雜氧化物膜之層對層沉積

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
JP2009231810A (ja) 2008-02-26 2009-10-08 Denso Corp 半導体カーボン膜、半導体素子、及び半導体カーボン膜の製造方法
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101174099A (zh) * 2006-07-18 2008-05-07 应用材料公司 用于高数值孔径和浸润式光刻的分级式抗反射涂层
TW201500572A (zh) * 2013-03-15 2015-01-01 Applied Materials Inc 碳摻雜氧化物膜之層對層沉積

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
期刊 Debabrata Pradhan et al, "Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor", Applied Surface Science, February 15, 2007. 1 1 1 1 1 *

Also Published As

Publication number Publication date
KR102571633B1 (ko) 2023-08-25
TW202006175A (zh) 2020-02-01
JP7464539B2 (ja) 2024-04-09
JP2021527172A (ja) 2021-10-11
CN112262227B (zh) 2022-09-23
US20190385845A1 (en) 2019-12-19
US11043379B2 (en) 2021-06-22
CN112262227A (zh) 2021-01-22
WO2019241012A1 (en) 2019-12-19
KR20210008171A (ko) 2021-01-20
SG11202011810RA (en) 2020-12-30

Similar Documents

Publication Publication Date Title
US11332376B2 (en) Diamond-like carbon film
TWI764002B (zh) 形成非晶碳膜及蝕刻基板之方法
JP7266068B2 (ja) 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
CN110970355B (zh) 镶嵌工艺中金属阻挡层的选择性沉积
JP5122106B2 (ja) 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
CN111032667A (zh) 有机金属化合物和沉积高纯度氧化锡方法
US11094631B2 (en) Graphene layer for reduced contact resistance
CN110419093B (zh) 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法
TWI713082B (zh) 透過限制達成的矽化物相控制
US7022582B2 (en) Microelectronic process and structure
TW202221766A (zh) 半導體元件及其製備方法
TWI841442B (zh) 具有多孔層的半導體元件
TWI847786B (zh) 具有多孔層的半導體元件
TWI833263B (zh) 具有插塞結構的半導體元件
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
KR20240002570A (ko) 하드마스크의 제조방법 및 이를 이용한 반도체 소자의 제조방법
CN117438408A (zh) 半导体装置及其制备方法
TW202345330A (zh) 具有多重碳濃度介電層的半導體元件及其製備方法
JPH05217960A (ja) 半導体装置の製造方法