TWI820667B - 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料 - Google Patents

間隙填充物沉積方法及類金剛石之碳的間隙填充物材料 Download PDF

Info

Publication number
TWI820667B
TWI820667B TW111113426A TW111113426A TWI820667B TW I820667 B TWI820667 B TW I820667B TW 111113426 A TW111113426 A TW 111113426A TW 111113426 A TW111113426 A TW 111113426A TW I820667 B TWI820667 B TW I820667B
Authority
TW
Taiwan
Prior art keywords
electrode
substrate
power
gap
mhz
Prior art date
Application number
TW111113426A
Other languages
English (en)
Other versions
TW202229608A (zh
Inventor
薩莫爾E 戈坦姆
艾斯華倫納德 凡卡塔蘇巴拉馬尼恩
帕拉米特 曼納
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202229608A publication Critical patent/TW202229608A/zh
Application granted granted Critical
Publication of TWI820667B publication Critical patent/TWI820667B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

本揭示案之實施例大體關於積體電路之製造。更特定而言,本文所述之實施提供用於沉積高品質間隙填充物之技術。一些實施例利用化學氣相沉積、電漿氣相沉積、物理氣相沉積及其組合來沉積該間隙填充物。該間隙填充物為高品質的且在性質方面類似於類似組成之塊體材料。

Description

間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
本揭示案大體上關於沉積薄膜之方法。詳言之,本揭示案關於藉由高品質介電性間隙填充物來填充窄溝槽之製程。
半導體電路組件之小型化在商業規模上已達到製造45nm、32nm、28nm及甚至20nm之特徵大小的程度。隨著尺寸持續變得更小,對於諸如藉由多種材料來填充電路組件之間的間隙的製程步驟而言,新的挑戰出現了。隨著組件之間的寬度持續縮小,其間的間隙時常變得更高且更窄,使得間隙更難以填充而無間隙填充材料被卡住以產生孔隙及弱接縫。習知化學氣相沉積(chemical vapor deposition;CVD)技術時常在間隙被完全填充之前經歷間隙頂部處之材料的過度生長。此可在間隙中產生孔隙或接縫,其中由於過度生長而過早地切斷了沉積材料;問題有時被稱作麵包成塊。
麵包成塊問題之一個解決方案為使用間隙填充前驅物及電漿激發之前驅物在無電漿之基板處理區域中組合以便形成新生的可流動膜。如此沉積之可流動性允許膜使用此化學氣相沉積技術在無接縫或孔隙之情況下填充間隙。已發現此化學氣相沉積產生比旋塗玻璃(spin-on glass; SOG)製程或旋塗介電質(spin-on dielectric; SOD)製程更佳的間隙填充性質。雖然藉由CVD沉積之可流動膜沉積具有較少麵包成塊問題,但該等技術仍無法用於一些類別之材料。
雖然可流動CVD技術代表了藉由其他間隙填充材料來填充高的窄(亦即,高的深寬比)間隙方面的重大突破,但仍需要可藉由高品質介電材料來無縫地填充該等間隙的技術。舉例而言,以前可流動的基於碳之間隙填充物含有大量氧及矽。此些元素明顯改變了基於碳之間隙填充膜的性質。
因此,需要用於沉積高品質間隙填充膜之前驅物及方法。
本揭示案之一或多個實施例針對一種間隙填充物沉積方法,該方法包括將基板定位在處理腔室之處理空間內的靜電卡盤上。該基板具有在其中包括至少一個特徵之基板表面。該至少一個特徵延伸自該基板表面至底表面之深度。該至少一個特徵在該基板表面處具有由第一側壁及第二側壁限定的開口寬度。使間隙填充前驅物自在該靜電卡盤上方間隔開之氣體分配組件流入該處理空間中,而同時該處理空間被維持在約0.5毫托與約10托之間的壓力下。藉由將第一RF偏壓施加至該靜電卡盤而在該基板上方之該處理空間中產生電漿,以在該基板之該至少一個特徵內沉積間隙填充物。該間隙填充物大體上不包括孔隙。
本揭示案之額外實施例針對一種間隙填充物沉積方法,該方法包括將基板定位在處理腔室之處理空間內的第一電極之上。該基板具有在其中包括至少一個特徵之基板表面。該至少一個特徵延伸自該基板表面至底表面之深度。該至少一個特徵在該基板表面處具有由第一側壁及第二側壁限定的開口寬度。該處理腔室進一步包括定位在該第一電極及該基板上方之第二電極。該第二電極具有包括二次電子發射材料之表面,該二次電子發射材料包括含矽材料或含碳材料中之一或多者。使間隙填充前驅物流入該處理空間中。將第一RF功率施加至該第一電極及該第二電極中之至少一者。在該基板之該至少一個特徵內形成間隙填充物。該間隙填充物大體上不包括孔隙。
本揭示案之另外實施例針對一種在基板之特徵內的類金剛石之碳間隙填充物。該間隙填充物具有在約1.8g/cm 3至約2.5g/cm 3之範圍中的密度,自約百分之50至約百分之90的sp 3雜化碳原子,以及小於100MPa之應力。該特徵延伸自該基板之表面至底表面的深度。該特徵在該表面處具有由第一側壁及第二側壁限定之開口寬度。該特徵具有該深度與該開口寬度之大於或等於約10:1的比率。
如在本說明書及附加申請專利範圍中所使用,互換地使用術語「基板」及「晶圓」,均指代製程在其上起作用之表面,或表面之部分。熟習本技藝者亦應理解,對基板之提及亦可僅指代基板之部分,除非上下文中另有明確說明。另外,對在基板上沉積之提及可意謂裸基板以及具有沉積或形成於其上之一或多個膜或特徵的基板兩者。
如本文中所使用,「基板」指代在製造製程期間在其上執行膜處理的任何基板或形成於基板上之材料表面。舉例而言,視應用而定,可在其上執行處理之基板表面包括諸如以下各者之材料:矽、氧化矽、應力矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜之氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,諸如金屬、金屬氮化物、金屬合金及其他導電材料。基板包括而不限於半導體晶圓。可將基板暴露於預處理製程,以對基板表面研磨、蝕刻、還原、氧化、羥基化(或以其他方式產生或接枝目標化學部分以賦予化學功能)、退火及/或烘烤。除了直接在基板表面自身上進行膜處理以外,在本揭示案中,亦可在形成於基板上的下層(如以下更詳細地揭示)上執行所揭示之膜處理步驟中之任一者,且術語「基板表面」意欲包括該等下層,如上下文中所指示。因此,例如,在膜/層或部分膜/表面已沉積在基板表面上之情況下,最新沉積之膜/層的被暴露表面成為基板表面。給定基板表面包括何者將取決於將沉積何種膜,以及所使用之特定化學物質。
如在本說明書及附加申請專利範圍中所使用,可互換地使用術語「反應氣體」、「前驅物」、「反應物」及其類似者,以意謂包括與基板表面反應之物質的氣體。舉例而言,第一「反應氣體」可簡單地吸附在基板之表面上且可用於與第二反應氣體之進一步化學反應。
如本文中所使用,術語「大約」意謂大致或近似且在所闡述之數值或範圍之上下文中意謂數值之±15%或更小之變化。舉例而言,相差±14%、±10%、±5%、±2%或±1%之值將滿足大約之定義。
以下揭示內容描述用於在基板之特徵中沉積高品質間隙填充物之技術。在以下描述中並在圖1至圖8中闡述某些細節以提供對本揭示案之各種實施例的徹底理解。未在以下揭示內容中闡述描述時常與電漿處理及間隙填充物沉積相關聯之熟知結構及系統的其他細節,以避免不必要地混淆各種實施例之描述。
諸圖中所示之細節、尺寸、角度及其他特徵中之許多者僅說明特定實施例。因此,在不脫離本揭示案之精神或範疇的情況下,其他實施例可具有其他細節、元件、尺寸、角度及特徵。另外,可在無以下所述細節中之若干者的情況下實踐本揭示案之另外實施例。
以下將參考可使用任何合適之薄膜沉積系統執行之PECVD製程來描述本文所述實施例。合適系統之實例包括可使用DXZ ®處理腔室之CENTURA ®系統、PRECISION 5000 ®系統、PRECISION 5000 ®系統、PRODUCER ®系統、PRODUCER ®GT TM系統、PRODUCER ®XP Precision TM系統、PRODUCER ®SE TM系統、Sym3 ®處理腔室及Mesa 處理腔室,所有此些均可購自加利福尼亞州聖克拉拉市的應用材料有限公司。能夠執行PECVD處理之其他工具亦可適於受益於本文所述實施例。另外,可使用能夠進行本文所述之PECVD製程的任何系統。本文所述之設備描述為說明性的,且不應被解釋或解讀為限制本揭示案之範疇。
亦可在具有由陶瓷壁環繞之多頻電容耦合電漿(capacitively coupled plasma; CCP)配置的處理腔室中沉積本文所述之間隙填充物。陶瓷壁外部為電感耦合線圈,該電感耦合線圈亦可以電感方式增強電漿。處理腔室具有頂電極,該頂電極由一或多個RF發生器供電。該頂電極亦可充當氣體分配噴頭。亦可側向地將原料氣體注入處理腔室中,用於調節膜均勻性。處理腔室進一步包括底電極,晶圓定位在該底電極處。該底電極亦由RF發生器供電,且驅動頻率取決於選定之沉積方案。
在一些實施例中,經由噴頭電極亦或側壁注入件將間隙填充前驅物引入處理腔室中。處理腔室通常包括頂電極(例如,噴頭電極)、底電極(例如,基座)及環繞處理腔室之部分的ICP線圈。可以惰性氣體(諸如,Ar或He)來稀釋間隙填充前驅物,以增大電漿密度。在撞擊電漿之前,可藉由真空泵上方之閘閥在處理腔室中建立穩定的操作壓力(例如,自約0.1毫托至幾托)。將RF功率施加至頂電極、底電極及ICP線圈中之至少一者。視電源方案而定,可同時對頂電極、底電極及ICP線圈供電,或可同時對此三者中之兩者供電。所施加之RF頻率範圍為自幾百kHz至數十MHz。亦可將多個頻率施加至頂電極或底電極,以最佳化離子通量及入射至基板上之能量。在所需沉積時間之後,關閉電源,且沉積完成。
針對頂電極、底電極及ICP線圈之電源方案可為以下電源方案中之任一者。在一些實施例中,僅對頂電極供電。頂電極可同時受多個頻率驅動(例如,約2MHz及約40MHz)。在一些實施例中,對頂電極及ICP供電。可將ICP用作構件以進一步降低k值,增大沉積速度或調整均勻性。在一些實施例中,僅對底電極供電。在此配置中,底電極受高頻驅動(例如,高於13MHz之頻率,例如,60MHz)亦或受高頻及低頻驅動。在一些實施例中,同時對底電極及頂電極供電。在一些實施例中,同時對下部電極及ICP供電。
在一些實施例中,頂電極具有由高二次電子發射材料(諸如,矽或碳)製成之電極表面。當以離子轟擊頂電極時,由於離子轟擊而發射之二次電子被電漿鞘加速,藉此獲得高能量。彼些高能二次電子如同電子束一樣向下射擊,且對烴分子之游離及離解非常有效。為了增大碰撞機率,可增大頂電極與底電極之間的間隙。
目前間隙填充物應用主要使用可流動膜,該等可流動膜本質上為非晶的,但其性質不同於類似塊體膜。在許多情形下,相對於可流動間隙填充物之性質,塊體膜性質較佳。因而,需要間隙填充物,尤其是碳(類金剛石)膜及具有類似於塊體材料之性質的其他介電材料(例如,Si、SiN、SiO)。對於類金剛石之碳材料而言,間隙填充物所尋求之塊體性質包括但不限於高密度及模數(例如,更高的sp 3含量,更類同金剛石)及低應力(例如,<-500MPa)。
本文所述之一些實施例提供製造具有高密度(例如,>1.8g/cc)、高模數(例如,>150GPa)及低應力(例如,<-500MPa)之碳間隙填充物的方法。根據本文所述之一些實施例產生的碳間隙填充物不僅具有低應力而且具有高的sp 3碳含量。
在一些實施例中,可藉由使用間隙填充前驅物之化學氣相沉積(電漿增強及/或熱)製程形成本文所述之間隙填充材料。在一些實施例中,間隙填充前驅物包括烴,且間隙填充物包括類金剛石之碳材料。在一些實施例中,該烴選自由以下各者組成之組:C 2H 2、C 3H 6、CH 4、C 4H 8、1,3-二甲基金剛烷、雙環[2.2.1]庚-2,5-二烯(2,5-降冰片二烯)、金剛烷(C 10H 16)、降冰片烯(C 7H 10),或其組合。在一些實施例中,間隙填充前驅物包括含矽物質,且間隙填充物包括介電材料。在一些實施例中,介電材料包括矽、氧化矽或氮化矽中之一或多者。
可在範圍為自-50攝氏度至600攝氏度之溫度下執行間隙填充物沉積製程。可在壓力範圍為自0.1毫托至10托之處理空間中執行間隙填充物沉積製程。間隙填充前驅物可進一步包括He、Ar、Xe、N 2、H 2中之任一者,或其中任何者之組合。
在一些實施例中,間隙填充前驅物可進一步包括蝕刻劑氣體,諸如,Cl 2、CF 4、NF 3,以改良膜品質。可自頂電極及底電極亦或側電極形成電漿(例如,電容耦合電漿)。該等電極可由單供電電極、雙供電電極或具有多個頻率(諸如但不限於350kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz及100MHz)之更多電極形成,在CVD系統中交替地或同時地使用該多個頻率連同本文所列之反應氣體中之任何者或全部,以在基板之特徵中沉積間隙填充材料。
在一些實施例中,經由RPS餽送氫自由基,此導致sp 2雜化碳原子之選擇性蝕刻,藉此進一步增大間隙填充物之sp 3雜化碳原子比例。
圖1描繪可使用電子束電漿技術實踐本揭示案之一些實施例的處理腔室100之一個實例的示意圖。電子束電漿腔室具有限定處理腔室100之真空腔室主體,該處理腔室100包括圓柱形之側壁102。處理腔室100由柵格過濾器104劃分為上部腔室100a及下部腔室100b。下部腔室100b為漂移空間,因為在不施加偏置電壓的情況下其中無實質性電場。頂板106覆蓋上部腔室100a並支撐電極108。在一些實施例中,電極108由製程相容材料形成,諸如,矽、碳、矽碳化合物或氧化矽化合物。在一些實施例中,電極108由金屬氧化物形成,諸如,氧化鋁、氧化釔或氧化鋯。頂板106及電極108可為圓碟形。電極108之底表面面向柵格過濾器104且暴露於上部腔室100a之內部體積。在一些實施例中,絕緣體或介電環109環繞電極108。
在特定實施例中,電極108是由含碳材料形成,該含碳材料可在間隙填充物沉積製程期間輔助提供碳源。因此,在執行諸多間隙填充物沉積製程之後,可消耗電極108。自電極108移出之材料可藉由消耗自電極108轟擊之材料而輔助形成具有高的膜密度之碳間隙填充層。因此,可執行電極108之週期性替換以確保製程可靠性及可重複性。
在一些實施例中,電極108充當噴頭組件,用於將處理氣體輸送至處理腔室100中。在一些實施例中,電極108具有複數個開口108-1,用於將處理氣體輸送至處理腔室100中。經由閥陣列(未示出)自處理氣體供應器114之陣列供應氣體。
用於支撐下部腔室100b中之工件(例如,基板111)的工件支撐基座110具有面向柵格過濾器104之工件支撐表面110a,且可藉由升舉伺服器112在軸向上移動。在一些實施例中,工件支撐基座110包括形成工件支撐表面110a之絕緣圓碟195、在絕緣圓碟195內部之工件電極196,以及連接至工件電極196之卡緊電壓供應器199。另外,在絕緣圓碟195之下的基層194具有內部通道107,用於使來自循環供應器198之熱介質(例如,液體)循環。循環供應器198可充當散熱器或充當熱源。
經由RF餽送導體123經由阻抗匹配件124將具有VHF頻率(例如,160MHz)之RF電源功率發生器120以及具有低於VHF範圍或低於HF範圍之頻率(例如,在MF或LF範圍中,例如,2MHz)的較低功率RF電源功率發生器122耦接至電極108。RF電源功率發生器120、122通常能夠產生具有自約0.4kHz至約300MHz之頻率以及在約0瓦特與約10,000瓦特之間的功率的RF信號。在一些實施例中,阻抗匹配件124經調適以提供RF電源功率發生器120及122之不同頻率下的阻抗匹配,以及提供過濾以使功率發生器彼此隔離。藉由控制器126獨立地控制RF電源功率發生器120、122之輸出功率位準。如以下將詳細描述,將來自RF電源功率發生器120、122之功率耦合至電極108。在一些實施例中,頂板106導電且與電極108電接觸,且來自阻抗匹配件124之功率經由頂板106傳導至電極108。
在一些實施例中,側壁102由金屬形成且接地。在一些實施例中,上部腔室100a內部之接地內表面的表面積為電極108之表面積的至少兩倍。在一些實施例中,處理腔室100內部之接地內表面可塗佈有製程相容材料,諸如矽、碳、矽碳化合物或氧化矽化合物。在替代實施例中,處理腔室100內部之接地內表面可塗佈有諸如氧化鋁、氧化釔或氧化鋯之材料。
在一些實施例中,可以兩個單獨受控制之VHF功率發生器120a及120b來替換RF電源功率發生器120。VHF功率發生器120a具有在VHF頻帶之下部部分(例如,30MHz至150MHz)中的輸出頻率,而VHF功率發生器120b具有在VHF頻帶之上部部分(例如,150MHz至300MHz)中的輸出頻率。控制器126可藉由選擇VHF功率發生器120a及120b之輸出功率位準之間的比率來管控電漿離子密度。藉由兩個VHF功率發生器120a及120b,可藉由選擇上部腔室100a之間隙(電極108與柵格過濾器104之間的距離)來控制上部腔室100a中之徑向電漿均勻性,使得下部VHF頻率藉由自身在上部腔室100a中產生電漿離子密度之邊緣高的徑向分佈,且上部VHF頻率藉由自身產生電漿離子密度之中心高的徑向分佈。藉由此選擇,接著將兩個VHF功率發生器120a、120b之功率位準設定為使電漿離子密度之徑向分佈之均勻性最佳化的比率。
在一些實施例中,頂板106為用於電極108之支撐件,且包括絕緣層150,該絕緣層150含有面向電極108之卡緊電極152。DC卡緊電壓供應器154經由餽送導體155耦接至卡緊電極152,用於以靜電方式將電極108夾緊至頂部106。DC阻擋電容器156可與阻抗匹配件124之輸出串聯連接。控制器126可控制DC卡緊電壓供應器154。在一些實施例中,來自阻抗匹配件124之RF餽送控制器123可連接至電極支撐件或頂部106,而非直接連接至電極108。在此實施例中,來自RF餽送導體123之RF功率可自電極支撐件電容耦合至電極108。在一些實施例中,上部氣體注入器130經由第一閥132將處理氣體提供至上部腔室100a中。在一些實施例中,下部氣體注入器134經由第二閥136將處理氣體提供至下部腔室100b中。經由閥140之陣列自處理氣體供應器138之陣列供應氣體,例如,該等閥可包括第一閥132及第二閥136。在一些實施例中,至上部腔室100a及下部腔室100b中之氣體種類及氣體流動速率為獨立可控的。控制器126可管控閥140之陣列。在一些實施例中,將惰性氣體供應至上部腔室100a中,且將處理氣體供應至下部腔室100b中。可選擇惰性氣體流動速率以大體上防止氣體自下部腔室100b對流或擴散至上部腔室100a中,從而提供上部腔室100a之實質性化學隔離。
在一些實施例中,可藉由各種塊體製程及表面製程在上部腔室100a中產生電漿,該等製程包括頂部電子發射電極108之內表面的高能粒子轟擊。電極108之離子轟擊能量及電漿密度為RF電源功率發生器120及122兩者之函數。電極108之離子轟擊能量可大體上受來自RF電源功率發生器122之較低頻率功率控制,且上部腔室100a中之電漿密度可大體上由來自RF電源功率發生器120之VHF功率控制(增強)。可自電極108之內表面發射高能二次電子。來自發射表面之高能電子通量可包括電子束,且可具有大體上垂直於電極108之內表面的方向,以及大致為電極108之離子轟擊能量的束能量,其通常範圍可為自約10eV至5000eV,諸如,至少大於100eV。不同製程之碰撞橫截面取決於電子能量。在低能量下,用於激發(及分子氣體中之離解)之橫截面大於用於游離之橫截面,而在高能量下則相反。可有利地選擇(若干)RF功率位準以瞄準各種非彈性電子碰撞製程。
在一些實施例中,側壁102中之側窗170面向上部腔室100a,且由RF功率可經由其進行電感耦合的材料(例如,石英或氧化鋁)形成。電感線圈天線172環繞側窗170且由可選RF電源功率發生器174經由阻抗匹配件176驅動。將待施加於電感線圈天線172之RF電源功率發生器174控制在約200瓦特(W)與約10千瓦之間。施加至電感線圈天線172之電感耦合功率的頻率可在2MHz與約13MHz之間。遠端電漿源197可將電漿物質引入下部腔室100b中。在具有RF電源功率發生器174及電感線圈天線172之實施例中,可藉由來自RF電源功率發生器174之RF功率大體上控制(增強)上部腔室100a中之電漿密度。在一個實例中,RF電源功率發生器174及電感線圈天線172可輔助提供轟擊功率以自電極108濺射掉材料,因此輔助將材料放至安置於工件支撐基座110上的基板111之表面上。
在一些實施例中,柵格過濾器104為扁平圓碟形狀且可與側壁102同軸。柵格過濾器104形成有複數個開口104-1之陣列。在一些實施例中,選擇柵格過濾器104之軸向厚度T及複數個開口104-1之直徑「d」,以促進高能導向束電子流經柵格過濾器104,同時阻止非束(低能量)電子及電漿離子流經柵格過濾器104,且柵格過濾器孔面積與總的柵格過濾器面積之比率可最大化。高能電子通量(電子束)可經過柵格過濾器104至下部腔室100b,且可藉由各種電子衝擊製程在下部腔室100b中產生電漿。
由電子束在下部腔室100b中產生之電漿可具有與上部腔室100a中之電漿不同的特性。柵格過濾器104可充當過濾器以大體上使上部腔室100a及下部腔室100b彼此電隔離。在一些實施例中,柵格過濾器104由導電或半導體材料形成,且可連接接地或可為電浮動的。在一些實施例中,柵格過濾器104由非導電材料形成。在一些實施例中,柵格過濾器104可塗佈有製程相容材料,諸如,矽、碳、矽碳化合物或氧化矽化合物。在一些實施例中,柵格過濾器104可塗佈有諸如氧化鋁、氧化釔或氧化鋯之材料。在一些實施例中,在上部腔室100a中產生之電漿可具有高電子密度及/或高電子溫度,且具有撞擊在電極108上之高能離子。
由歸因於電極表面之高能離子轟擊而自電極108發射之二次電子通量組成的電子束之至少一部分傳播經過柵格過濾器104並傳播至下部腔室100b中,從而在下部腔室100b中產生低電子溫度之電漿,具有取決於束能量及通量以及其他因素(諸如,壓力及氣體成分)的電漿密度。當離開下部腔室100b之電漿區域時,高能束電子可撞擊基板111或工件支撐基座110。留下之電漿可容易地使由電子束通量導致之任何所得表面電荷放電。
在需要較高電子束通量或較高電子束密度之一些實施例中,可移除或消除柵格過濾器104,如圖2中所示,以輔助自電極108發射之二次電子束通量以較快速率到達基板111或工件支撐基座110。或者,可出於任何製程考慮及需要,在處理腔室100中移除或消除柵格過濾器104,如圖2中所示。
在一些實施例中,將諸如氯之電負性或電子吸附氣體供給至腔室中,將RF及/或VHF功率施加至電極108,視情況將RF功率施加至電感線圈天線172,視情況將遠端電漿源(remote plasma source; RPS)功率施加至遠端電漿源(RPS)197,在上部腔室100a中產生電漿,且相對於接地及相對於電漿在電極108上形成加速電壓。電極108之所得高能離子轟擊產生來自電極表面之二次電子發射,其構成來自電極表面之電子束通量。柵格過濾器104允許電子束之至少一部分傳播經過柵格過濾器104且至下部腔室100b中,而同時防止非束電子之至少一部分及電漿離子經過柵格過濾器104,從而在下部腔室100b中產生低電子溫度之電漿。在下部腔室100b中在電負性氣體(諸如,氯氣)中的所得低電子溫度之電漿可產生高度電負性之電漿,具有遠高於電子密度且達到正離子之密度的負離子密度。此電漿通常稱為離子-離子電漿。
可視情況使用大體上平行於電子束的大體上軸向導向之磁場以幫助導引電子束,從而改良電子束傳送經過上部腔室100a、柵格過濾器104及/或下部腔室100b。可將低頻率偏置電壓或低重複頻率之任意波形施加至工件支撐基座110(例如,施加至工件電極196),以選擇性地或交替地自該電漿提取正及/或負離子,並將彼些離子加速至所需位準以便衝擊基板111之表面用於蝕刻、清潔、沉積或其他材料改性。(a)在上部腔室100a中產生的自由基、(b)藉由下部腔室100b中之電子束產生的自由基、(c)藉由將偏置電壓施加至工件支撐基座110產生的自由基或(d)藉由遠端電漿源(remote plasma source; RPS)197產生的自由基可對流或擴散至基板111且參與工件表面上之反應。
在一些實施例中,將諸如氦氣或氬氣之相對惰性氣體供給至上部腔室100a中,且電負性的或電子吸附氣體(諸如,六氟化硫或氟化碳或其類似者)流入下部腔室100b中,將RF及/或VHF功率施加至電極108,視情況將RF功率施加至電感線圈天線172,視情況將RPS功率施加至遠端電漿源197,在上部腔室100a中產生電漿,且相對於接地及相對於電漿在電極108上形成加速電壓。電極108之所得高能離子轟擊產生來自電極表面之二次電子發射,其構成來自電極表面之電子束通量。柵格過濾器104允許電子束之至少一部分傳播經過柵格過濾器104且傳播至下部腔室100b中,而同時防止非束電子之至少一部分及電漿離子經過柵格過濾器104,從而在下部腔室100b中產生低電子溫度之電漿。
在下部電漿腔室中在電負性氣體中的所得低電子溫度之電漿可產生高度電負性之電漿,具有遠高於電子密度且達到正離子之密度的負離子密度,通常將其稱為離子-離子電漿。
在一些實施例中,柵格過濾器104為氣體分配板,其具有內部氣體通道105a及氣體注入出口105b。內部氣體通道105a可耦接至閥140之陣列。
在一些實施例中,RF偏壓功率發生器142經由阻抗匹配件144耦接至工件支撐基座110之工件電極196。在又一實施例中,波形定製處理器147可連接在阻抗匹配件144之輸出與工件電極196之間。波形定製處理器147將RF偏壓功率發生器142所產生之波形改變為所需波形。藉由波形定製處理器147控制靠近基板111之電漿之離子能量。在一些實施例中,波形定製處理器147產生其中在每一RF循環之特定部分期間振幅保持在對應於所需離子能級之位準的波形。控制器126可控制波形定製處理器147。
在一些實施例中,具有VHF或HF頻率(例如,11MHz至60MHz)之RF功率發生器146以及具有低於VHF範圍或低於HF範圍(例如,在MF或LF範圍中,例如,為0.4kHz至10MHz)之頻率的RF功率發生器148經由阻抗匹配件144耦接至工件電極196。RF功率發生器146、148通常能夠產生具有自約0.4kHz至約300MHz之頻率以及在約0瓦特與約10,000瓦特之間的功率的RF信號。在一些實施例中,RF功率發生器146、148為RF偏壓功率發生器。在一些實施例中,RF功率發生器146、148為RF電源功率發生器。在一些實施例中,阻抗匹配件124經調適以提供RF功率發生器146及148之不同頻率下的阻抗匹配,以及提供過濾以使功率發生器彼此隔離。藉由控制器126獨立地控制RF功率發生器146、148之輸出功率位準。如將在本文中描述,來自RF功率發生器146、148之功率耦合至工件電極196。如先前所論述,可使用VHF發生器。
在一些實施例中,磁體160環繞處理腔室100。在一些實施例中,該磁體包括分別與上部腔室100a及下部腔室100b相鄰之一對磁體160-1、160-2。在一些實施例中,該對磁體160-1、160-2提供適合於限制自上部腔室100a傳播至下部腔室100b之電子束的軸向磁場。
在一些實施例中,高能電子至基板111之流動被在柵格過濾器104與基板111之間的區域中具有主要徑向分量(亦即,橫向於電子束流動方向)的磁場阻擋。此磁場可由磁體160-1或160-2中之一者產生,或由另一磁體或另一組磁體產生。
在一些實施例中,頂板106包括內部通道178,用於在頂板106內部傳導導熱液體或介質。內部通道178連接至熱介質循環供應器180。該熱介質循環供應器180充當散熱器或熱源。電極108與頂板106之間的機械接觸足以維持電極108與頂板106之間的高熱導率。在圖1中所示之實施例中,藉由DC卡緊電壓供應器154所提供之靜電夾緊力來調節機械接觸之力。
圖3描繪根據本揭示案之一或多個實施例的用於在基板特徵中形成間隙填充物之方法300的流程圖。圖4A至圖4B為基板之橫截面圖,其圖示出根據方法300在基板特徵中形成間隙填充物之序列。
方法300在操作310處始於將基板111提供至處理腔室(諸如,圖1或圖2中所描繪之處理腔室100)中。在本揭示案之別處描述合適基板。
在操作320處,將間隙填充前驅物供應至處理腔室100中以準備在基板特徵中形成間隙填充物。可經由閥140之陣列自處理氣體供應器138將間隙填充前驅物供應至氣體注入器130、134,從而分別流入處理腔室100中。
在一些實施例中,間隙填充前驅物包括烴。在本揭示案之其他地方論述合適之烴。額外合適的烴類化合物包括脂環烴及芳烴。
舉例而言,脂環烴包括環丙烷、環丁烷、環戊烷、環戊二烯、甲苯及其類似者。舉例而言,芳烴包括苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃及其類似者。另外,α-鬆油烯,傘花烴、1,1,3,3-四甲基丁基苯、第三丁基醚、第三丁基乙烯、甲基丙烯酸甲酯及第三丁基糠基醚可能為合適的。
在一些實施例中,烴選自以下各者:丙烯、乙炔、乙烯、丙烯、丁烯、甲苯、α-鬆油烯。在一些實施例中,烴選自以下各者:C 2H 2、C 3H 6、CH 4、C 4H 8、1,3-二甲基金剛烷、雙環[2.2.1]庚-2,5-二烯(2,5-降冰片二烯)、金剛烷(C 10H 16)、降冰片烯(C 7H 10),或其組合。
在一些實施例中,可混合多種烴類化合物並將其供應至處理腔室作為間隙填充前驅物。可使用兩種或兩種以上烴類化合物來沉積間隙填充物。
在一些實施例中,烴包括甲烷(CH 4)或基本上由甲烷(CH 4)組成。在一些實施例中,間隙填充前驅物包括甲烷以及丙烯、乙炔或乙烯中之至少一者。
在一些實施例中,可以約50sccm與約1000sccm之間的速率將間隙填充前驅物(諸如,甲烷)供應於氣體混合物中。可以約10sccm與約1000sccm之間的速率將惰性氣體(諸如,Ar氣或He氣)供應於氣體混合物中。可以約100sccm與約500sccm之間的速率將氫氣供應於氣體混合物中。可以約0sccm與約200sccm之間的速率將氧氣供應於氣體混合物中。可以約0sccm與約400sccm之間的速率將氮氣供應於氣體混合物中。又,可以約100sccm與約2000sccm之間的流動速率將額外烴供應至氣體混合物中。
在一些實施例中,可自不同位置(諸如,上部氣體注入器130或下部氣體注入器134及電極)控制可調整之流動速率及種類並將其供應至處理腔室100,以最大化柵格過濾器104下方或上方之自由基離解及電漿密度。舉例而言,若上部腔室100a中需要較高電漿密度以增大束電子通量,則可經由上部氣體注入器130來供應惰性氣體(諸如,Ar或He),此可促進電極轟擊並降低電極108附近之分子氣體密度以增大局部電漿密度及束電子通量。相反,當需要氫分子離解(例如,增大所得膜純度)時,可經由上部氣體注入器130供應含氫氣體,以便降低局部電漿密度,但促進氫自由基形成,以便驅除處理腔室中以及所得間隙填充物中的雜質。
視情況,在操作330處,穩定處理腔室100中之壓力歷時預定義之RF接通延遲時間週期。預定義之RF接通延遲時間週期為固定時間延遲,其被定義為在操作340期間將間隙填充前驅物引入處理腔室100中與撞擊或產生電漿之間的時間週期。可使用任何合適之固定時間延遲來達成所需條件。通常選擇RF接通延遲時間週期之長度,以使得間隙填充前驅物不會在處理腔室100中開始熱分解或實質性地熱分解。操作330之製程可與操作320及操作340之製程同時地執行、順序地執行,或可部分地重疊。
在此實施例中,間隙填充前驅物可被引入處理腔室100中達較長時間,諸如,在約5秒與約30秒之間,例如,約15秒,該時間可視基板之大小而變化。咸信間隙填充前驅物在撞擊電漿之前的流動提供了處理腔室100之持續的熱穩定及壓力穩定。在一些實施例中,在於操作340中撞擊RF電漿之前,間隙填充前驅物接著流入處理腔室100中達約0.5秒至約5秒,例如,約1秒至約2秒(流動時間可變化,只要該流動足夠久以使間隙填充前驅物開始到達處理腔室100之內部體積即可)。
在操作340處,在將間隙填充前驅物供應至處理腔室中之後,將RF功率供應至上部電極(例如,電極108)、下部電極(例如,工件電極196)及/或電感線圈天線(例如,電感線圈天線172)中的至少一者。在操作340處,在將氣體混合物供應至處理腔室中之後,第一RF電源功率可自RF電源功率發生器120、122產生,並被施加至電極108,以便產生電漿及二次電子束。來自電極108之二次電子束可產生冷電漿,諸如,低於攝氏100度之溫度,該冷電漿照射基板之表面以在其上形成間隙填充物。另外,亦可經由RF電源功率發生器174將第二可選RF功率施加至電感線圈天線172,以增加電感耦合功率。如此產生之電感耦合功率可增大至基板之自由基通量,且增大上部腔室100a中之電子上的離子通量入射(或束通量),以便產生高密度之束電子。電感耦合功率亦降低電極108上之鞘電壓,從而減少束能量。另外,可將第三可選RF功率可施加至工件電極196,以最佳化離子通量及入射至基板上之能量。第三RF功率可由RF功率發生器146、148及/或額外RF偏壓功率發生器142產生。
通常將待施加至電感線圈天線172的自RF電源功率發生器174產生之第二電源功率(例如,電感耦合功率)控制在約1千瓦與約10千瓦之間。施加至電感線圈天線172之電感耦合功率的頻率可在2MHz與約13MHz之間。在一些實施例中,視需要,可消除以及視情況施加電感耦合功率。亦可利用(例如)在20毫托與約20托之間的製程壓力來形成間隙填充物。咸信,該電子束電漿連同在沉積製程期間利用之二次電子束可提供較高離子轟擊,該離子轟擊可增強離子之離解以及來自氣體混合物之電子束的能量,以形成具有堅固膜結構之間隙填充物。
在一些實施例中,在操作340期間,僅對上部電極(例如,電極108)供電。舉例而言,將自RF電源功率發生器120、120a、120b、122中之至少一者產生之第一RF電源功率施加至電極108,並將其控制在1千瓦與約10千瓦之間的範圍內。應注意,RF電源功率發生器120、120a、120b、122之頻率可在0.4MHz與約300MHz之間。在此實例中,僅將功率施加至上部電極(例如,不將功率施加至下部電極(例如,工件電極196)亦或電感線圈天線(例如,電感線圈天線172))。在一些實施例中,施加至上部電極之頻率在0.4MHz與約300MHz之間。在一些實施例中,將高頻率(例如,在約11MHz與60MHz之間)施加至上部電極。在一些實施例中,將低頻率(例如,在約0.4kHz與10MHz之間)施加至上部電極。在一些實施例中,將多個頻率施加至上部電極(例如,來自低頻RF電源功率發生器122之2MHz,及來自VHF功率發生器120a之40MHz)。
在一些實施例中,在操作340期間對上部電極(例如,電極108)及電感線圈天線(例如,電感線圈天線172)兩者供電。舉例而言,將自RF電源功率發生器120、120a、120b、122中之至少一者產生的第一RF電源功率施加至上部電極,並將其控制在1千瓦與約10千瓦之間的範圍內,且將第二RF電源功率施加至電感線圈天線並將其控制在約1千瓦與約10千瓦之間。在此實例中,將功率施加至上部電極與電感線圈天線兩者(例如,不將功率施加至下部電極(例如,工件電極196))。在一些實施例中,施加至上部電極之頻率在約0.4MHz與約300MHz之間,且施加至電感線圈天線之頻率在約2MHz與約13MHz之間。在一些實施例中,將高頻率(例如,在約11MHz與60MHz之間)施加至上部電極,且施加至電感線圈天線之頻率在約2MHz與約13MHz之間。在一些實施例中,將低頻率(例如,在約0.4kHz與10MHz之間)施加至上部電極,且施加至電感線圈天線之頻率在約2MHz與約13MHz之間。在一些實施例中,將多個頻率施加至上部電極(例如,來自低頻RF電源功率發生器122之2MHz,及來自VHF功率發生器120a之40MHz),且施加至電感線圈天線之頻率在約2MHz與約13MHz之間。在不受理論束縛之情況下,咸信可使用ICP增大沉積速度並調整已沉積之間隙填充物的均勻性。
在一些實施例中,在操作340期間,僅對下部電極(例如,工件電極196)供電。在對下部電極供電之實施例中,驅動頻率可包括高頻分量。舉例而言,將自RF功率發生器146、148及/或額外RF偏壓功率發生器142中之至少一者產生之第一RF功率(偏壓的或電源的)施加至下部電極,並將其控制在1千瓦與約10千瓦之間的範圍內。應注意,RF功率發生器146、148及RF偏壓功率發生器142之頻率可在0.4MHz與約300MHz之間。在此實例中,僅將功率施加至下部電極(例如,不將功率施加至上部電極(例如,電極108)亦或電感線圈天線(例如,電感線圈天線172))。在一些實施例中,施加至下部電極之頻率在0.4MHz與約300MHz之間。在一些實施例中,將高頻率(例如,在約11MHz與60MHz之間)施加至下部電極。在一些實施例中,將多個頻率施加至下部電極(例如,來自RF功率發生器148之2MHz,及來自RF功率發生器146之40MHz)。
在一些實施例中,在操作340期間使用前述條件中之任一者同時對上部電極(例如,電極108)及下部電極(例如,工件電極196)兩者供電。舉例而言,將自RF電源功率發生器120、120a、120b、122中之至少一者產生的RF電源功率施加至上部電極,並將其控制在1千瓦與約10千瓦之間的範圍內,且將第二RF功率(偏壓或電源)施加至工件電極196並將其控制在約1千瓦與約10千瓦之間。在此實例中,將功率施加至電極108及工件電極196兩者(例如,不將功率施加至電感線圈天線(例如,電感線圈天線172))。在一些實施例中,施加至電極108之頻率在約0.4MHz與約300MHz之間,且施加至工件電極196之頻率在約0.4MHz與約300MHz之間。在一些實施例中,將多個頻率施加至電極108及工件電極196(例如,來自低頻RF功率發生器之2MHz,及來自VHF功率發生器之40MHz)。
在一些實施例中,在操作340期間對電感線圈天線(例如,電感線圈天線172)及下部電極(例如,工件電極196)兩者供電。在此實例中,將功率施加至電感線圈天線及工件電極196兩者(例如,不將功率施加至上部電極(例如,電極108))。在一些實施例中,施加至電感線圈天線172之頻率在約2MHz與約12MHz之間且被控制在1千瓦與約10千瓦之間的範圍內,且施加至工件電極196之頻率在約0.4MHz與約300MHz之間且被控制在約1千瓦與約10千瓦之間。
在一些實施例中,將多個頻率施加至電感線圈天線172及工件電極196中之至少一者(例如,來自低頻RF功率發生器之2MHz,及來自VHF功率發生器之40MHz)。
在一些實施例中,在操作340期間對上部電極(例如,電極108)、下部電極(例如,工件電極196)及電感線圈天線(例如,電感線圈天線172)供電。
在操作340期間,可將基板溫度控制在室溫(例如,攝氏20度)與約攝氏1000度之間。在操作340期間,可將基板與噴頭之間的間距控制在約1000密爾至約15000密爾。在操作340期間,可將製程壓力維持在(例如)0.1毫托與約20托之間。
在操作350處,在藉由在操作340處調節之製程參數執行沉積製程之後,接著在基板特徵中形成間隙填充物。在一些實施例中,如本文中所形成之間隙填充物經配置以具有在約1.5g/cc至約2.0g/cc之間的密度。在一些實施例中,該間隙填充物具有100MPa或更小之應力。
本揭示案之實施例包括用於使用電漿引發式CVD及物理氣相沉積(physical vapor deposition; PVD)之組合來沉積間隙填充物的方法。可藉由CVD分量及PVD分量之相對重量來調變所沉積間隙填充物之密度、硬度及應力。
參考圖1及圖2,頂電極(例如,電極108)受一或多個RF發生器驅動,且視情況充當氣體分配噴頭。頂電極之表面包括碳部分,且當電漿鞘使離子加速時,碳原子在PVD分量期間被濺射並沉積在晶圓表面上。亦藉由RF發生器對底電極(例如,工件電極196)供電。若原料氣體含有烴類氣體,則施加至頂電極及/或底電極之任何RF功率將使烴類氣體離解,因此,產生CVD分量。針對CVD分量添加偏壓RF功率之一個優勢在於,烴類離子可被加速至晶圓表面並被佈植至碳層中以增大碳膜密度及硬度。強離子轟擊亦幫助降低應力,在PVD層與CVD層之間形成交聯,藉此改良膜形態。然而,若僅存在頂電極RF功率,則沉積之膜通常軟且密度低。
在本揭示案之一些實施例中,經由噴頭電極亦或側壁注入件將間隙填充前驅物引入處理腔室中。可以惰性氣體(諸如,Ar或He)來稀釋間隙填充前驅物,以增大電漿密度。在撞擊電漿之前,可藉由真空泵上方之閘閥在處理腔室中建立穩定的操作壓力(例如,自約0.1毫托至幾托)。將RF功率施加至頂電極、底電極及ICP線圈中之至少一者。如先前在本文中所述,視電源方案而定,可同時對頂電極、底電極及ICP線圈供電,或可同時對此三者中之兩者供電。所施加之RF頻率範圍為自幾百kHz至數十MHz。亦可將多個頻率施加至頂電極或底電極,以最佳化離子通量及入射至基板上之能量。
在所需之CVD沉積時間之後,可停止間隙填充前驅物,且惰性氣體繼續流入腔室中。可藉由真空泵上方之閘閥建立穩定的操作壓力(0.1毫托至數十毫托)。通常,PVD製程壓力極低(例如,在約1毫托與約10毫托之間),以使離子能量最大化。可在PVD沉積期間將RF功率施加至上部電極及下部電極。在PVD沉積期間,底部RF功率可不存在。可藉由多個RF頻率源對頂電極供電,以使濺射產率最大化。可使用磁場進一步增強低壓下之電漿密度,藉此增大碳之濺射速率。底電極可經供電以引入適度離子轟擊,以使膜密集化且使PVD層與CVD層交聯。在RF濺射方案中,沉積之膜通常不具有顆粒問題。反覆運行PVD製程及CVD製程,直至沉積了所需量之間隙填充物為止。可使用PVD繼之以CVD或CVD繼之以PVD的沉積次序。
在一些實施例中,同時地執行PVD製程及CVD製程。在一些實施例中,CVD膜亦可沉積在頂電極上之碳靶表面上,藉此阻礙濺射製程。在一些實施例中,惰性淨化氣體經由頂電極流入亦或沿周邊注入至腔室之上部部分(例如,上部腔室100a)中,且碳源氣體被注入至腔室之下部部分(下部腔室100b)中。如此,碳源氣體至頂電極之返回擴散得以最小化,藉此減少頂電極表面上之碳膜沉積。
在一些實施例中,在CVD製程期間,被供電之頂電極由於離子轟擊而亦發射二次電子。該等二次電子被電漿鞘加速且具有大約數百eV至keV之能量。由於烴類氣體之電子衝擊游離截面通常在數百eV處達到峰值,因此二次電子可顯著促進烴類氣體游離,藉此促進膜密度之增大。因此,可將多個RF頻率施加至上部電極,以最佳化二次電子發射產率同時將鞘電壓保持在數百eV左右。
可在CVD與PVD步驟之間執行氧電漿清潔製程,以自上部電極之表面移除沉積之膜,該沉積之膜可能是在CVD製程期間沉積。如此,濺射製程始於清潔碳表面。可藉由使含氧氣體(且視情況是在惰性氣體中)流入處理腔室中來形成氧電漿。該含氧氣體可選自由以下各者組成之群:N 2O、O 2、O 3、H 2O,及其組合。該可選惰性氣體可選自由以下各者組成之群:氦氣、氬氣,及其組合。在氧電漿清潔製程期間,將RF功率供應至上部電極(例如,電極108)及/或電感線圈天線(例如,電感線圈天線172)。
在氧電漿清潔製程之一些實施例中,將自RF電源功率發生器120、120a、120b、122中之至少一者產生之RF功率施加至電極108,並將其控制在1千瓦與約10千瓦之間的範圍內。應注意,RF電源功率發生器120、120a、120b、122之頻率可在0.4MHz與約300MHz之間。在使用第二RF電源功率之一些實施例中,通常將待施加至線圈天線172的自RF電源功率發生器174產生之第二RF電源功率(例如,電感耦合功率)控制在約1千瓦與約10千瓦之間。施加至線圈天線172之電感耦合功率的頻率可在2MHz與約13MHz之間。亦可利用(例如)在20毫托與約20托之間的製程壓力來執行氧電漿清潔製程。可執行氧電漿清潔製程歷時足以自電極108之表面移除殘留物的時間週期。
圖4A至圖4B描繪根據本文所述之一些實施例的用於形成間隙填充物之方法500之一個實施例的流程圖。
方法500在操作510處始於將基板111提供至處理腔室中,諸如,圖1或圖2中所描繪之電子束電漿處理腔室100中。基板111可具有大體上平坦之表面、不平坦表面,或形成於其上之結構。
在操作520處,將間隙填充前驅物供應至處理腔室100中以準備經由化學氣相沉積(chemical vapor deposition; 「CVD」)製程在基板111上形成間隙填充物。在一些實施例中,可經由閥140之陣列自處理氣體供應器138將間隙填充前驅物供應至氣體注入器130、134,從而分別流入處理腔室100中。在一些實施例中,可自處理氣體供應器114供應間隙填充前驅物,以流入電極108中。
該間隙填充前驅物可包括烴及惰性氣體。已在本揭示案之別處描述了該烴。
在一些實施例中,可自不同位置(諸如,上部氣體注入器130或下部氣體注入器134、電極108)控制可調整之流動速率及種類並將其供應至處理腔室100,以最大化柵格過濾器104下方或上方之自由基離解及電漿密度。舉例而言,若上部腔室100a中需要較高電漿密度以增大束電子通量,則可經由上部氣體注入器130來供應惰性氣體(諸如,Ar或He),此可促進電極轟擊並降低電極108附近之分子氣體密度以增大局部電漿密度及束電子通量。相反,當需要氫分子離解(例如,增大所得膜純度)時,可經由上部氣體注入器130供應含氫氣體,以便降低局部電漿密度,但促進氫自由基形成,以便驅除處理腔室中以及所得間隙填充物中的雜質。
視情況,類似於操作330,在操作530處,穩定處理腔室中之壓力歷時預定義之RF接通延遲時間週期。可使用任何合適之固定時間延遲來達成所需條件。操作530之製程可與操作520及操作540之製程同時地執行、順序地執行,或可部分地重疊。在一些實施例中,將壓力穩定至自約0.1毫托至約5托之壓力。
在此實施例中,可將間隙填充前驅物引入處理腔室100中達較長時間,諸如,在約5秒與約30秒之間,例如,約15秒,該時間可視基板之大小而變化。咸信,間隙填充前驅物在撞擊電漿之前的流動提供了處理腔室100之持續的熱穩定及壓力穩定。在一些實施例中,在於操作540中撞擊RF電漿之前,間隙填充前驅物流入處理腔室100中達約0.5秒至約5秒,例如,約1秒至約2秒(流動時間可變化,只要該流動足夠久以使間隙填充前驅物開始到達處理腔室100即可)。
在操作540處,在將間隙填充前驅物供應至處理腔室中之後,將RF功率供應至上部電極(例如,電極108)、下部電極(例如,工件電極196)及/或電感線圈天線(例如,電感線圈天線172)中的至少一者。可使用關於操作340所描述之功率方案中之任一者來執行操作540。在操作540處,在將氣體混合物供應至處理腔室中之後,第一RF電源功率可自RF電源功率發生器120、122產生,並被施加至電極108,以便產生電漿及二次電子束。來自電極108之二次電子束可產生冷電漿,諸如,低於攝氏100度之溫度,該冷電漿照射基板之表面以在其上形成間隙填充物。另外,亦可經由RF電源功率發生器174將第二可選RF功率施加至線圈天線172,以增加電感耦合功率。如此產生之電感耦合功率可增大至基板之自由基通量,且增大上部腔室100a中之電子上的離子通量入射(或束通量),以便產生高密度之束電子。電感耦合功率亦降低電極108上之鞘電壓,從而減少束能量。另外,可將第三可選RF功率施加至工件電極196,以最佳化離子通量及入射至基板上之能量。第三RF功率可由RF功率發生器146、148及/或額外RF偏壓功率發生器142產生。
在使用第一RF電源功率之一些實施例中,將自RF電源功率發生器120、120a、120b、122中之至少一者產生之第一RF電源功率施加至電極108,並將其控制在1千瓦與約10千瓦之間的範圍內。應注意,RF電源功率發生器120、120a、120b、122之頻率可在0.4MHz與約300MHz之間。在使用第二RF電源功率之一些實施例中,通常將待施加至線圈天線172的自RF電源功率發生器174產生之第二RF電源功率(例如,電感耦合功率)控制在約1千瓦與約10千瓦之間。施加至線圈天線172之電感耦合功率的頻率可在2MHz與約13MHz之間。在對下部電極供電之實施例中,受驅動頻率可包括低頻分量、高頻分量,或低頻分量與高頻分量兩者之混合。舉例而言,將自RF偏壓功率發生器142產生之RF偏壓功率施加至工件電極196,並將其控制在1千瓦與約10千瓦之間的範圍內。應注意,RF偏壓功率發生器142之頻率可在0.4MHz與約300MHz之間。在一些實施例中,將多個頻率施加至工件電極196(例如,來自低頻RF功率發生器之2MHz,及來自VHF功率發生器之40MHz)。
亦可利用(例如)在20毫托與約20托之間的製程壓力來形成間隙填充物。咸信,該電子束電漿在沉積製程期間利用之連同二次電子束可提供較高離子轟擊,該離子轟擊可增強離子之離解以及來自氣體混合物之電子束的能量,以形成具有堅固膜結構之間隙填充物。
在沉積期間,可將基板溫度控制在室溫(例如,攝氏20度)與約攝氏1000度之間。可將基板與噴頭之間的間距控制在約200密爾至約15000密爾(例如,約200密爾至約1000密爾)。
如在別處所論述,電子束電漿以及自電極108提供之二次電子束被電極鞘加速,因此在進入批量電漿時獲得另外能量。此些被加速電子提供了足夠高的能量以有效地自分子離解氫,從而產生足夠的氫自由基以自碳膜(諸如,形成於基板111上之間隙填充物)提取氫雜質,從而形成具有高純度之間隙填充物。被加速之二次束電子在基板111上方(在柵格過濾器104下方之下部腔室區域100b處)產生低溫電漿,所謂的冷電漿。低電子溫度時常具有小於1eV之低電子能量,諸如,小於0.5eV。因此,充分產生來自冷電漿之低能電子,以在振動狀態下有效地離解氫分子並產生氫自由基,以便增大至基板表面上之氫自由基通量。
另外,當自電極108發射之被加速二次電子束到達基板表面時,由被加速二次電子束攜載之高能量(例如,大約數百電子伏(eV)至數千電子伏(eV))可引起表面反應,諸如,激發碳sp 3表面狀態以在基板111上形成間隙填充物,以及破壞弱的(或不當的)碳sp或sp 2鍵或甚至C-H鍵,因此,增加了sp 3鍵合,此可促進形成類金剛石之碳材料,而非碳結構之非晶態或其他結構。在間隙填充物中鍵合之碳元素可主要形成為sp 3碳,其中四個單鍵指向與其他碳元素鍵合之四面體的拐角。具有兩個單鍵及一個雙鍵之不當sp 2雜化碳(例如,三個鍵指向三角形之拐角)時常導致膜結構變為非晶態,而非所需之類金剛石結構。包括在sp 3雜化碳或sp 2雜化碳中之氫終止鍵的量以及任何缺失或懸空碳鍵之程度影響此些碳原子絡合及充填地有多緊密,從而決定膜密度及應力。當所有碳原子充分互連時,間隙填充物經配置而形成有完全sp 3雜化及零氫含量。在一個實例中,加速的二次電子束可具有大於100eV之束能量。
在操作550處,在藉由在操作540處調節之製程參數執行沉積製程之後,接著在基板特徵中形成間隙填充物。
視情況,在操作550完成之後,可執行可選腔室淨化製程,以自腔室移除由間隙填充物形成製程導致的任何剩餘氣體及副產物。在腔室淨化製程期間,可自電極108、上部氣體注入器130及下部氣體注入器134中之至少一者將淨化氣體(例如,諸如氬氣或氮氣之惰性氣體)輸送至處理腔室100中。在一些實施例中,停止操作540期間所使用之間隙填充前驅物的流動,而同時操作540期間所使用之惰性氣體繼續流動並充當淨化氣體。可使用閥系統來控制處理腔室100內之壓力,該閥系統控制自腔室抽出排放氣體之速率。
在一些實施例中,在操作550完成之後,在CVD製程與PVD製程之間執行類似於先前所述之氧電漿清潔製程的氧電漿清潔製程,以移除在CVD製程期間沉積在上部電極之表面上的任何膜。如此,在開始PVD製程之前,清潔電極108之碳表面。
類似於操作330,在操作570處,穩定處理腔室中之壓力歷時預定義之RF接通延遲時間週期。可使用任何合適之固定時間延遲來達成所需條件。操作530之製程可與操作520及操作540之製程同時地執行、順序地執行,或可部分地重疊。在一些實施例中,將壓力穩定至自約0.1毫托至約5托之壓力。
預定義之RF接通延遲時間週期為固定時間延遲,其被定義為執行CVD製程與在操作580處撞擊或產生電漿之間的時間週期。可使用任何合適之固定時間延遲來達成所需壓力條件。通常選擇RF接通延遲時間週期之長度以使得將處理腔室內之壓力穩定至用於物理化學氣相沉積製程之所需壓力。操作570之製程可與操作560及操作580之製程同時地執行、順序地執行,或可部分地重疊。在一些實施例中,將壓力穩定至自約0.1毫托至約50毫托(例如,在約1毫托與約10毫托之間)之壓力。通常,PVD製程壓力極低以使離子能量最大化。
在所需CVD沉積時間之後,在操作580處,將RF功率施加至上部電極(例如,電極108)而同時使惰性氣體流入處理腔室中。該惰性氣體可為在CVD沉積製程期間所使用之同一惰性氣體,或該惰性氣體可為不同的惰性氣體。在一些實施例中,停止在CVD製程期間所使用之間隙填充前驅物,而同時繼續使惰性氣體流入腔室中。在PVD製程期間將RF功率施加至至少上部電極。可藉由多個RF頻率源對上部電極供電,以使濺射產率最大化。在一些實施例中,亦可在PVD製程期間將RF功率施加至下部電極(例如,工件電極196)。下部電極可經供電以引入適度離子轟擊,以使膜密集化且使PVD層與CVD層交聯。在一些實施例中,可使用磁場進一步增強低壓下之電漿密度,藉此增大碳之濺射速率。舉例而言,可藉由磁體160-1及磁體160-2來施加磁場。該磁場可具有小於或等於1,000高斯(例如,自約20高斯至約700高斯,或自約100高斯至約500高斯)之磁強。
在一些實施例中,在操作580之PVD製程期間,僅對上部電極(例如,電極108)供電。舉例而言,將自RF電源功率發生器120、120a、120b、122中之至少一者產生之第一RF電源功率施加至電極108,並將其控制在1千瓦與約10千瓦之間的範圍內。應注意,RF電源功率發生器120、120a、120b、122之頻率可在0.4MHz與約300MHz之間。在此實例中,僅將功率施加至上部電極(例如,不將功率施加至下部電極(例如,工件電極196)亦或電感線圈天線(例如,電感線圈天線172))。在一些實施例中,施加至上部電極之頻率在0.4MHz與約300MHz之間。在一些實施例中,將高頻率(例如,在約11MHz與60MHz之間)施加至上部電極。在一些實施例中,將低頻率(例如,在約0.4kHz與10MHz之間)施加至上部電極。在一些實施例中,將多個頻率施加至上部電極(例如,來自低頻RF電源功率發生器122之2MHz,及來自VHF功率發生器120a之40MHz)。
在又一實例中,在操作580期間使用前述條件中之任一者同時對上部電極(例如,電極108)及下部電極(例如,工件電極196)兩者供電。舉例而言,將自RF電源功率發生器120、120a、120b、122中之至少一者產生的RF電源功率施加至上部電極,並將其控制在1千瓦與約10千瓦之間的範圍內,且將第二RF功率(偏壓的或電源的)施加至下部電極196並將其控制在約1千瓦與約10千瓦之間。在此實例中,將功率施加至電極108及工件電極196兩者(例如,不將功率施加至電感線圈天線(例如,電感線圈天線172))。在一些實施例中,施加至電極108之頻率在約0.4MHz與約300MHz之間,且施加至工件電極196之頻率在約0.4MHz與約300MHz之間。在一些實施例中,將多個頻率施加至電極108及/或工件電極196(例如,來自低頻RF功率發生器之2MHz,及來自VHF功率發生器之40MHz)。
在操作590處,在藉由在操作580處調節之製程參數執行沉積製程之後,將碳濺射至基板111中之間隙填充物上。
可反覆運行CVD製程(例如,操作520至550)及PVD製程(例如,操作560至590),直至沉積了所需量的間隙填充物為止。另外,儘管將沉積之次序描述為CVD繼之以PVD,但亦可使用PVD繼之以CVD。
在一些實施例中,同時地執行PVD製程及CVD製程。在此實施例中,CVD膜亦可沉積在頂電極上之碳靶表面上,藉此阻礙濺射製程。在一些實施例中,惰性淨化氣體經由頂電極流入亦或沿周邊注入至腔室之上部部分(例如,上部腔室100a)中,且碳源氣體被注入至腔室之下部部分(下部腔室100b)中。如此,碳源氣體至頂電極之返回擴散得以最小化,藉此減少頂電極表面上之碳膜沉積。
在一些實施例中,如本文中所形成之間隙填充物經配置以具有大於或等於2.0g/cm 3(例如,在約2.0g/cm 3至約2.5g/cm 3之間)之密度。在一些實施例中,該間隙填充物具有500MPa或更小之應力。
在一些實施例中,其上定位有基板111之工件支撐基座110的溫度可用以控制已沉積之碳膜的應力。發明者已發現,支撐基座之溫度對膜應力有影響,且可用以減小膜應力。舉例而言,在給定特定的功率/壓力/氣體組合之情況下,關於膜應力,當支撐基座之溫度為60攝氏度時,已沉積之間隙填充物的應力為約-800MPa。然而,當支撐基座之溫度降低至10攝氏度時,已沉積膜的應力降低為約-600MPa。另外,可使用在支撐表面之工件支撐表面110a與基板111之背側表面之間流動的氦氣來調變膜應力。在一些實施例中,氦氣在約15托至約30托之間的壓力下運行,且支撐基座之溫度被設定在自約20攝氏度至約700攝氏度之範圍。
因此,電子束電漿沉積製程提供了用於形成具有低應力的有所需密度之間隙填充物的方法。該等方法有利地提供具有所需機械性質中之一或多者的間隙填充物,諸如,低應力及高密度、高蝕刻選擇性或透明度。間隙填充物之改良的機械性質提供了高的膜品質,而同時維持了膜平坦度之預定範圍,及應力位準。
圖6A描繪基板處理系統732之示意圖,該基板處理系統732可用以根據本文所述之一或多個實施例執行間隙填充物沉積。基板處理系統732包括處理腔室700,該處理腔室700耦接至氣體分配板730及控制器710。處理腔室700大體上包括限定處理空間726之頂壁724、側壁701及底壁722。在處理腔室700之處理空間726中設置基板支撐組件746。該基板支撐組件746大體上包括由桿760支撐之靜電卡盤750。該靜電卡盤750通常可由鋁、陶瓷及其他合適材料製造。可使用移位機構(未示出)使靜電卡盤750在處理腔室700內部在垂直方向上移動。
真空泵702耦接至形成於處理腔室700之底部中的埠。真空泵702用以維持處理腔室700中之所需氣體壓力。真空泵702亦自處理腔室700抽出處理後之氣體及處理之副產物。
基板處理系統732可進一步包括用於控制腔室壓力之額外設備,例如,定位在處理腔室700與真空個702之間以控制腔室壓力的閥(例如,節流閥及隔離閥)。
將具有複數個孔728之氣體分配組件720安置在處理腔室700之頂部上,在靜電卡盤750上方。氣體分配組件720之孔728用以將處理氣體引入處理腔室700中。孔728可具有不同大小、數目、分佈、形狀、設計及直徑,以促進各種處理氣體出於不同製程要求的流動。氣體分配組件720連接至氣體分配板730,該氣體分配板730允許在處理期間將各種氣體供應至處理空間726。由離開氣體分配組件720之處理氣體混合物形成電漿,以增強處理氣體之熱分解,從而導致材料沉積在基板790之表面791上。
氣體分配組件720及靜電卡盤750可在處理空間726中形成一對間隔開之電極。一或多個RF電源740經由可選匹配網路738將偏壓電位提供給氣體分配組件720,以促進在氣體分配組件720與靜電卡盤750之間產生電漿。或者,RF電源740及匹配網路738可耦接至氣體分配組件720、靜電卡盤750,或耦接至氣體分配組件720與靜電卡盤750兩者,或耦接至安置在處理腔室700以外的天線(未示出)。在一些實施例中,RF電源740可產生350kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz或100MHz之頻率的功率。在一些實施例中,RF電源740可以約50kHz至約13.6MHz之頻率提供約100瓦至約3,000瓦之間的功率。在一些實施例中,RF電源740可以約50kHz至約13.6MHz之頻率提供約500瓦至約1,800瓦之間的功率。
控制器710包括中央處理單元(central processing unit; CPU)712、記憶體716及支持電路714,用以控制製程序列且調節來自氣體分配板730之氣流。CPU 712可為可用於工業環境之任何形式的通用電腦處理器。可將軟體常用程式儲存在記憶體716中,諸如,隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式之數位儲存器。支持電路714習知耦接至CPU 712,且可包括快取記憶體、時鐘電路、輸入/輸出系統、電源,及其類似者。
可包括於所述處理設備中之任一者中的控制器可具有處理器、耦接至處理器之記憶體、耦接至處理器之輸入/輸出裝置,及在不同電子元件之間進行通信的電路。記憶體可包括暫時性記憶體(例如,隨機存取記憶體)及非暫時性記憶體(例如,儲存器)中之一或多者。
處理器之記憶體或電腦可讀媒體可為易購記憶體中之一或多者,諸如,隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read-only memory; ROM)、軟碟、硬碟,或任何其他形式之數位儲存器,為本端的或遠端的。記憶體可保留指令集合,該指令集合可由處理器操作以控制系統之參數及元件。支持電路耦接至處理器,用於以習知方式支援處理器。舉例而言,電路可包括快取記憶體、電源、時鐘電路、輸入/輸出電路、子系統,及其類似者。
製程可大體上作為軟體常用程式儲存在記憶體中,當由處理器執行時,該軟體常用程式使處理腔室執行本揭示案之製程。亦可藉由第二處理器(未示出)來儲存及/或執行軟體常用程式,該第二處理器被定位成遠離處理器所控制之硬體。亦可以硬體執行本揭示案之一些或所有方法。如此,製程可以軟體實施並使用電腦系統執行,以硬體實施為(例如)特殊應用積體電路或其他類型之硬體實施,或實施為軟體與硬體之組合。當由處理器執行時,軟體常用程式將通用電腦轉型為專用電腦(控制器),其控制腔室操作以使得製程得以執行。
經由統一稱作信號匯流排718之諸多信號纜線(在圖6A中圖示出其中一些)來處理控制器710與基板處理系統732之各種元件之間的雙向通信。
圖6B描繪可用於實踐本文所述實施例之另一基板處理系統780的示意性橫截面圖。基板處理系統780類似於圖6A之基板處理系統732,不同之處在於基板處理系統780經配置以使來自氣體分配板730之處理氣體經由側壁701流過基板790之表面791。另外,以電極782替代圖6A中所描繪之氣體分配組件720。電極782可經配置用於二次電子產生。在一些實施例中,電極782位含矽電極。
圖7描繪基板支撐組件746之示意性橫截面圖,該基板支撐組件746在可用於實踐一些實施例的圖6A及圖6B之處理系統中使用。參考圖7,靜電卡盤750可包括嵌入式加熱器組件770,該嵌入式加熱器組件770適合於控制被支撐在靜電卡盤750之上部表面792上的基板790之溫度。可藉由將電流自加熱器電源706施加至加熱器組件770以電阻方式加熱靜電卡盤750。可經由RF過濾器816來耦接加熱器電源706。RF過濾器816可用以保護加熱器電源706免受RF能量影響。加熱器組件770可由嚢封於鎳-鐵-鉻合金(例如,INCOLOY®)鞘管中之鎳鉻導線製成。藉由控制器710調節自加熱器電源706供應之電流,以控制加熱器組件770所產生之熱,藉此在膜沉積期間將基板790及靜電卡盤750維持在大體上恆定之溫度下。所供應之電流可經調整以選擇性地將靜電卡盤750之溫度控制在約攝氏-50度至約攝氏600度之間。
參考圖6A及圖6B,可將溫度感測器772(諸如,熱電偶)嵌入於靜電卡盤750中以便以習知方式監控靜電卡盤750之溫度。控制器710使用已量測溫度控制供應至加熱器組件770之功率,以將基板維持在所需溫度下。
靜電卡盤750包括卡緊電極810,該卡緊電極810可為導電材料之網格。可將卡緊電極810嵌入於靜電卡盤750中。將卡緊電極810耦接至卡緊電源812,當被供能時,該卡緊電源812以靜電方式將基板790夾緊至靜電卡盤750之上部表面792。
卡緊電極810可被配置成單極或雙極電極,或具有另一合適佈置。卡緊電極810可經由RF過濾器214耦接至卡緊電源812,該卡緊電源812提供直流(direct current; DC)功率以便以靜電方式將基板790緊固至靜電卡盤750之上部表面792。RF過濾器214防止用以在處理腔室700內形成電漿之RF功率損壞電設備或防止腔室外部之電危險。靜電卡盤750可由諸如AlN或Al 2O 3之陶瓷材料製造。或者,靜電卡盤750可由諸如聚亞醯胺、聚醚醚酮、聚芳醚酮及其類似者之聚合物製造。
功率應用系統220耦接至基板支撐組件746。功率應用系統220可包括加熱器電源706、卡緊電源812、第一射頻(radio frequency; RF)電源830及第二RF電源840。功率應用系統220之實施例可另外包括控制器710及感測器裝置850,該感測器裝置850與控制器710以及第一RF電源830及第二RF電源840兩者通信。
控制器710亦可用以藉由自第一RF電源830及第二RF電源840施加RF功率來控制來自處理氣體之電漿,以便在基板790上沉積材料層。
如以上所描述,靜電卡盤750包括卡緊電極810,該卡緊電極810可在一個態樣中用以卡緊基板790而同時亦充當第一RF電極。靜電卡盤750亦可包括第二RF電極860,且可連同卡緊電極810一起施加RF功率以調整電漿。第一RF電源830可耦接至第二RF電極860,而同時第二RF電源840可耦接至卡緊電極810。可分別為第一RF電源830及第二RF電源840提供第一匹配網路及第二匹配網路。如所示出,第二RF電極860可為導電材料之固體金屬板。或者,第二RF電極860可為導電材料之網格。
第一RF電源830及第二RF電源840可產生相同頻率或不同頻率的功率。在一些實施例中,第一RF電源830及第二RF電源840中之一者或此兩者可獨立地產生自約350kHz至約100MHz(例如,350kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz或100MHz)之頻率的功率。在一些實施例中,第一RF電源830可產生13.56MHz之頻率的功率,且第二RF電源840可產生2MHz之頻率的功率,或反之亦然。可使來自第一RF電源830及第二RF電源840中之一者或此兩者的RF功率變化,以便調整電漿。舉例而言,可使用感測器裝置850監控來自第一RF電源830及第二RF電源840中之一者或此兩者的RF能量。可將來自感測器裝置850之資料通信至控制器710,且可使用該控制器710使藉由第一RF電源830及第二RF電源840施加之功率變化。
類金剛石之碳材料中之sp 3雜化碳原子的數量/百分比可隨著應用而變化。在一些實施例中,類金剛石之碳材料可含有至少40%、45%、50%、55%、60%、65%、70%、75%、80%或85%之sp 3雜化碳原子。類金剛石之碳材料可含有高達45%、50%、55%、60%、65%、70%、75%、80%、85%或90%之sp 3雜化碳原子。類金剛石之碳材料可含有自約50%至約90%之sp 3雜化碳原子。類金剛石之碳材料可含有自約60%至約70%之sp 3雜化碳原子。
大體而言,可使用以下例示性沉積製程參數在基板特徵內形成間隙填充物。晶圓溫度之範圍可為自約-50℃至約350℃(例如,自約10℃至約100℃;或自約10℃至約50℃)。腔室壓力可為範圍為自約0.5毫托至約10托(例如,約2毫托至約50毫托;或在約2毫托與約10毫托之間)之腔室壓力。間隙填充前驅物之流動速率可為自約10sccm至約1,000sccm(例如,自約100sccm至約200sccm,或自約750sccm至約200sccm)。稀釋氣體之流動速率的範圍可單獨地為自50sccm至約50,000sccm(例如,自約100sccm至約500sccm;或自約50sccm至約100sccm)。氣體分配組件與基板之間的間距可為自約1,000密爾至約15,000密爾(例如,自約6,000密爾至約12,000密爾,或自約8,000密爾至約12,000密爾)。
可將間隙填充物沉積成約5Å與約20,000Å之間(例如,在約900Å與約5000Å之間;在約2000Å與約9000Å之間;或在約5Å與約200Å之間)的厚度。以上製程參數提供了針對在可購自加利福尼亞州聖克拉拉市之應用材料有限公司的沉積腔室中之900mm基板的製程參數之實例。
間隙填充物可具有大於2.0(例如,大致2.0至大致3.0,諸如,為2.3)之折射率或n值(n(在633nm處))。類金剛石之碳材料可具有大於0.1(例如,大致0.2至大致0.3,諸如,為0.25)之消光係數或k值(K(在633nm處))。
類金剛石之碳材料可具有小於約-900MPa之應力(MPa),例如,自大致-600MPa至大致-900MPa,自大致-600MPa至大致-500MPa,諸如,大致-550MPa。
類金剛石之碳材料可具有大於或等於約1.8g/cm 3之密度(g/cc),例如,大於或等於約2.0g/cm 3,或大於或等於約2.5g/cm 3,諸如,自約1.8g/cm 3至約2.5g/cm 3
類金剛石之碳材料可具有大於750GPa(例如,自約200GPa至約10GPa)之彈性模數(GPa)。
圖8描繪根據本揭示案之一或多個實施例的用於在基板特徵中形成間隙填充物之方法900的流程圖。圖5A至圖5B為基板之橫截面圖,其圖示出根據方法900在基板特徵中形成間隙填充物之序列。應理解,圖8中所描繪之操作可同時地執行及/或以與圖8中所描繪之次序不同的次序執行。
方法900在操作910處始於將基板(諸如,圖5A中所描繪之基板10)定位在處理腔室(諸如,圖6A或圖6B中所描繪之處理腔室700)中。基板10可為圖6A、圖6B及圖7中所描繪之基板790。可將基板10定位在靜電卡盤(例如,靜電卡盤750之上部表面792)上。
圖5A示出具有特徵12之基板10的部分橫截面圖。如在此方面所使用,術語「特徵」意謂任何有意之表面不規則性。特徵之合適實例包括但不限於具有頂部、兩個側壁及底部之溝槽;具有頂部及兩個側壁而無單獨底部之凹部;以及具有自表面向下延伸之側壁的介層孔,該表面具有開放底部或由與側壁不同之材料形成的底部。
圖5A至圖5B出於說明性目的示出具有單個特徵之基板;然而,熟習此技藝者將理解,可存在一個以上的特徵。特徵12之形狀可為任何合適形狀,包括但不限於溝槽及圓柱形介層孔。
基板10具有頂表面20。該至少一個特徵12在頂表面20中形成開口。特徵12自頂表面20以深度D延伸至底表面30。特徵12具有限定特徵12之開口寬度W的第一側壁14及第二側壁16。由側壁及底部形成之開口區域亦稱作間隙。
在特定實施例中,特徵12為溝槽。特徵可具有任何合適之深寬比(特徵之深度D與特徵之寬度W的比率)。在一些實施例中,該深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
基板10視需要可為基於矽之材料或任何合適之絕緣材料或導電材料,其具有安置於基板10上之特徵12,該特徵12可被間隙填充物50填充,如圖5B中所示。
如在圖5A中所描繪之例示性實施例中所示,基板10可具有頂表面20,該頂表面20是大體上平坦的(如所示)、不均勻的,或為具有形成於其上之結構或形成於其中之額外特徵的大體上平坦之表面。
在一些實施例中,基板10可為諸如以下各者之材料:結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜之多晶矽、摻雜或未摻雜之矽基板及圖案化或未圖案化之絕緣體上矽基板(silicon on insulator; SOI)、碳摻雜之氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石。基板10可具有各種尺寸,諸如,200mm、900 mm及450mm或為其他直徑之基板,以及為矩形或正方形面板。除非另有說明,否則本文所述之實施例及實例是在具有200mm直徑、900 mm直徑的基板或450mm直徑之基板上進行。在其中將SOI結構用於基板10之實施例中,基板10可包括安置在矽晶基板上之內埋式介電層。在本文所描繪之實施例中,基板10可為結晶矽基板。
應注意,可在基板10之在存在於基板10上之特徵12內部或外部的任何表面或任何部分(包括頂表面20)上形成間隙填充物。
在一或多個實施例中,沉積間隙填充物50且大體上無形成於間隙中之接縫。接縫之形成發生在以膜填充特徵之前膜之厚度在特徵12之頂部上封閉的情況下,「麵包成塊」。接縫可為形成於特徵12之側壁14、16之間的任何間隙、間隔件或孔隙。
在操作920處,將卡緊電壓施加至靜電卡盤以將基板10夾緊至靜電卡盤。在一些實施例中,在將基板10定位在靜電卡盤750之上部表面上的情況下,上部表面792在處理期間提供支撐並夾緊基板10。靜電卡盤750使基板10變平以緊密抵靠上部表面792,以防止背側沉積。經由卡緊電極810將電偏壓提供至基板10。卡緊電極810可與卡緊電源812電子通信,該卡緊電源812將偏置電壓供應至卡緊電極810。在一些實施例中,該卡緊電壓在約10伏特與約9000伏特之間。在一些實施例中,該卡緊電壓在約100伏特與約2000伏特之間。在一些實施例中,該卡緊電壓在約200伏特與約1000伏特之間。
在操作920期間,可調節若干製程參數。在適合於處理900mm基板之一些實施例中,可將處理空間中之製程壓力維持在約0.1毫托至約10托(例如,約2毫托至約50毫托;或約5毫托至約20毫托)。在適合於處理900mm基板之一些實施例中,可將處理溫度及/或基板溫度維持在約攝氏-50度至約攝氏350度(例如,約攝氏0度至約攝氏50度;或自約攝氏10度至約攝氏20度)。
在一些實施例中,將恆定卡緊電壓施加至基板10。在一些實施例中,可使卡緊電壓成脈衝至靜電卡盤750。在一些實施例中,可將背側氣體施加至基板10而同時施加卡緊電壓以控制基板之溫度。背側氣體可包括但不限於氦氣(He)、氬氣(Ar)或其類似者。
在操作930處,藉由將第一RF偏壓施加至靜電卡盤而在基板位準上產生電漿。在基板位準上產生之電漿可在基板與靜電卡盤之間的電漿區域中產生。第一RF偏壓可為在自約350KHz至約100MHz(例如,350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz或100MHz)之頻率下自約10瓦特至約9000瓦特。在一些實施例中,在約13.56MHz之頻率下以在約250瓦特與約9000瓦特之間的功率提供第一RF偏壓。在一些實施例中,經由第二RF電極860將第一RF偏壓提供至靜電卡盤750。第二RF電極860可與第一RF電源830電子通信,該第一RF電源830將偏置電壓供應至第二RF電極860。在一些實施例中,偏壓功率在約10瓦特與約9000瓦特之間。在一些實施例中,偏壓功率在約2000瓦特與約9000瓦特之間。在一些實施例中,偏壓功率在約8500瓦特與約9000瓦特之間。第一RF電源830可產生自約350KHz至約100MHz(例如,350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz或100MHz)之頻率的功率。
在一些實施例中,操作930進一步包括將第二RF偏壓施加至靜電卡盤。第二RF偏壓可在自約350KHz至約100MHz(例如,350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz或100MHz)之頻率下自約10瓦特至約9000瓦特。在一些實施例中,在約2MHz之頻率下以在約800瓦特與約7200瓦特之間的功率提供第二RF偏壓。在一些實施例中,經由卡緊電極810將第二RF偏壓提供至基板10。卡緊電極810可與第二RF電源840電子通信,該第二RF電源840將偏置電壓供應至卡緊電極810。在一些實施例中,偏壓功率在約10瓦特與約9000瓦特之間。在一些實施例中,偏壓功率在約500瓦特與約7500瓦特之間。在一些實施例中,偏壓功率在約800瓦特與約7200瓦特之間。第二RF電源840可產生自約350KHz至約100MHz(例如,350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz或100MHz)之頻率的功率。在一些實施例中,在操作930期間維持在操作920中供應之卡緊電壓。
在一些實施例中,在操作930期間,經由卡緊電極810將第一RF偏壓提供至基板10,且可經由第二RF電極860將第二RF偏壓提供至基板10。在一些實施例中,第一RF偏壓為約8500瓦特(13.56MHz),且第二RF偏壓為約1000瓦特(2MHz)。
在操作940期間,使間隙填充前驅物流入處理空間726中以在基板特徵中形成間隙填充物。間隙填充前驅物可經由氣體分配組件720亦或經由側壁701自氣體分配板730流入處理空間726中。在一些實施例中,間隙填充前驅物可進一步包括惰性氣體、稀釋氣體、含氮氣體、蝕刻劑氣體或其組合。在一些實施例中,間隙填充前驅物可包括烴。在一些實施例中,間隙填充前驅物可包括含矽物質。間隙填充前驅物可為任何液體或氣體,但較佳前驅物將為室溫下之蒸汽,以簡化材料計量、控制及輸送至腔室所需之硬體。在一些實施例中,在操作940期間維持在操作920期間供應之卡緊電壓。在一些實施例中,在操作940期間維持在操作920期間建立之製程條件及在操作930期間形成之電漿。
在一些實施例中,烴為氣態的。在一些實施例中,該烴具有通式C xH y,其中x具有在1與20之間的範圍,且y具有在1與26之間的範圍。舉例而言,合適之烴包括C 2H 2、C 3H 6、CH 4、C 4H 8、1,3-二甲基金剛烷、雙環[2.2.1]庚-2,5-二烯(2,5-降冰片二烯)、金剛烷(C 10H 16)、降冰片烯(C 7H 10),或其組合。在一個實例中,C 2H 2由於更穩定之中間物質的形成(此允許更大的表面遷移率)而為較佳的。
在一些實施例中,烴類化合物為烷烴(例如,C nH n+2,其中n在1與20之間)。舉例而言,合適之烴包括烷烴,諸如甲烷(CH 4)、乙烷(C 2H 6)、丙烷(C 3H 8)、丁烷(C 4H 10);及其異構體異丁烷、戊烷(C 5H 12)、己烷(C 6H 14);以及其異構體2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷及2,2-二甲基丁烷;或其組合。
在一些實施例中,該烴為烷烴(例如,C nH 2n,其中n在1與20之間)。舉例而言,合適之烴包括烯烴,諸如,乙炔、乙烯、丙烯、丁烯及其異構體,戊烯及其異構體及其類似者,二烯,諸如丁二烯、異戊二烯、戊二烯、己二烯或其組合。舉例而言,額外合適之烴包括鹵代烯烴,諸如,單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、一氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯或其組合。
在一些實施例中,烴類化合物為炔烴(例如,C nH 2n-2,其中n在1與20之間)。舉例而言,合適之烴包括炔烴,諸如,乙炔(C 2H 4)、丙炔(C 3H 4)、丁烯(C 4H 8)、乙烯基乙炔或其組合。
在一些實施例中,烴類化合物為芳烴化合物,諸如,苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃及其類似者、α-鬆油烯、傘花烴、1,1,3,3-四甲基丁苯、第三丁基醚、第三丁基乙烯、甲基丙烯酸甲酯及第三丁基糠基醚;具有化學式C 3H 2及C 5H 4的化合物;鹵代芳族化合物,包括單氟苯、二氟苯、四氟苯、六氟苯或其組合。
在一些實施例中,間隙填充前驅物包括含矽物質。合適之含矽物質包括類似於以上所提供之烴類材料(其中以矽取代碳)的物質。舉例而言,在一些實施例中,含矽物質選自矽烷、高階矽烷、環矽烷、鹵代矽烷及其組合。
在一些實施例中,間隙填充前驅物進一步包括一或多種稀釋氣體。在需要時,可將諸如氦氣(He)、氬氣(Ar)、氙氣(Xe)、氫氣(H 2)、氮氣(N 2)或其組合(除了其他以外)的合適稀釋氣體添加至氣體混合物。可使用Ar、He及N 2來控制間隙填充物之密度及沉積速率。在一些實施例中,N 2及/或NH 3之添加可用以控制間隙填充物之氫比率,如以下所論述。或者,在沉積期間可不使用稀釋氣體。
在一些實施例中,間隙填充前驅物進一步包括一或多種含氮氣體。舉例而言,合適含氮氣體包括吡啶、脂肪胺、胺、腈、氨及類似化合物。
在一些實施例中,間隙填充前驅物進一步包括惰性氣體。在一些實施例中,可將諸如氬氣(Ar)及/或氦氣(He)之惰性氣體連同間隙填充前驅物供應至處理空間726中。亦可使用諸如氮氣(N 2)及一氧化氮(NO)之其他惰性氣體來控制間隙填充物之密度及沉積速率。另外,可將多種其他處理氣體添加至間隙填充前驅物,以使修改間隙填充物之性質。在一些實施例中,其他處理氣體可為反應氣體,諸如,氫氣(H 2)、氨氣(NH 3)、氫氣(H 2)與氮氣(N 2)之混合物,或其組合。H 2及/或NH 3之添加可用以控制所沉積之間隙填充物的氫比率(例如,碳與氫之比率)。類金剛石之碳材料中存在的氫比率提供了對層性質(諸如,反射率)之控制。
在一些實施例中,間隙填充前驅物進一步包括蝕刻劑氣體。合適之蝕刻劑氣體包括氯氣(Cl 2)、四氟化碳(CF 4)、三氟化氮(NF 3),或其組合。在不受理論束縛之情況下,咸信蝕刻劑氣體選擇性地自膜蝕刻sp 2雜化碳原子,藉此增大了膜中sp 3雜化碳原子的比例。
在一些實施例中,在於操作940期間在基板上形成了間隙填充物412之後,使間隙填充物412暴露於氫自由基。在一些實施例中,在操作940之沉積製程期間,使間隙填充物暴露於氫自由基。在一些實施例中,氫自由基是在RPS中形成且被輸送至處理區域。在不受理論束縛之情況下,咸信將間隙填充物暴露於氫自由基導致對sp 2雜化碳原子的選擇性蝕刻,藉此增大膜的sp 3雜化碳原子比例。
在操作950處,在基板上形成了間隙填充物412之後,對基板解除卡緊。在操作950期間,關斷卡緊電壓。關斷反應氣體並視情況將其自處理腔室淨化掉。在一些實施例中,在操作950期間,降低RF功率(例如,約200W)。視情況,控制器710監控阻抗變化以決定靜電電荷是否經由RF路徑消散至地面。一旦使基板自靜電卡盤接觸卡緊,則自處理腔室淨化掉剩餘氣體。將處理腔室抽空,且基板在升舉銷上向上移動,並被轉移出腔室。
總之,本揭示案之一些益處提供了用於在基板特徵中沉積間隙填充物之製程。在本文所述之一些實施例中,低製程壓力(毫托對比托)及底部驅動之電漿使得能夠製造具有大致60%或更多的雜化sp 3原子的間隙填充物。另外,在低基板溫度下執行本文所述之一些實施例,此使得能夠在遠低於藉由目前製程可能之溫度的溫度下沉積其他介電膜,從而開啟了具有目前藉由CVD無法解決之低熱預算的應用。
當介紹本揭示案之組件或其例示性態樣或(若干)實施例時,冠詞「一(a/an)」、「該」及「該等」旨在意謂存在組件中之一或多者。
術語「包括(comprising)」、「包括(including)」及「具有」旨在為包括性的,且意謂可存在除了所列組件以外的額外組件。
雖然前文針對本揭示案之實施例,但可在不脫離本揭示案之基本範疇的情況下設計本揭示案之其他及另外實施例,且本揭示案之範疇由以下申請專利範圍決定。
貫穿本說明書對「一個實施例」、「某些實施例」、「一或多個實施例」或「實施例」之引用意謂結合所述實施例描述之特定特徵、結構、材料或特性包括在本揭示案之至少一個實施例中。因此,貫穿本說明書各處出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」之詞語未必指代本揭示案之同一實施例。另外,可在一或多個實施例中以任何合適方式組合特定特徵、結構、材料或特性。
儘管已參考特定實施例描述了本文中之本發明,但應理解,此些實施例僅說明本發明之原理及應用。熟習此項技藝者將顯而易見,在不脫離本發明之精神及範疇的情況下,可對本發明之方法及設備進行各種修改及變化。因此,預期本發明包括在附加申請專利範圍及其等效物之範疇內的修改及變化。
10:基板 12:特徵 14:第一側壁 16:第二側壁 20:頂表面 30:底表面 50:間隙填充物 100:處理腔室 100a:上部腔室 100b:下部腔室 102:側壁 104:柵格過濾器 104-1:開口 105a:內部氣體通道 105b:氣體注入出口 106:頂板 107:內部通道 108:電極 108-1:開口 109:絕緣體或介電環 110:工件支撐基座 110a:工件支撐表面 111:基板 112:升舉伺服器 114:處理氣體供應器 120:RF電源功率發生器 120a:VHF功率發生器 120b:VHF功率發生器 122:較低功率RF電源功率發生器 123:RF餽送導體 124:阻抗匹配件 126:控制器 130:上部氣體注入器 132:第一閥 134:下部氣體注入器 136:第二閥 138:處理氣體供應器 140:閥 142:RF偏壓功率發生器 144:阻抗匹配件 146:RF功率發生器 147:波形定製處理器 148:RF功率發生器 150:絕緣層 152:卡緊電極 154:DC卡緊電壓供應器 155:餽送導體 156:DC阻擋電容器 160:磁體 160-1:磁體 160-2:磁體 170:側窗 172:電感線圈天線 174:RF電源功率發生器 176:阻抗匹配件 178:內部通道 180:熱介質循環供應器 194:基層 195:絕緣圓碟 196:工件電極 197:遠端電漿源 198:循環供應器 199:卡緊電壓供應器 300:方法 310:操作 320:操作 330:操作 340:操作 350:操作 500:方法 510:操作 520:操作 530:操作 540:操作 550:操作 560:操作 570:操作 580:操作 590:操作 700:處理腔室 701:側壁 702:真空泵 706:加熱器電源 710:控制器 712:中央處理單元(CPU) 714:支持電路 716:記憶體 718:信號匯流排 722:底壁 724:頂壁 728:孔 730:氣體分配板 732:基板處理系統 738:可選匹配網路 740:RF電源 746:基板支撐組件 750:靜電卡盤 760:桿 770:嵌入式加熱器組件 772:溫度感測器 780:基板處理系統 782:電極 790:基板 791:表面 792:上部表面 810:卡緊電極 812:卡緊電源 816:RF過濾器 840:第二RF電源 850:感測器裝置 860:第二RF電極 900:方法 910:操作 920:操作 930:操作 940:操作 950:操作
因此,可詳細地理解本發明之上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本發明之更具體描述,一些實施例在隨附圖式中加以圖示。然而,應注意,隨附圖式僅圖示本發明之典型實施例,且因此不應視為對本發明之範疇的限制,因為本發明可允許其他同等有效之實施例。
圖1描繪可使用電子束電漿技術實踐本揭示案之一些實施例的沉積設備之一個實例的示意圖;
圖2描繪可使用電子束電漿技術實踐本揭示案之一些實施例的沉積設備之另一實例的示意圖;
圖3描繪根據本揭示案之一些實施例的用於形成間隙填充物之方法的流程圖;
圖4A至圖4B描繪根據本揭示案之一些實施例的用於形成間隙填充物之方法的流程圖;
圖5A及圖5B描繪根據本揭示案之一或多個實施例的在處理之前及處理之後的基板之橫截面;
圖6A描繪可用於實踐本揭示案之一些實施例之沉積系統的示意性橫截面圖;
圖6B描繪可用於實踐本揭示案之一些實施例之另一沉積系統的示意性橫截面圖;
圖7描繪可用於圖6A或圖6B之用於實踐本揭示案之一些實施例之設備中的靜電卡盤之示意性橫截面圖;及
圖8描繪根據本揭示案之一或多個實施例的用於在基板之特徵中形成高品質間隙填充物之方法的流程圖。
為了便於理解,在可能的情況下,已使用相同元件符號來表示諸圖中所共有之相同組件。預期一個實施例之組件及特徵可有利地併入其他實施例中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
900:方法
910:操作
920:操作
930:操作
940:操作
950:操作

Claims (10)

  1. 一種間隙填充物沉積方法,包括以下步驟:藉由同時將一第一RF偏壓和一第二RF偏壓施加至支撐一基板的一靜電卡盤而在該基板上方從一間隙填充前驅物產生一電漿,以在該基板內之至少一個特徵內沉積一間隙填充物,該至少一個特徵從該基板表面延伸一深度到一底表面,該至少一個特徵在該基板表面處具有由一第一側壁和一第二側壁限定的一開口寬度。
  2. 如請求項1所述之方法,其中該間隙填充物大體上不具有孔隙。
  3. 如請求項1所述之方法,其中該基板被維持在自約10℃至約100℃之一溫度下。
  4. 如請求項1所述之方法,其中該至少一個特徵具有該深度與該開口寬度之大於或等於約5:1的一比率。
  5. 如請求項1所述之方法,其中該間隙填充前驅物包括一含矽物質,且該間隙填充物包括一介電材料。
  6. 如請求項5所述之方法,其中該介電材料包括矽、氧化矽或氮化矽中之一或多者。
  7. 如請求項1所述之方法,其中該間隙填充前驅物包括一烴,且該間隙填充物包括一類金剛石之碳材料。
  8. 如請求項7所述之方法,其中該烴選自由C2H2、C3H6、CH4、C4H8、1,3-二甲基金剛烷、雙環[2.2.1]庚-2,5-二烯(2,5-降冰片二烯)、金剛烷(C10H16)、降冰片烯(C7H10)及其組合組成之該群。
  9. 一種類金剛石之碳的間隙填充物材料,藉由請求項7之方法提供在一基板特徵內。
  10. 如請求項9所述之類金剛石之碳的間隙填充物材料,其中該間隙填充物材料具有大於1.5g/cm3之一密度、小於100MPa之一應力、大於2.0之一折射率、大於0.1之一消光係數或k值(K(在633nm處))或含有至少40%之sp3雜化碳原子。
TW111113426A 2018-06-19 2019-06-17 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料 TWI820667B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862687234P 2018-06-19 2018-06-19
US62/687,234 2018-06-19

Publications (2)

Publication Number Publication Date
TW202229608A TW202229608A (zh) 2022-08-01
TWI820667B true TWI820667B (zh) 2023-11-01

Family

ID=68840320

Family Applications (3)

Application Number Title Priority Date Filing Date
TW108120812A TWI764008B (zh) 2018-06-19 2019-06-17 高品質間隙填充的高偏壓沉積
TW112137802A TW202403083A (zh) 2018-06-19 2019-06-17 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
TW111113426A TWI820667B (zh) 2018-06-19 2019-06-17 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW108120812A TWI764008B (zh) 2018-06-19 2019-06-17 高品質間隙填充的高偏壓沉積
TW112137802A TW202403083A (zh) 2018-06-19 2019-06-17 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料

Country Status (7)

Country Link
US (2) US11062939B2 (zh)
JP (1) JP2021527958A (zh)
KR (2) KR20230152840A (zh)
CN (1) CN112313362A (zh)
SG (1) SG11202011738VA (zh)
TW (3) TWI764008B (zh)
WO (1) WO2019246191A1 (zh)

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110622280B (zh) * 2017-06-08 2023-11-24 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2022045827A (ja) * 2020-09-09 2022-03-22 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の高周波電力印加方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11702738B2 (en) 2021-05-17 2023-07-18 Applied Materials, Inc. Chamber processes for reducing backside particles
KR20240022392A (ko) * 2021-06-23 2024-02-20 램 리써치 코포레이션 라디칼-활성화된 탄소 막 증착
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material
US20230129550A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Carbon gap fill processes
CN116143518A (zh) 2021-11-23 2023-05-23 燕山大学 导电高强金刚石/非晶碳复合材料及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915190A (en) * 1995-12-27 1999-06-22 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
TW200908097A (en) * 2007-06-04 2009-02-16 Applied Materials Inc Gapfill extension of HDP-CVD integrated process modulation SiO2 process
TW201418505A (zh) * 2012-08-08 2014-05-16 Applied Materials Inc 用於半導體加工的流動性碳

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
JP3492634B2 (ja) * 1999-03-17 2004-02-03 インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト 半導体ウェーハ上のギャップの充填方法
KR20030003907A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 장비의 사용방법
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
KR20130115085A (ko) * 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915190A (en) * 1995-12-27 1999-06-22 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
TW200908097A (en) * 2007-06-04 2009-02-16 Applied Materials Inc Gapfill extension of HDP-CVD integrated process modulation SiO2 process
TW201418505A (zh) * 2012-08-08 2014-05-16 Applied Materials Inc 用於半導體加工的流動性碳

Also Published As

Publication number Publication date
TW202006170A (zh) 2020-02-01
KR102597532B1 (ko) 2023-11-01
TWI764008B (zh) 2022-05-11
WO2019246191A1 (en) 2019-12-26
KR20230152840A (ko) 2023-11-03
US11062939B2 (en) 2021-07-13
US11676858B2 (en) 2023-06-13
US20190385907A1 (en) 2019-12-19
TW202229608A (zh) 2022-08-01
TW202403083A (zh) 2024-01-16
US20210327752A1 (en) 2021-10-21
SG11202011738VA (en) 2021-01-28
KR20210008932A (ko) 2021-01-25
CN112313362A (zh) 2021-02-02
JP2021527958A (ja) 2021-10-14

Similar Documents

Publication Publication Date Title
TWI820667B (zh) 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
KR102165733B1 (ko) 전자 빔 플라즈마 프로세스에 의해 형성된 다이아몬드상 탄소 층
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
US11043375B2 (en) Plasma deposition of carbon hardmask
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
CN111587474A (zh) 高蚀刻选择性的非晶碳膜
TW201903179A (zh) 電漿反應器中類鑽石碳的沉積或處理及電漿反應器
JP2013526783A (ja) 超高選択性アッシング除去可能ハードマスク膜
US20140263173A1 (en) Methods for improving etching resistance for an amorphous carbon film
TW202015131A (zh) 碳間隙填充膜
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
TW202113130A (zh) 利用直接微波電漿的peald氮化鈦
KR20210065223A (ko) 박막 증착 방법
WO2023157690A1 (ja) 成膜方法および成膜装置
JP2023547089A (ja) ハードマスクを形成する方法
JP2023546587A (ja) ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス
JP2022048094A (ja) エッチング処理方法及び基板処理装置