JP2023546587A - ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス - Google Patents

ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス Download PDF

Info

Publication number
JP2023546587A
JP2023546587A JP2023524166A JP2023524166A JP2023546587A JP 2023546587 A JP2023546587 A JP 2023546587A JP 2023524166 A JP2023524166 A JP 2023524166A JP 2023524166 A JP2023524166 A JP 2023524166A JP 2023546587 A JP2023546587 A JP 2023546587A
Authority
JP
Japan
Prior art keywords
carbon
substrate
gas
containing layer
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023524166A
Other languages
English (en)
Inventor
ジュイ-ユエン シュ,
クリシュナ ニッタラ,
プラミット マンナ,
カーティック ジャナキラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023546587A publication Critical patent/JP2023546587A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本開示の実施形態は、広くは、ハードマスク及びプラズマ化学気相堆積(PECVD)によるハードマスク形成のためのプロセスに関する。一実施形態では、基板上にハードマスクを形成するためのプロセスが提供される。該プロセスは、PECVDチャンバの処理空間に基板を導入することであって、基板は基板支持体上に置かれ、基板支持体は静電チャックを含む、基板を導入すること、及び、PECVDチャンバ内の処理空間の中にプロセスガスを流すことであって、プロセスガスは炭素含有ガスを含む、プロセスガスを流すことを含む。該プロセスは、プラズマ条件下で、処理空間内のプロセスガスから励起されたプロセスガスを生成すること、基板支持体に基板を静電チャックすること、基板を静電チャックしている間に、第1の炭素含有層を基板上に堆積させること、及び、第2の炭素含有層を基板上に堆積させることによって、ハードマスク層を形成することを更に含む。【選択図】図3

Description

[0001] 本開示の実施形態は、広くは、ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセスに関する。
[0002] 炭素ベースのハードマスクは、典型的には、例えば炭素ベースのハードマスクの機械的特性のために、パターニングや線幅トリミング用途でエッチング耐性マスクに採用されている。炭素ベースのハードマスクは、典型的には、プラズマ化学気相堆積(PECVD)によって製造される。従来、このようなハードマスクを形成するためのプラズマ条件は、アルゴン及び/又はヘリウムを単独で採用する。しかし、プラズマ条件下で、例えばアルゴン及び/又はヘリウムの核種の高エネルギーボンバードは、特に基板チャッキング中に、ハードマスクがその上に形成される下層の誘電材料に損傷を与え得る。下層の誘電材料に対する損傷は、結果として、デバイス性能の劣化をもたらし得る。
[0003] 例えば、ハードマスク形成中に下層の損傷を軽減する、新規且つ改善されたプロセスが必要とされている。
[0004] 本開示の実施形態は、広くは、ハードマスク及びPECVDによるハードマスク形成のためのプロセスに関する。本明細書で説明される実施形態は、ハードマスク形成中の下層の損傷を低減させ又は排除し、ハードマスクと基板との間の接着性を改善する。
[0005] 一実施形態では、基板上にハードマスクを形成するためのプロセスが提供される。該プロセスは、PECVDチャンバの処理空間に基板を導入することであって、基板は基板支持体上に置かれ、基板支持体は静電チャックを含む、基板を導入すること、及び、PECVDチャンバ内の処理空間の中にプロセスガスを流すことであって、プロセスガスは炭素含有ガスを含む、プロセスガスを流すことを含む。該プロセスは、プラズマ条件下で、処理空間内のプロセスガスから励起されたプロセスガスを生成すること、基板支持体に基板を静電チャックすること、基板を静電チャックしている間に、第1の炭素含有層を基板上に堆積させること、及び、第2の炭素含有層を基板上に堆積させることによって、ハードマスク層を形成することを更に含む。
[0006] 別の一実施形態では、基板上にハードマスクを形成するためのプロセスが提供される。該プロセスは、PECVDチャンバの処理空間に基板を導入することであって、基板は基板支持体上に置かれ、基板支持体は静電チャックを含む、基板を導入すること、及び、PECVDチャンバ内の処理空間の中にプロセスガスを流すことを含む。プロセスガスは、炭素含有ガスを含む。その場合、炭素含有ガスは炭素含有化合物を含み、又は炭素含有ガスは炭素含有化合物から生成される。炭素含有化合物は、置換された若しくは非置換であるC1~C40炭化水素、置換された若しくは非置換であるC6~C20芳香族炭化水素、C1~C40ハロゲン化された炭化水素、又はこれらの組み合わせである。該プロセスは、プラズマ条件下で、処理空間内のプロセスガスから励起されたプロセスガスを生成すること、基板支持体に基板を静電チャックすること、基板を静電チャックしている間に、第1の炭素含有層を基板上に堆積させること、及び、第2の炭素含有層を基板上に堆積させることによって、ハードマスク層を形成することを更に含む。第1の炭素含有層、第2の炭素含有層、又はそれらの両方が、堆積されている間に、基板は、約-40℃から約40℃の温度に維持され、処理空間内の圧力は、約1mTorrから約20mTorrであり、又はこれらの組み合わせである。
[0007] 別の一実施形態では、基板上にハードマスクを形成するためのプロセスが提供される。該プロセスは、PECVDチャンバの処理空間に基板を導入することであって、基板は基板支持体上に置かれ、基板支持体は静電チャックを含む、基板を導入すること、及び、PECVDチャンバ内の処理空間の中にプロセスガスを流すことを含む。プロセスガスは、炭素含有ガスを含む。その場合、炭素含有ガスは炭素含有化合物を含み、又は炭素含有ガスは炭素含有化合物から生成される。炭素含有化合物は、置換された若しくは非置換であるC1~C20炭化水素を含む。該プロセスは、プラズマ条件下で、処理空間内のプロセスガスから励起されたプロセスガスを生成することであって、プラズマ条件は、基板支持体に約200Wから約5000WのRFバイアス電力を印加することを含む、励起されたプロセスガスを生成すること、基板支持体に基板を静電チャックすること、基板を静電チャックしている間に、第1の炭素含有層を基板上に堆積させること、及び、第2の炭素含有層を基板上に堆積させることによって、ハードマスク層を形成することを更に含む。第1の炭素含有層、第2の炭素含有層、又はそれらの両方が、堆積されている間に、基板は、約-40℃から約40℃の温度に維持され、処理空間内の圧力は、約1mTorrから約20mTorrであり、又はこれらの組み合わせである。
[0008] 上述の本開示の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付図面は例示的な実施形態を示しているに過ぎず、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
[0009] 本開示の少なくとも1つの実施形態による、例示的な処理チャンバの概略側面断面図である。 [0010] 本開示の少なくとも1つの実施形態による、例示的な基板支持体の概略断面図である。 [0011] 本開示で説明される少なくとも1つの実施形態による、図2Aで示されている例示的な基板支持体の一部分の拡大断面図である。 [0012] 本開示の例示的な一実施形態による、基板を処理する例示的な方法の選択された動作を示すフローチャートである。
[0013] 理解を容易にするために、可能な場合には、図面に共通する同一の要素を指し示すのに同一の参照番号が使用された。一実施形態の要素及び特徴は、追加の記述がなくても、他の複数の実施形態に有益に組み込むことができると考えられている。
[0014] 本開示の実施形態は、広くは、ハードマスク及びPECVDによるハードマスク形成のためのプロセスに関する。本発明者らは、ハードマスクを形成するための従来の方法の1以上の欠点を克服する、新規且つ改善されたプロセス及び結果として生じるハードマスク構造を見出した。例えば、本明細書で説明される複数の実施形態は、ハードマスク形成中の基板損傷を低減させ、ハードマスクとハードマスクが上に配置される表面との間の接着性を改善する。簡単に説明すると、幾つかの実施例では、炭素含有ガスの存在下で、基板支持体に基板が静電チャックされる。基板を静電チャックしている間に、第1の炭素含有層が形成される。次いで、第2の炭素含有層(例えば、ダイヤモンドのような炭素(DLC)膜又はsp3留分が60%を超える膜)を基板上に堆積させることによって、ハードマスク層が形成される。結果として生じる炭素含有層は、イオンの高エネルギーボンバードによって引き起こされる下層の損傷を防止し又は少なくとも軽減し、ウエハのインピンジメントを低減させる。
[0015] プラズマストライク(例えば、点火)のための従来の方法は、典型的には、非反応性ガス(例えば、アルゴン又はヘリウム)を単独で利用し、主たる堆積動作は、前駆体/担体(例えば、アセチレン/ヘリウム)混合物を利用する。結果として、誘電体層上に炭素ハードマスクが形成される前に、イオンの高エネルギーボンバードが、炭素ハードマスクの下の誘電体上に衝突する。対照的に、本明細書で説明される複数の実施形態は、基板を静電チャックしている間に、炭素含有ガス(非反応性ガスを伴うか又は伴わない)を利用して、プラズマをストライクし、開始層を形成する。堆積動作中に、炭素含有ガス(非反応性ガスを伴うか又は伴わない)を使用して、炭素ハードマスクを形成することができる。炭素ベースのハードマスクが除去された後で、結果として生じる誘電材料の波状の形態が観察され得る。対照的に、本明細書で説明される複数の実施形態は、下層の誘電材料の損傷を排除し又は少なくとも軽減するしたがって、本明細書で説明される複数の実施形態は、例えば改善されたデバイス性能を可能にする。
[0016] 図1は、少なくとも1つの実施形態による、堆積プロセスを行うのに適した例示的な処理チャンバ100の概略側面断面図である。適切なチャンバは、カリフォルニア州サンタクララのカリフにあるアプライドマテリアルズ社(Applied Materials, Inc.)から入手することができる。以下で説明されるシステムは、例示的なチャンバであり、他の製造業者からのチャンバを含む他のチャンバが、本開示の複数の実施形態(例えば、以下で説明されるプロセス300)を実現するために使用されてよく、又は修正されてよいことを理解されたい。幾つかの実施形態では、処理チャンバ100が、基板の上にハードマスク膜(例えば、アモルファスカーボンハードマスク膜)などのアドバンスドパターニング膜(advanced patterning film)を堆積させるように構成されてよい。
[0017] 処理チャンバ100は、リッドアセンブリ105、チャンバ本体192上に配置されたスペーサ110、基板支持体115、及び可変圧力システム120を含む。リッドアセンブリ105は、リッドプレート125及び熱交換器130を含む。図示されている一実施形態では、リッドアセンブリ105がまた、シャワーヘッド135も含む。しかし、他の複数の実施形態では、リッドアセンブリ105が、凹状又はドーム形状のガス導入プレートを含む。
[0018] リッドアセンブリ105は、第1の処理ガス源140に結合されている。第1の処理ガス源140は、基板支持体115上に支持された基板145上に膜を形成するための前駆体ガスを含む。一実施例として、第1の処理ガス源140は、とりわけ、炭素含有ガス、水素含有ガス、非反応性ガス(例えば、ヘリウム)などの、前駆体ガスを含む。特定の一実施例では、炭素含有ガスが、アセチレン(C2H2)を含む。第1の処理ガス源140は、リッドアセンブリ105内に配置されたプレナム190に前駆体ガスを提供する。リッドアセンブリは、第1の処理ガス源140からプレナム190の中に前駆体ガスを導くための1以上のチャネルを含む。前駆体ガスは、プレナムからシャワーヘッド135を貫通して処理空間160の中に流れる。幾つかの実施形態では、第2の処理ガス源142が、スペーサ110を貫通して配置された入口144を介して処理空間160に流体結合されている。一実施例として、第2の処理ガス源142は、とりわけ、炭素含有ガス、水素含有ガス、不活性ガス(例えば、ヘリウム)、例えばC2H2などの、前駆体ガスを含む。幾つかの実施形態では、処理空間160の中への前駆体ガスの全流量が、約100sccmから約2slmである。第2の処理ガス源142を介した処理空間160内の前駆体ガスの流れは、シャワーヘッド135を貫通した前駆体ガス流の流れを調節する。それによって、前駆体ガスは、処理空間160内で均一に分散される。一実施例では、複数の入口144が、スペーサ110の周りで放射状に分布していてよい。このような一実施例では、処理空間160内のガスの均一性を更に促進するために、入口144の各々へのガス流が別々に制御されてよい。
[0019] リッドアセンブリ105はまた、任意選択的な遠隔プラズマ源150にも結合されている。任意選択的な遠隔プラズマ源150は、リッドアセンブリ105と基板145との間でスペーサ110の内側に形成された処理空間160に洗浄ガスを提供するための洗浄ガス源155と結合されている。一実施例では、洗浄ガスが、リッドアセンブリ105を軸方向に貫通して形成された中央導管191を通して提供される。別の一実施例では、洗浄ガスが、前駆体ガスを導くのと同じチャネルを通して提供される。例示的な洗浄ガスは、酸素及び/又はオゾンなどの酸素含有ガス、ならびにNF3などのフッ素含有ガス、又はこれらの組み合わせを含む。
[0020] 任意選択的な遠隔プラズマ源150に加えて又はそれの代替として、リッドアセンブリ105はまた、第1の又は上側の高周波(RF)電源165にも結合されている。第1のRF電源165は、洗浄ガスから生成されるプラズマなどのプラズマの維持又は生成を容易にする。一実施例では、任意選択的な遠隔プラズマ源150が省略され、洗浄ガスは、第1のRF電源165を介してインシトゥ(その場)でプラズマの中にイオン化される。基板支持体115は、第2の又は下側のRF電源170に結合されている。第1のRF電源165は、高周波数RF電源(例えば、約13.56MHzから約120MHz)であってよく、第2のRF電源170は、低周波数RF電源(例えば、約2MHzから約13.56MHz)であってよい。他の周波数もまた考慮されていることに留意されたい。幾つかの実施態様では、第2のRF電源170が、混合周波数RF電源であり、高周波数と低周波数の両方の電力を提供する。特に第2のRF電源170用の二重周波数RF電源の利用は、膜の堆積を改善する。幾つかの実施例では、第2のRF電源170を利用することによって、二重周波数電力が得られる。幾つかの実施形態では、例えば約2MHzから約13.56MHzの第1の周波数が、堆積される膜の中への核種の注入を改善し、一方、例えば約13.56MHzから約120MHzの第2の周波数は、イオン化及び膜の堆積速度を増加させる。
[0021] 第1のRF電源165と第2のRF電源170の一方又は両方は、処理空間160内でのプラズマの生成又は維持に利用され得る。例えば、第2のRF電源170は、堆積プロセス中に利用されてよく、第1のRF電源165は、洗浄プロセス中に(単独で又は任意選択的な遠隔プラズマ源150と併せて)利用されてよい。幾つかの堆積プロセスでは、第1のRF電源165が、第2のRF電源170と併せて使用される。堆積又はエッチングプロセス中に、第1のRF電源165と第2のRF電源170の一方又は両方が、例えば、処理空間160内に約100ワット(W)から約20000Wの電力を提供して、前駆体ガスのイオン化を促進することができる。幾つかの実施形態では、第1のRF電源165と第2のRF電源170の少なくとも一方が、パルス化される。少なくとも1つの実施形態では、RF電力がリッドプレート125に印加される。
[0022] 基板支持体115は、アクチュエータ175(すなわち、リフトアクチュエータ)に結合されている。アクチュエータ175は、Z方向への基板支持体115の移動を提供する。基板支持体115はまた、設備ケーブル178にも結合されている。設備ケーブル178は、可撓性であり、基板支持体115の鉛直方向への移動を可能にすると同時に、第2のRF電源170ならびに他の電力との通信、及び流体接続を維持する。スペーサ110は、チャンバ本体192上に配置されている。スペーサ110の高さは、処理空間160内での基板支持体115の鉛直方向への移動を可能にする。スペーサ110の高さは、約0.5インチから約20インチである。一実施例では、基板支持体115が、リッドアセンブリ105に対して(例えば、シャワーヘッド135の下面に対して)、第1の距離180Aから第2の距離180Bに移動可能である。幾つかの実施形態では、第2の距離180Bが第1の距離180Aの約2/3である。例えば、第1の距離180Aと第2の距離との間の差が、約5インチから約6インチである。したがって、図1で示されている位置から、基板支持体115は、シャワーヘッド135の下面に対して約5インチから約6インチだけ移動可能である。別の一実施例では、基板支持体115が、第1の距離180Aと第2の距離180Bのうちの一方に固定されている。従来のプラズマ化学気相堆積(PECVD)プロセスとは対照的に、スペーサ110は、基板支持体115とリッドアセンブリ105との間の距離(及びしたがってそれらの間の空間)を大幅に増加させる。基板支持体115とリッドアセンブリ105との間の増加した距離は、処理空間160内のイオン化種の衝突を低減させ、結果として、2.5ギガパスカル(GPa)未満などのより小さい中立応力を有する膜の堆積をもたらす。より小さい中立応力を有する堆積膜は、膜がその上に形成される基板の平坦性の改善(例えば、反りがより少ない)を容易にする。基板の反りが低減されると、結果として、下流のパターニング動作の精度が向上する。
[0023] 可変圧力システム120は、第1のポンプ182及び第2のポンプ184を含む。第1のポンプ182は、洗浄プロセス及び/又は基板移送プロセス中に利用されてよい粗引きポンプである。粗引きポンプは、概して、より高い体積流量を移動させること及び/又は比較的高い圧力(依然として大気圧以下であるが)を動作させることのために構成されている。非限定的な一実施例では、洗浄プロセス中に、第1のポンプ182が、処理チャンバ内の圧力を、約50mTorr未満などの約500mTorr未満に維持する。別の一実施例では、第1のポンプ182が、処理チャンバ100内の圧力を、例えば約500mTorr未満、例えば約50mTorr未満、例えば、約0.5mTorrから約10mTorr、又は約5mTorrから約15mTorrに維持する。洗浄動作中に粗引きポンプを使用することによって、洗浄ガスの圧力及び/又は体積流量が(堆積動作と比較して)比較的高くなる。洗浄動作中の比較的高い圧力及び/又は体積流量は、チャンバ表面の洗浄を改善する。
[0024] 第2のポンプ184は、ターボポンプと低温ポンプのうちの一方であってよい。第2のポンプ184は、堆積プロセス中に利用され得る。第2のポンプ184は、概して、比較的低い体積流量及び/又は圧力を動作させるように構成されている。非限定的な一実施例では、第2のポンプ184が、プロセスチャンバの処理空間160を、約50mTorr未満などの約500mTorr未満の圧力に維持するよう構成されている。別の一実施例では、第2のポンプ184が、処理チャンバ100内の圧力を、例えば約500mTorr未満、例えば約50mTorr未満、例えば、約0.5mTorrから約10mTorr、又は約5mTorrから約15mTorrに維持する。堆積中に維持される処理空間160の低減された圧力は、カーボンベースのハードマスクを堆積させるときに、低減された中立応力及び/又は増加したsp2-sp3変換を有する膜の堆積を容易にする。したがって、処理チャンバ100は、堆積を改善するための比較的低い圧力と洗浄を改善するための比較的高い圧力との両方を利用するように構成されている。
[0025] 幾つかの実施形態では、第1のポンプ182と第2のポンプ184の両方が、堆積プロセス中に利用されて、プロセスチャンバの処理空間160を、約50mTorr未満などの約500mTorr未満の圧力に維持する。他の複数の実施形態では、第1のポンプ182及び第2のポンプ184が、処理空間160を、例えば、約0.5mTorrから約10mTorr又は約5mTorrから約15mTorrの圧力に維持する。バルブ186は、第1のポンプ182と第2のポンプ184のうちの一方又は両方への伝導経路を制御するために利用される。バルブ186はまた、処理空間160からの対称的なポンピングも提供する。
[0026] 処理チャンバ100はまた、基板移送ポート185も含む。基板移送ポート185は、内部ドア186A及び外部ドア186Bによって選択的に密封される。ドア186Aと186Bの各々は、アクチュエータ188(すなわち、ドアアクチュエータ)に結合されている。ドア186A及び186Bは、処理空間160の減圧密封を容易にする。ドア186A及び186Bはまた、処理空間160内の対称的なRF印加及び/又はプラズマ対称性も提供する。一実施例では、少なくともドア186Aが、RF電力の伝導性を促進する材料、例えば、ステンレス鋼、アルミニウム、又はそれらの合金で形成されている。スペーサ110とチャンバ本体192との界面に配置されたOリングなどのシール116が、処理空間160を更に密封してよい。処理チャンバ100に結合されたコントローラ194が、処理中に処理チャンバ100の諸態様を制御するように構成されている。
[0027] 図2Aは、基板支持体115の一実施形態の概略断面図である。図2Bは、図2Aで示されている基板支持体115の一部分の拡大断面図である。上述されたように、基板支持体115は、静電チャック230を含み得る。静電チャック230は、パック260を含む。パック260は、内部に埋め込まれた1以上の電極205(図2Bで示されている第1の電極205A及び第2の電極205B)を含む。第1の電極205Aは、チャック電極として利用され、第2の電極205Bは、RFバイアス電極として利用される。基板支持体115は、例えば、約300kHzから約60MHzなどの約300kHzから約120MHzの周波数にあるRF電力を、第2の電極205Bに提供することによってバイアスされてよい。第2の電極205Bに提供される周波数は、パルス化されてよい。パック260は、典型的には、セラミック材料などの誘電材料、例えば窒化アルミニウム(AlN)から形成される。
[0028] パック260は、誘電体プレート210及びベースプレート215によって支持されている。誘電体プレート210は、石英などの電気的絶縁材料、又はREXOLITE(登録商標)という商品名で販売されている高性能プラスチックなどの熱可塑性材料から形成されてよい。ベースプレート215は、アルミニウムなどの金属材料から作製されてよい。動作中、パック260がRF通電している間に、ベースプレート215は、接地に結合されているか又は電気的に浮いている。少なくともパック260及び誘電体プレート210は、絶縁体リング220によって囲まれている。絶縁体リング220は、石英、シリコン、又はセラミック材料などの、誘電材料で作製されてよい。ベースプレート215と絶縁体リング220の一部分とは、アルミニウムで作製された接地リング225によって囲まれている。絶縁体リング220は、動作中に、パック260とベースプレート215との間のアーク放電を防止又は最小化する。設備ケーブル178の端部が、パック260、誘電体プレート210、及びベースプレート215内に形成された開口部内で図示されている。パック260の電極用の電力、ならびに基板支持体115へのガス供給(図示せず)からの流体は、設備ケーブル178によって提供される。
[0029] 絶縁体リング220の内周に隣接して、エッジリング(図示せず)が配置される。エッジリングは、とりわけ、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン(例えば、REXOLITE(登録商標))、PEEK、Al2O3、AINなどの誘電材料を含んでよい。このような誘電材料などを含むエッジリングを利用することによって、プラズマ出力を変更する必要なしに、プラズマカップリングを調節し、基板支持体への電圧(Vdc)などのプラズマ特性を調節することができ、したがって、基板上に堆積されるハードマスク膜の特性を改善する。エッジリングの材料を介してウエハや基板とのRFカップリングを調節することによって、膜の弾性率が膜の応力から分離され得る。
[0030] パック260、誘電体プレート210、及びベースプレート215の各々は、設備ケーブル178を収容するために、内部に又はそこを貫通して形成された、それぞれ軸方向に整列した開口部を含む。パック260は、設備ケーブル178に係合するように成形された開口部295を含む。例えば、開口部295は、設備ケーブル178を受け入れるための雌受け口として構成されてよい。誘電体プレート210は、開口部295と軸方向に整列した開口部296を含む。開口部296は、開口部295の直径と略等しい直径を有する上側部分296a、上側部分の直径よりも大きい直径を有する中間部分296b、及び中間部分296bの直径よりも大きい直径を有する下側部分296cを含む。ベースプレート215は、第1の直径を有する上側部分297a及び第1の直径よりも小さい第2の直径を有する下側部分297bを含む、開口部297を含む。開口部296及び297の複数の直径は、内部に設備ケーブル178を固定することを容易にし得る。
[0031] パック260は、内部に形成された複数の流体チャネル231を含む。流体チャネル231の各々は、入口チャネル232と流体連通している。入口チャネル232は、入口導管234に流体結合されている。入口導管234は、冷媒源221に結合されている。流体チャネル231と入口チャネル232の各々は、キャッププレート236によって密封されている。キャッププレート236は、パック260と同じ材料、又はアルミニウムで作製されてよく、流体チャネル231及び入口導管234を密封するために、パック260に溶接され、又はさもなければ接合され得る。図示されていないが、入口導管234と同様に、出口導管が、基板支持体115内に設けられる。それによって、冷却流体が内部で再循環され得る。
[0032] 入口導管234の一部分は、管状部材238によって形成されている。管状部材238は、セラミック材料などの誘電材料から形成されている。シール240が、キャッププレート236とベースプレート215に隣接して環状部材238の端部に設けられている。管状部材238は、そこを通って流れる冷却流体によって引き起こされる可能性があるアーク放電を防止する。管状部材238はまた、誘電体プレート210の亀裂発生を防止するために、誘電体プレート210を、内部を流れる比較的冷たい冷却流体から熱的に絶縁してもよい。
[0033] 基板支持体115はまた、複数のリフトピン242(1つだけが図2Aで示されている)も含む。リフトピン242の各々は、誘電体ブッシング244内で移動可能に配置されている。リフトピン242の各々は、AlN、サファイア、石英などの、セラミック材料から形成されてよい。誘電体ブッシング244は、パック260、誘電体プレート210、及びベースプレート215の各々内に又はそれらを貫通して設けられている。誘電体ブッシング244は、ポリテトラフルオロエチレン(PTFE)材料などのポリマー材料で作製されている。誘電体ブッシング244は、その長さ方向に沿って開口部246を含む。開口部246内でリフトピン242がガイドされる。開口部246は、リフトピン242の寸法(直径)よりもわずかに大きくなるようにサイズ決定される。それによって、伝導経路が誘電体ブッシング244内に形成される。例えば、開口部246は、可変圧力システム120に結合されている。それによって、処理空間160と誘電体ブッシング244との間に、且つ、誘電体ブッシング244を貫通して可変圧力システム120まで減圧伝導が提供される。開口部246によって設けられる伝導経路が、リフトピンのアーク放電を防止する。誘電体ブッシング244は、直径が変化するセクションである複数の段差248を含む。段差248は、電気が移動してよい経路の長さを増加させることによって、ならびに経路に沿って角度のあるターンを導入することによって、パック260とベースプレート215との間のアーク放電を低減させる。
[0034] 基板支持体115はまた、複数の締結デバイス250(1つだけが示されている)も含む。締結デバイス250は、パック260を誘電体プレート210に取り付けるために利用される。各締結デバイス250は、ファスナ252、ワッシャ254、及びファスナキャップ256を含む(ワッシャ254及びファスナキャップ256は、図2Bで示されている)。ファスナ252が締め付けられると、ワッシャ254が、誘電体プレート210内に形成された開口部268の表面258に押し付けられる。ワッシャ254及びファスナ252は、ステンレス鋼などの金属材料から作製されている。ワッシャ254は、丸められた上側角部262を含む。丸められた上側角部262は、ファスナ252が締め付けられたときに、誘電体プレート210の材料の亀裂発生を防止する。
[0035] ファスナキャップ256は、誘電体プレート210内の開口部268の残りを埋めるために利用される。ファスナキャップ256は、ファスナ252のヘッドを受け入れるようにサイズ決定されたポケット264を含む。ファスナキャップ256は、ポリマー(例えば、ポリエーテルエーテルケトン(PEEK))などの誘電材料から形成される。ファスナキャップ256の外面は、段差266を含む。段差266は、電気が移動してよい経路の長さを増加させることによって、パック260とベースプレート215との間のアーク放電を低減させる。
[0036] 基板支持体115はまた、その層の間の複数の間隙も含む。第1の間隙270が、パック260と誘電体プレート210との間に設けられている。第2の間隙272が、誘電体プレート210とベースプレート215との間に設けられている。第1の間隙270及び第2の間隙272は、ガス供給(図示せず)と流体連通している。ガス供給からの流体は、第1の間隙270及び第2の間隙272内に流されて、隣接する層の間の圧縮を防止し得る。第1の間隙270及び第2の間隙272内の流体は、エッジリングによって基板支持体115の端部で密封される。エッジリングは、第1の間隙270及び第2の間隙272からの流体の制御された漏れを提供するようにサイズ決定されてよい。
方法
[0037] 本開示の複数の実施形態は、概して、基板上にハードマスク層を形成するためのプロセスなどの、基板を処理する方法に関する。従来の方法は、典型的には、プラズマストライク中にアルゴン及び/又はヘリウムの混合ガスを使用し、下層の損傷をもたらす。対照的に、本明細書で説明される複数の実施形態は、基板を静電チャックしている間に、炭素含有ガス(非反応性ガスを伴うか又は伴わない)を利用して、プラズマをストライクし、基板上に中間/開始層を形成する。低RFバイアス電力を使用するこの中間層形成動作は、プレチャック中に下層の中へのArイオンボンバードを防止し、透過型電子顕微鏡像によって特定されるように、堆積中のCH2+イオンの高エネルギーボンバードを防止するためのブロッキング(中間/開始)層として働く。例えば、主たる堆積動作と比較して中間層形成動作中に使用されるより低いRFバイアス電力のために、CH2+イオンもまた下層に悪影響を及ぼさない。主たる堆積動作中にも、炭素含有ガス(非反応性ガスを伴うか又は伴わない)を利用して、炭素ハードマスクを形成することができる。更に、結果として生じるハードマスク膜特性が、この薄い炭素含有開始層(プラズマストライクにおいて形成される)によって影響されない。典型的には、炭素含有開始層は、主たる堆積動作から形成される層よりも低いsp3含有量を有し、その厚さは約100Å未満である。加えて、非反応性ガスを伴うか又は伴わないかに関わらず、炭素含有ガスを使用するプラズマストライクは、下層の損傷を軽減する助けとなり得る。以下で説明されるように、チャッキング/開始層は、主たる堆積動作よりも低いRFバイアス電力で形成される。
[0038] 図3は、本開示の少なくとも1つの実施形態による、基板上にハードマスク膜を形成するための例示的なプロセス300の選択された動作を示すフローチャートである。該プロセスは、炭素ハードマスクの形成中に下層の損傷を排除し(又は少なくとも軽減し)、ハードマスクとハードマスクがその上に形成される下層との間の接着性を改善する。
[0039] プロセス300は、動作310で、基板処理チャンバの処理領域に基板を導入すること(例えば、移送することや搬送することなど)を含む。一実施例として、基板(例えば、基板145)は、任意の適切な手段によって(例えば、基板移送ポート185などによって)、処理チャンバ100の中に及び基板支持体115の上に移送される。基板支持体115は、図1で描かれているように、アクチュエータ175によって、処理位置に調整され得る。基板支持体115は、静電チャック230などの静電チャックを含む。基板は、窒化物、酸化物、シリコン、及び/又は金属(例えば、タングステン、モリブデン、チタンなど)などの1種類以上の材料を含み得る。
[0040] プロセス300は、動作320で、PECVDチャンバ内の処理空間の中に1種類以上のプロセスガスを流すことを更に含む。一実施例として、1以上のソースからの1種類以上のプロセスガスが、例えばシャワーヘッド135を貫通して、処理空間160に提供される。それによって、1種類以上のプロセスガスは、処理空間160内に均一に分散される。一実施例では、複数の入口144が、スペーサ110の周りで放射状に分布していてよく、複数の入口144の各々へのガス流は、処理空間160内のガスの均一性を更に促進するために、別々に制御されてよい。
[0041] 該プロセスガスは、1種類以上の炭素含有化合物を含む。更に又は代替的に、プロセスガスは、1種類以上の炭素含有化合物から生成される。例えば、標準的な温度及び圧力のガス状態にない化合物が、炭素含有化合物に変換され得る。したがって、幾つかの実施例では、炭素含有ガスが炭素含有化合物を含むか、若しくは炭素含有ガスが炭素含有化合物から生成されるか、又はそれらの組み合わせである。
[0042] 炭素含有化合物の非限定的な例としては、とりわけ、炭化水素、芳香族炭化水素、及びハロゲン化された化合物が挙げられる。幾つかの実施形態では、炭素含有化合物が、例えばC1~C100、例えばC1~C40、例えばC1~C20、例えばC1~C10の炭素数を有する。炭素含有化合物は、線形若しくは分岐状、環状若しくは非環状、及び/又は置換されている若しくは非置換であり得る。置換されているとは、炭素含有化合物の少なくとも1つの水素原子が、少なくとも1つのヘテロ原子(ハロゲン、例えば、フッ素(F)、塩素(Cl)、臭素(Br)、及び/又はヨウ素(I)など)、或いは、ヘテロ原子含有基(官能基、例えば、-NR*2、-OR*、-SiR*3、-GeR*3など、ここで各R*は、独立して水素又は線形若しくは分岐状、環状若しくは非環状、置換されている若しくは非置換である炭化水素)で置換されている、炭素含有化合物を指す。
[0043] 炭化水素の非限定的な例としては、実験式CnH2n+2を有するアルカン、実験式CnH2nを有するアルケン、及び実験式CnH2n-2を有するアルキンが挙げられるが、これらに限定されない。アルカン、アルケン、及びアルキンの各々は、線形若しくは分岐状、環状若しくは非環状、芳香族若しくは非芳香族、置換されている若しくは非置換であり得る。アルカンの非限定的な例としては、例えば、メタン、エタン、並びにプロパン、ブタン、ペンタン、ヘキサン、ヘプタン、オクタン、ノナン、デカン、ウンデカン、ドデカン、トリデカン、テトラデカン、ペンタデカン、ヘキサデカン、ヘプタデカン、オクタデカン、ノナデカン、及びアイコサンの異性体が挙げられる。アルケンの非限定的な例としては、例えば、エテン、並びにプロペン、ブテン、ペンテン、ヘキセン、ヘプテン、オクテン、ノネン、デセン、ウンデセン、ドデセン、トリデセン、テトラデセン、ペンタデセン、ヘキサデセン、ヘプタデセン、オクタデセン、ノナデセン、及びアイコセンの異性体が挙げられる。アルキンの非限定的な例としては、例えば、アセチレン、並びにプロピン、ブチン、ペンチン、ヘキシン、ヘプチョン、オクチョン、ノイン、デシン、ウンデシン、ドデシン、トリデシン、テトラデシン、ペンタデシン、ヘキサデシン、ヘプタデシン、オクタデシン、ノナデシン、及びアイコシンの異性体などが挙げられる。
[0044] 芳香族炭化水素の非限定的な例としては、C4~C30芳香族炭化水素、例えばC6~C20芳香族炭化水素、例えば、ベンゼン、トルエン、キシレン、及びナフタレンなどが挙げられるが、これらに限定されるものではない。芳香族炭化水素は、非置換であるか又は置換されている。置換されている場合、芳香族炭化水素の少なくとも1つの水素原子が、少なくとも1つのヘテロ原子(ハロゲン、例えば、フッ素(F)、塩素(Cl)、臭素(Br)、及び/又はヨウ素(I)など)、或いは、ヘテロ原子含有基(官能基、例えば、-NR*2、-OR*、-SiR*3、-GeR*3など、ここで各R*は、独立して水素又は線形若しくは分岐状、環状若しくは非環状、置換されている若しくは非置換である炭化水素)で置換されている。
[0045] ハロゲン化された化合物の非限定的な例としては、実験式CnHxX'(2n+2-x)を有する化合物が挙げられるが、これらに限定されない、ここで、X'=F、Cl、Br、及び/又はI、並びにn=1~100(例えば1~40、例えば1~30、例えば1~20、例えば1~10など、例えば1~6)である。ハロゲン化された化合物の例としては、CF4、CH2F2、C4F6、又はそれらの組み合わせが挙げられるが、これらに限定されない。
[0046] 幾つかの実施形態では、プロセスガスが、1種類以上の非反応性ガスを更に含む。非反応性ガスの例としては、ヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)、ラドン(Rn)、又はそれらの組み合わせが挙げられるが、これらに限定されない。
[0047] プロセス300は、動作330で、プロセスガスから励起されたプロセスガスを生成することを更に含む。励起されたプロセスガスは、プラズマ条件下でプラズマによって生成される。ここで、例えば、RF電源165及び/又はRF電源170は、プラズマ生成のための任意の適切な周波数又は電力レベルでRF電力を供給することができる。RF電力は、処理空間160内でプロセスガスを励起する電磁場を生成する。プラズマを生成するためのプロセス条件の非限定的な例が、以下で提供される。
[0048] プロセス300は、動作340で、基板支持体に基板を静電チャックすること、及び、動作350で、基板を静電チャックしている間に、第1の炭素含有層を基板上に堆積させることを更に含む。次いで、動作360で、第2の炭素含有層を基板上に堆積させることによって、ハードマスクが形成される。第1の炭素含有層は、動作360の主たる堆積ステップ中に、C2H+イオンの高エネルギーボンバードを防止するためのブロッキング(中間/開始)層として働き得る。
[0049] 本明細書で説明される例示的なプロセス(例えば、プロセス300)の様々な動作は、以下で説明されるような1以上のプロセスパラメータを含む。
[0050] 基板の温度は、約100℃以下、例えば約-40℃から約100℃、例えば約-30℃から約50℃、又は約-40℃から約10℃の温度を有し得る。少なくとも1つの実施形態では、基板の温度が、T1からT2(℃の単位)の範囲にある。ここで、T1とT2の各々は、独立して、約-40、約-35、約-30、約-25、約-20、約-15、約-10、約-5、約0、約5、約10、約15、約20、約25、約30、約35、約40、約45、約50、約55、約60、約65、約70、約75、約80、約85、約90、約95、又は約100、且つT1<T2である。
[0051] 処理空間内の圧力は、約500ミリトール(mTorr)以下、例えば約400mTorr以下、例えば約300mTorr以下、例えば約200mTorr以下、例えば約100mTorr以下、例えば約50mTorr以下、例えば約20mTorr以下、例えば約1mTorrから約10mTorr、約4mTorrから約10mTorr、又は約5mTorrから約15mTorrであり得る。少なくとも1つの実施形態では、処理空間内の圧力が、P1からP2(mTorrの単位で)の範囲にある。ここで、P1とP2の各々は、独立して、約0.5mTorr、約1、約2、約3、約4、約5、約6、約7、約8、約9、約10、約11、約12、約13、約14、約15、約16、約17、約18、約19、約20、約25、約50、約100、約200、約300、約400、又は約500、且つP1<P2である。
[0052] 処理空間の中への1種類以上の炭素含有ガスの流量は、約5000標準立方センチメートル/分(sccm)以下、例えば300mmサイズの基板について、約20sccmから約5000sccm、例えば約50sccmから約200sccm、例えば約80sccmから約160sccmであり得る。少なくとも1つの実施形態では、300mmサイズの基板について、1種類以上の炭素含有ガスの流量が、流量から流量(sccmの単位)の範囲にある。ここで、流量と流量の各々は、独立して、約20、約30、約40、約50、約60、約70、約80、約90、約100、約110、約120、約130、約140、約150、約160、約170、約180、約190、約200、約210、約220、約230、約240、約250、約500、約1000、約1500、約2000、約2500、約3000、3500、約4000、約4500、又は5000、且つ流量<流量である。
[0053] 1種類以上の非反応性ガスが使用される複数の実施形態では、処理空間の中への1種類以上の非反応性ガスの流量が、300mmサイズの基板について、約3000sccm以下、例えば500sccm以下、例えば250sccm以下、例えば約0sccmから約100sccm、例えば約1sccmから約50sccmであり得る。少なくとも1つの実施形態では、300mmサイズの基板について、1種類以上の非反応性ガスの流量が、流量から流量(sccmの単位)の範囲にある。ここで、流量と流量の各々は、独立して、約0、約1、約5、約10、約20、約30、約40、約50、約60、約70、約80、約90、約100、約110、約120、約130、約140、約150、約160、約170、約180、約190、約200、約210、約220、約230、約240、約250、約500、約1000、1500、約2000、約2500、又は3000、且つ流量<流量である。
[0054] 1種類以上の非反応性ガスが使用される複数の実施形態では、300mmサイズの基板について、(1種類以上の)炭素含有ガスの(1種類上の)非反応性ガスに対する流量の比が、約0.05以上、例えば約0.1から約100、例えば約0.5から約50、例えば約1から約10であり得る。少なくとも1つの実施形態では、300mmサイズの基板について、(1種類以上の)炭素含有ガスの(1種類以上の)非反応性ガスに対する流量の比が、比から比の範囲にある。ここで、比と比の各々は、独立して、約0.1、約0.2、約0.3、約0.4、約0.5、約1、約2、約5、約10、約15、約20、約25、約30、約35、約40、約45、約50、約55、約60、約65、約70、約75、約80、約85、約90、約95、又は約100、且つ比<比である。
[0055] リッドプレート(例えば、リッドプレート125)に印加されるRF電力は、約100ワット(W)から約10000W、例えば約500Wから約5000W、例えば約1000Wから約2000W、又は約2500Wから4000Wであり得る。少なくとも1つの実施形態では、RF電力が、電力から電力(Wの単位)の範囲にある。ここで、電力と電力の各々は、独立して、約100、約200、約300、約400、約500、約600、約700、約800、約900、約1000、約1500、約2000、約2500、約2600、約2700、約2800、約2900、約3000、約3100、約3200、約3300、約3400、約3500、約3600、約3700、約3800、約3900、約4000、約4500、約5000、約5500、約6000、約6500、約7000、約7500、約8000、約8500、約9000、約9500、又は約10000W、且つ電力<電力である。
[0056] 堆積中の基板支持体に印加されるRFバイアス電力は、約100ワット(W)から約10000W、例えば約500Wから約5000W、例えば約1000Wから約2000W、又は約2500Wから約4000Wであり得る。少なくとも1つの実施形態では、堆積中のRF電力が、電力から電力(Wの単位)の範囲にある。ここで、電力と電力の各々は、独立して、約100、約200、約300、約400、約500、約600、約700、約800、約900、約1000、約1500、約2000、約2500、約2600、約2700、約2800、約2900、約3000、約3100、約3200、約3300、約3400、約3500、約3600、約3700、約3800、約3900、約4000、約4500、約5000、約5500、約6000、約6500、約7000、約7500、約8000、約8500、約9000、約9500、又は約10000、且つ電力<電力である。
[0057] プラズマストライク中のRFバイアス電力は、約100ワット(W)から約1000W、例えば約100Wから約800W、例えば約200Wから約500Wであり得る。少なくとも1つの実施形態では、プラズマストライク中のRFバイアス電力が、電力から電力(Wの単位)の範囲にある。ここで、電力と電力の各々は、独立して、約10、約50、約100、約150、約200、約250、約300、約350、約400、約450、約500、約550、約600、約650、約700、約750、約800、約850、約900、約950、又は約1000、且つ電力<電力である。
[0058] 基板支持体は、少なくとも約300kHz、例えば約400kHzから約120MHz、又は約300kHzから約60MHz、例えば約1MHzから約10MHzなどの周波数でRF電力を提供することによってバイアスされてよい。周波数は、パルス化され得る。
[0059] 採用されるプラズマは、約10イオン/cm3以上のオーダー、例えば約100イオン/cm3から約1×1015イオン/cm3、例えば約1×10イオン/cm3から約1×1015イオン/cm3、例えば1×10イオン/cm3から約1×1014イオン/cm3、例えば1×10イオン/cm3から約1×1013イオン/cm3、例えば1×1010イオン/cm3から約1×1012イオン/cm3のプラズマ密度を有し得る。少なくとも1つの実施形態では、プラズマ密度(PD)が、PDからPD(イオン/cm3の単位)の範囲にある。ここで、PD1とPD2は、独立して、約1イオン/cm3、約10イオン/cm3、約100イオン/cm3、約1×10イオン/cm3、約1×10イオン/cm3、約1×10イオン/cm3、約1×10イオン/cm3、約1×10イオン/cm3、約1×10イオン/cm3、約1×10イオン/cm3、約1×1010イオン/cm3、約1×1011イオン/cm3、約1×1012イオン/cm3、約1×1013イオン/cm3、約1×1014イオン/cm3、又は約1×1015イオン/cm3、且つPD1<PD2である。プラズマ密度は、基板処理チャンバの処理空間内で測定することができる。
[0060] 炭素含有層の堆積時間は、約1秒(s)以上、例えば約1sから約2000s、例えば約1sから約1000s、又は約1000sから約1500sであり得る。少なくとも1つの実施形態では、堆積時間が、時間から時間(sの単位)の範囲にある。ここで、時間と時間は、独立して、約1、約10、約30、約60、約90、約120、約150、約200、約240、約300、約360、約420、約480、約540、約600、約660、約720、約780、約840、約900、約960、約1000、約1020、約1080、約1140、約1200、約1260、約1320、約1380、約1440、約1500、約1560、約1620、約1680、約1740、約1800、約1860、約1920、約1980、又は約2000、且つ時間<時間である。
[0061] 形成される炭素含有層の厚さは、約25オングストローム(Å)以上、例えば約50Åから約50000Å、例えば約100Åから約25000Åであり得る。少なくとも1つの実施形態では、炭素含有層の厚さが、厚さから厚さ(Åの単位)の範囲にある。ここで、厚さと厚さは、独立して、約50、約100、約200、約300、約500、約1000、約2000、約3000、約4000、約5000、約6000、約7000、約8000、約9000、約10000、約11000、約12000、約13000、約14000、約15000、約16000、約17000、約18000、約19000、約20000、約21000、約22000、約23000、約24000、約25000、約26000、約27000、約28000、約29000、約30000、約31000、約32000、約33000、約34000、約35000、約36000、約37000、約38000、約39000、約40000、約41000、約42000、約43000、約44000、約45000、約46000、約47000、約48000、約49000、又は50000、且つ厚さ<厚さである。
[0062] 炭素含有層の堆積速度は、約1オングストローム/分(Å/分)以上、例えば約1Å/分から約5000Å/分、例えば約10Å/分から約3000Å/分、例えば約100Å/分から約2000Å/分であり得る。少なくとも1つの実施形態では、炭素含有層の堆積速度が、DR1からDR2(Å/分の単位)の範囲にある。ここで、DR1とDR2の各々は、独立して、約1、約10、約50、約100、約200、約300、約400、約500、約600、約700、約800、約900、約1000、約1100、約1200、約1300、約1400、約1500、約1600、約1700、約1800、約1900、約2000、約2100、約2200、約2300、約2400、約2500、約2600、約2700、約2800、約2900、約3000、約3100、約3200、約3300、約3400、約3500、約3600、約3700、約3800、約3900、約4000、約4100、約4200、約4300、約4400、約4500、約4600、約4700、約4800、約4900、又は約5000、且つDR1<DR2である。
[0063] 幾つかの実施形態では、堆積された炭素含有層が、ラマン分光法によって特定されたときに、少なくとも10%のsp3混成原子(hybridized atom)を有する。すなわち、炭素含有層のsp3混成含有量は、少なくとも10%以上であり得る。sp3混成含有量は、約1%から約100%、例えば約5%から約90%、例えば約10%から約75%、例えば約25%から約50%、又は少なくとも約60%であり得る。少なくとも1つの実施形態では、炭素含有層のsp3混成含有量が、含有量から含有量(%の単位)の範囲にある。ここで、含有量と含有層の各々は、独立して、約10、約15、約20、約25、約30、約35、約40、約45、約50、約55、約60、約65、約70、約75、約80、約85、約90、約95、又は約100、且つ含有層1<含有層2である。
[0064] ハードマスクを形成するための従来の方法の1以上の欠点を克服する新規且つ改善されたプロセス及び構造が、本明細書で説明された。本明細書で説明される複数の実施形態は、例えば、ハードマスク形成中の下層の損傷を低減させ、ハードマスクと基板との間の接着性を改善した。したがって、本明細書で説明される複数の実施形態は、例えば、改善されたデバイス性能を可能にする。
[0065] 1以上の前述の一般的な説明と具体的な実施形態から明らかなように、本開示の複数の形態が図示され説明されてきたが、本開示の精神及び範囲から逸脱することなしに、様々な変形が行われ得る。したがって、それによって本開示が限定されることは意図していない。同様に、「備える、含む(comprising)」という用語は、「含む(including)」という用語と同義であると考えられる。同様に、組成、要素、又は複数の要素の群の前に「備える、含む(comprising)」という移行フレーズが付いている場合には、常に、組成、要素、又は複数の要素の前に「本質的に~から成る(consisting essentially of)」、「~から成る(consisting of)」、「~から成る群から選択される(selected from the group of consisting of)」、又は「である(is)」という移行フレーズを有する、同じ組成又は複数の要素の群も考慮されていると理解され、逆もまた同様である。
[0066] 本開示の目的のために、特段の指定がない限り、本明細書の詳細な説明及び特許請求の範囲内の全ての数値は、示された値を「約」又は「略」で修正し得ること、当業者によって予想され得る実験誤差及び変動を含むことを考慮している。
[0067] 特定の実施形態及び特徴が、一組の数値上限と一組の数値下限を使用して説明された。別段の指定がない限り、任意の2つの値の組み合わせ、例えば、任意の下側値と任意の上側値の組み合わせ、任意の2つの下側値の組み合わせ、及び/又は任意の2つの上側値の組み合わせが、考慮されていると理解されたい。特定の下側値、上側値、及び範囲は、以下の1以上の請求項内で現れる。
[0068] 以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (20)

  1. 基板上にハードマスク層を形成するための方法であって、
    プラズマ化学気相堆積(PECVD)チャンバの処理空間に基板を導入することであって、前記基板は基板支持体上に置かれ、前記基板支持体は静電チャックを含む、基板を導入すること、
    前記PECVDチャンバ内の前記処理空間の中にプロセスガスを流すことであって、前記プロセスガスは炭素含有ガスを含む、プロセスガスを流すこと、
    プラズマ条件下で、前記処理空間内の前記プロセスガスから励起されたプロセスガスを生成すること、
    前記基板支持体に前記基板を静電チャックすること、
    前記基板を静電チャックしている間に、第1の炭素含有層を前記基板上に堆積させること、及び
    第2の炭素含有層を前記基板上に堆積させることによって、前記ハードマスク層を形成することを含む、方法。
  2. 前記炭素含有ガスは炭素含有化合物を含み、又は前記炭素含有ガスは炭素含有化合物から生成され、前記炭素含有化合物は、置換された若しくは非置換であるC1~C40炭化水素、置換された若しくは非置換であるC6~C20芳香族炭化水素、C1~C40ハロゲン化された炭化水素、又はこれらの組み合わせである、請求項1に記載の方法。
  3. 前記炭素含有化合物は、アルキンを含む、請求項2に記載の方法。
  4. 前記炭素含有ガスは、アセチレンを含む、請求項3に記載の方法。
  5. 前記励起されたプロセスガスは、非反応性ガスを更に含む、請求項2に記載の方法。
  6. 前記炭素含有化合物は、アセチレンを含み、前記非反応性ガスは、ヘリウムを含む、請求項5に記載の方法。
  7. 前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、
    前記基板が、約-40℃から約40℃の温度で維持され、
    前記処理空間内の圧力が、約1mTorrから約20mTorrであり、又は
    それらの組み合わせである間に、堆積される、請求項1に記載の方法。
  8. 前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、ラマン分光法によって特定されたときに、約60%以上のsp3混成原子を含む、請求項1に記載の方法。
  9. 前記プラズマ条件は、
    約200Wから約5000Wの高周波(RF)バイアス電力を印加すること、
    約1000Wから約5000WのRF電力を印加すること、又は
    それらの組み合わせを含む、請求項1に記載の方法。
  10. 前記プラズマ条件は、約2500Wから約4000WのRFバイアス電力を前記静電チャックに印加することを含む、請求項1に記載の方法。
  11. 基板上にハードマスク層を形成するための方法であって、
    プラズマ化学気相堆積(PECVD)チャンバの処理空間に基板を導入することであって、前記基板は基板支持体上に置かれ、前記基板支持体は静電チャックを含む、基板を導入すること、
    前記PECVDチャンバ内の前記処理空間の中にプロセスガスを流すことであって、前記プロセスガスは炭素含有ガスを含み、前記炭素含有ガスは炭素含有化合物を含み又は前記炭素含有ガスは炭素含有化合物から生成され、前記炭素含有化合物は、置換された若しくは非置換であるC1~C40炭化水素、置換された若しくは非置換であるC6~C20芳香族炭化水素、C1~C40ハロゲン化された炭化水素、又はこれらの組み合わせである、プロセスガスを流すこと、
    プラズマ条件下で、前記処理空間内の前記プロセスガスから励起されたプロセスガスを生成すること、
    前記基板支持体に前記基板を静電チャックすること、
    前記基板を静電チャックしている間に、第1の炭素含有層を前記基板上に堆積させること、及び
    第2の炭素含有層を前記基板上に堆積させることによって、前記ハードマスク層を形成することを含み、前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、
    前記基板が、約-40℃から約40℃の温度で維持され、
    前記処理空間内の圧力が、約1mTorrから約20mTorrであり、又は
    それらの組み合わせである間に、堆積される、方法。
  12. 前記炭素含有化合物は、アルキンを含む、請求項11に記載の方法。
  13. 前記プロセスガスは、1種類以上の非反応性ガスを更に含む、請求項11に記載の方法。
  14. 前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、
    前記基板が、約-40℃から約10℃の温度で維持され、
    前記処理空間内の圧力が、約5mTorrから約15mTorrであり、又は
    それらの組み合わせである間に、堆積される、請求項11に記載の方法。
  15. 前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、ラマン分光法によって特定されたときに、約60%以上のsp3混成原子を含む、請求項11に記載の方法。
  16. 前記プラズマ条件は、
    約200Wから約5000Wの高周波(RF)バイアス電力を印加すること、
    約1000Wから約5000WのRF電力を印加すること、又は
    それらの組み合わせを含む、請求項11に記載の方法。
  17. 基板上にハードマスク層を形成するための方法であって、
    プラズマ化学気相堆積(PECVD)チャンバの処理空間に基板を導入することであって、前記基板は基板支持体上に置かれ、前記基板支持体は静電チャックを含む、基板を導入すること、
    前記PECVDチャンバ内の前記処理空間の中にプロセスガスを流すことであって、前記プロセスガスは炭素含有ガスを含み、前記炭素含有ガスは炭素含有化合物を含み又は前記炭素含有ガスは炭素含有化合物から生成され、前記炭素含有化合物は、置換された又は非置換であるC1~C20炭化水素を含む、プロセスガスを流すこと、
    プラズマ条件下で、前記処理空間内の前記プロセスガスから励起されたプロセスガスを生成することであって、前記プラズマ条件は、約200Wから約5000WのRFバイアス電力を前記基板支持体に印加することを含む、励起されたプロセスガスを生成すること、
    前記基板支持体に前記基板を静電チャックすること、
    前記基板を静電チャックしている間に、第1の炭素含有層を前記基板上に堆積させること、及び
    第2の炭素含有層を前記基板上に堆積させることによって、前記ハードマスク層を形成することを含み、前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、
    前記基板が、約-40℃から約40℃の温度で維持され、
    前記処理空間内の圧力が、約1mTorrから約20mTorrであり、又は
    それらの組み合わせである間に、堆積される、方法。
  18. 前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、
    前記基板が、約-40℃から約10℃の温度で維持され、
    前記処理空間内の圧力が、約5mTorrから約15mTorrであり、又は
    それらの組み合わせである間に、堆積される、請求項17に記載の方法。
  19. 前記第1の炭素含有層、前記第2の炭素含有層、又はそれらの両方は、ラマン分光法によって特定されたときに、約60%以上のsp3混成原子を含む、請求項17に記載の方法。
  20. 前記炭素含有化合物は、アルキンである、請求項17に記載の方法。
JP2023524166A 2020-10-21 2021-10-06 ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス Pending JP2023546587A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/075,812 2020-10-21
US17/075,812 US11421324B2 (en) 2020-10-21 2020-10-21 Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
PCT/US2021/053832 WO2022086708A1 (en) 2020-10-21 2021-10-06 Hardmasks and processes for forming hardmasks by plasma- enhanced chemical vapor deposition

Publications (1)

Publication Number Publication Date
JP2023546587A true JP2023546587A (ja) 2023-11-06

Family

ID=81186081

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023524166A Pending JP2023546587A (ja) 2020-10-21 2021-10-06 ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス

Country Status (6)

Country Link
US (1) US11421324B2 (ja)
JP (1) JP2023546587A (ja)
KR (1) KR20230091950A (ja)
CN (1) CN116568856A (ja)
TW (1) TW202223985A (ja)
WO (1) WO2022086708A1 (ja)

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69815163T2 (de) 1997-01-24 2004-05-06 Applied Materials, Inc., Santa Clara Verfahren und Vorrichtung zur Abscheidung von Titanschichten
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
FR2884079B1 (fr) 2005-03-31 2007-09-07 Valeo Equip Electr Moteur Commande d'un transistor mos
KR100941070B1 (ko) 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP2009206394A (ja) 2008-02-29 2009-09-10 Nippon Zeon Co Ltd 炭素系ハードマスクの形成方法
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
KR101226274B1 (ko) 2011-02-15 2013-01-25 에스케이하이닉스 주식회사 카본 하드마스크층 형성방법 및 이를 이용한 반도체소자의 패턴 형성방법
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
JP2013021382A (ja) 2011-07-07 2013-01-31 Toshiba Corp 同軸ケーブル
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20150371851A1 (en) 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
WO2018226370A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
JP7442459B2 (ja) 2018-04-24 2024-03-04 アプライド マテリアルズ インコーポレイテッド カーボンハードマスクのプラズマ強化化学気相堆積
JP2022534885A (ja) 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ

Also Published As

Publication number Publication date
US20220119953A1 (en) 2022-04-21
US11421324B2 (en) 2022-08-23
CN116568856A (zh) 2023-08-08
KR20230091950A (ko) 2023-06-23
WO2022086708A1 (en) 2022-04-28
TW202223985A (zh) 2022-06-16

Similar Documents

Publication Publication Date Title
TWI764008B (zh) 高品質間隙填充的高偏壓沉積
JP2023134494A (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
JP2023156333A (ja) パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
JP7407121B2 (ja) パターニング用途のためのカーボンハードマスク及び関連方法
US11721545B2 (en) Method of using dual frequency RF power in a process chamber
JP2023546587A (ja) ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2023547089A (ja) ハードマスクを形成する方法
WO2023157690A1 (ja) 成膜方法および成膜装置
US20230022359A1 (en) Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
JP2022107943A (ja) プラズマエッチング方法及びプラズマエッチング装置
WO2022005704A1 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
WO2022031475A1 (en) Deposition of low-stress carbon-containing layers
TW202413714A (zh) 形成熱穩定碳膜之方法
WO2024085970A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
JP2022187397A (ja) 成膜方法および成膜装置