JP2021527958A - 高品質間隙充填材の高バイアス堆積 - Google Patents

高品質間隙充填材の高バイアス堆積 Download PDF

Info

Publication number
JP2021527958A
JP2021527958A JP2020570157A JP2020570157A JP2021527958A JP 2021527958 A JP2021527958 A JP 2021527958A JP 2020570157 A JP2020570157 A JP 2020570157A JP 2020570157 A JP2020570157 A JP 2020570157A JP 2021527958 A JP2021527958 A JP 2021527958A
Authority
JP
Japan
Prior art keywords
electrode
substrate
mhz
interstitial
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020570157A
Other languages
English (en)
Inventor
サミュエル イー. ゴットハイム,
エスワラナンド ベンカタサブラマニアン,
プラミット マンナ,
アブヒジット バス マリック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021527958A publication Critical patent/JP2021527958A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本開示の実施形態は、概して、集積回路の製造に関する。より具体的には、本明細書に記載された実装形態は、高品質間隙充填材を堆積するための技法を提供する。幾つかの実施形態は、化学気相堆積、プラズマ気相堆積、物理的気相堆積、及びこれらの組み合わせを利用して、間隙充填材を堆積する。間隙充填材は、高品質であり、同様に構成されたバルク材料と特性が類似している。
【選択図】図8

Description

[0001]本開示は、概して、薄い膜を堆積させる方法に関する。具体的には、本開示は、高品質の誘電体間隙充填材を有する狭いトレンチを充填するためのプロセスに関する。
[0002]半導体回路素子の小型化は、45nm、32nm、28nm、及びさらに20nmのフィーチャサイズが工業的規模で製造されるところまで到達した。寸法がより縮小するにつれて、様々な材料で回路素子間の間隙を充填するなどの、プロセスステップにとっての新たな課題が発生する。素子間の幅が縮小し続けるにつれて、素子間の間隙は、より高く、より狭くなることが多い。それにより、間隙充填材料を固着させずに間隙を充填させることがより困難になり、ボイドや微弱なシームが生成されてしまう。従来の化学気相堆積(CVD)技術では、間隙が完全に充填される前に、間隙の上部で材料の過成長が発生することが多い。これにより、堆積される材料が過成長によって未熟なまま切り離された間隙において、ボイドやシームが発生させる可能性がある。これは、時としてブレッドローフィング(breadloafing)と呼ばれる問題である。
[0003]ブレッドローフィング問題に対する1つの解決策は、プラズマフリー基板処理領域内で結合した間隙充填前駆体とプラズマ励起前駆体を使用して、初期流動可能膜(nascently-flowable film)を形成することであった。堆積後の流動性により、この化学気相堆積技法を使用して、シーム又はボイドなしに膜が間隙を充填することが可能になる。このような化学気相堆積は、スピンオンガラス(SOG)又はスピンオン誘電体(SOD)処理よりもより優れた間隙充填特性を生み出すことが見出されてきた。CVDによって堆積される流動可能な膜の堆積は、ブレッドローフィングの問題がより少ないが、このような技術は、一部の種類の材料について依然として利用可能ではない。
[0004]流動可能なCVD技法は、他の間隙充填材料で高くて狭い(すなわち、高アスペクト比の)間隙を充填するにあたって重要なブレークスルーを示しているが、このような間隙を高品質誘電体材料でシームレスに充填することが可能な技術が依然として必要とされている。例えば、以前の流動可能な炭素ベースの間隙膜は、かなりの量の酸素及びケイ素を含んでいた。これらの元素は、炭素ベースの間隙膜の特性を著しく変化させる。
[0005]したがって、高品質な間隙膜を堆積させるための前駆体及び方法が必要とされている。
[0006]本開示の1つ以上の実施形態は、処理チャンバの処理空間の内部で基板を静電チャック上に位置付けすることを含む間隙充填材堆積方法を対象としている。基板は、少なくとも1つのフィーチャを含む基板表面を有する。少なくとも1つのフィーチャは、基板表面から底面まで一定の深さで延在する。少なくとも1つのフィーチャは、第1の側壁と第2の側壁によって画定された基板表面において開口幅を有する。処理空間が約0.5mTorrと約10Torrとの間の圧力で維持されている間、間隙充填前駆体が、静電チャックから上方に離間したガス供給アセンブリから処理空間内へと流入させられる。静電チャックに第1のRFバイアスを印加することによって、処理空間においてプラズマが生成されて、基板の少なくとも1つのフィーチャ内に間隙充填材が堆積される。間隙充填材は、実質的にボイドを含まない。
[0007]本開示の追加の実施形態は、処理チャンバの処理空間の内部で基板を第1の電極の上に位置付けすることを含む間隙充填材堆積方法を対象としている。基板は、少なくとも1つのフィーチャを含む基板表面を有する。少なくとも1つのフィーチャは、基板表面から底面まで一定の深さで延在する。少なくとも1つのフィーチャは、第1の側壁と第2の側壁によって画定された基板表面において開口幅を有する。処理チャンバは、第1の電極及び基板の上方に位置づけされた第2の電極をさらに備えている。第2の電極は、ケイ素含有材料又は炭素含有材料のうちの1つ以上を含む二次的電極エミッション材料を含む表面を有する。間隙充填材前駆体が、処理空間内へと流入させられる。第1のRF電力が、第1の電極及び第2の電極の少なくとも1つに印加される。間隙充填材が、基板の少なくとも1つのフィーチャ内に形成される。間隙充填材は、実質的にボイドを含まない。
[0008]本開示のさらなる実施形態は、基板のフィーチャ内のダイヤモンド状炭素間隙充填材を対象とする。当該間隙充填材は、約1.8g/cmから約2.5g/cmの範囲内の密度、約50%から約90%のsp混成炭素原子、及び100MPa未満の応力を有する。当該フィーチャは、基板の表面から底面まで一定の深さで延在する。当該フィーチャは、第1の側壁と第2の側壁によって画定された基板表面において開口幅を有する。当該フィーチャの深さと開口幅との比は、およそ10:1以上である、
[0009]本開示の上述のフィーチャを詳細に理解できるように、上記で簡単に要約された本開示のより詳細な説明を、実施形態を参照することによって得ることができる。実施形態の一部は、添付の図面に示されている。しかし、添付の図面は、本開示の典型的な実施形態のみを例示しており、したがって、本開示が他の等しく効果的な実施形態も許容し得ることから、添付の図面が本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の幾つかの実施形態を実施するために電子ビームプラズマ技法を使用することができる堆積装置の一実施例の概略図を示す。 本開示の幾つかの実施形態を実施するために電子ビームプラズマ技法を使用することができる堆積装置の別の実施例の概略図を示す。 本開示の幾つかの実施形態に係る、間隙充填材を形成するための方法のプロセスフロー図を示す。 本開示の幾つかの実施形態に係る、間隙充填材を形成するための方法のプロセスフロー図を示す。 本開示の幾つかの実施形態に係る、間隙充填材を形成するための方法のプロセスフロー図を示す。 本開示の1つ以上の実施形態に係る、処理の前後の基板の断面を示す。 本開示の幾つかの実施形態の実施に使用可能な堆積システムの概略断面図を示す。 本開示の幾つかの実施形態の実施に使用可能な別の堆積システムの概略断面図を示す。 本開示の幾つかの実施形態を使用可能な図6A又は図6Bの装置で使用され得る静電チャックの概略断面図を示す。 本開示の1つ以上の実施形態に係る、基板のフィーチャ内に高品質間隙充填材を形成するための方法のフロー図を示す。
[0019]理解を容易にするために、可能な場合には、図に共通する同一要素を指し示すのに同一の参照番号を使用した。さらなる記載がなくても、ある実施形態の要素及び特徴を他の実施形態に有利に組み込み可能であることが意図されている。
[0020]本明細書及び添付の特許請求の範囲で使用される「基板」及び「ウェハ」という用語は、交換可能に使用されており、両方とも処理が作用する表面又は表面の一部を表している。これも当業者には当然のことであるが、基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部のみを指す場合がある。さらに、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1つ以上の膜又はフィーチャが堆積又は形成された基板との両方を意味し得る。
[0021]本明細書で使用される「基板」とは、製造プロセス中に膜処理が実行される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実施され得る基板表面には、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電性材料といった、他の任意の材料が含まれる。基板は、半導体ウェハを含むが、それに限定されない。基板には前処理プロセスが施され、基板表面が、研磨、エッチング、還元、酸化、ヒドロキシル化(又はさもなければ化学官能性を付与するためにターゲット化学部分(chemical moieties)を生成又はグラフトする)、アニール、且つ/又はベークされ得る。基板自体の表面で直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップは、以下でより詳細に開示されるように、基板に形成された下層においても実施することができる。そして、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面に堆積された場合、新たに堆積された膜/層の曝露面が基板表面となる。所与の基板表面が何を含むかは、どのような膜が堆積されるか、及び使用される特定の化学的性質に左右される。
[0022]本明細書及び添付の特許請求の範囲で使用される「反応性ガス」、「前駆体」、「反応物」等の用語は、交互に使用されており、基板表面と反応する種を含むガスを意味する。例えば、第1の「反応性ガス」は、単に基板の表面に吸着され、第2の反応性ガスとのさらなる化学反応のために利用可能であり得る。
[0023]本明細書で使用される「約(about)」という語は、「おおよそ」又は「ほぼ」という意味であり、記載された数値又は範囲に関連して、数値の±15%以下の変動を意味する。例えば、±14%、±10%、±5%、±2%、又は±1%だけ異なる値は、「約」の定義に当てはまる。
[0024]以下の開示は、基板のフィーチャ内への高品質間隙充填材の堆積のための技法を説明する。本開示の様々な実装形態の完全な理解をもたらすために、特定の詳細が以下の説明及び図1から図8で提示されている。プラズマ処理及び間隙充填材堆積に関連付けられることが多い周知の構造体及びシステムを説明する他の詳細は、様々な実施形態の説明を不必要に不明瞭にすることを避けるため、以下の開示では提示されない。
[0025]図面に示す詳細例、寸法、角度、及びその他の特徴の多くは、特定の実装形態の例示に過ぎない。したがって、他の実装形態は、本開示の精神又は範囲から逸脱することなく、他の詳細例、構成要素、寸法、角度、及び特徴を有し得る。さらに、本開示のさらなる実施形態は、以下に記載された詳細例のうちの幾つかがなくても、実施可能である。
[0026]本明細書に記載された実装形態は、任意の適切な薄膜堆積システムを使用して実行可能なPECVDプロセスを参考にして、以下に説明される。適切なシステムの例には、DXZ(登録商標)処理チャンバ、PRECISION5000(登録商標)システム、PRODUCER(登録商標)システム、PRODUCER(登録商標)GT(商標)システム、PRODUCER(登録商標)XP Precision(商標)システム、PRODUCER(登録商標)SE(商標)システム、Sym3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバを使用可能なCENTURA(登録商標)システムが含まれており、これらはすべて、カリフォルニア州サンタクララに所在するApplied Materials Inc.から入手可能である。PECVDプロセスを実施可能な他のツールも、本明細書に記載された実施形態から利益を得るように適合され得る。さらに、本明細書に記載されたPECVDプロセスを可能にする任意のシステムを有利に使用することができる。本明細書に記載された装置の説明は、例示的なものであって、本開示の範囲を制限するものとして理解又は解釈するべきではない。
[0027]本明細書に記載の間隙充填材は、セラミック壁によって囲まれた多周波容量結合プラズマ(CCP)構成を有する処理チャンバ内にも堆積され得る。セラミック壁の外側には誘導結合コイルがあり、プラズマも誘導的に強化できる。処理チャンバは、1つ以上のRF発生器によって電力供給される上部電極を有する。上部電極は、ガス分配シャワーヘッドとしても機能し得る。膜の均一性を調整するために、原料ガスを横方向に処理チャンバ内に注入することもできる。処理チャンバは、ウェハが位置決めされた底部電極をさらに含む。底部電極もRF発生器によって電力供給され、駆動周波数は、選択された堆積スキームに依存する。
[0028]幾つかの実施形態では、間隙充填前駆体は、シャワーヘッド電極又は側壁注入のいずれかを通して処理チャンバ内に導入される。処理チャンバは、典型的には、上部電極(例えば、シャワーヘッド電極)、底部電極(例えば、ペデスタル)、及び処理チャンバの一部を囲むICPコイルを含む。プラズマ密度を増加させるために、間隙充填前駆体が不活性ガス(例えば、Ar又はHe)で希釈されてもよい。プラズマを発生させる前に、真空ポンプの上方のゲートバルブによって、安定した動作圧力(例えば、約0.1mTorrから数Torr)が処理チャンバ内で確立され得る。上部電極、底部電極、及びICEコイルのうちの少なくとも1つにRF電力が印加される。電力スキームに応じて、上部電極、底部電極、及びICPコイルに同時に電力供給することができ、又はこれら3つのうちの2つに同時に電力供給することもできる。印加されるRF周波数は、数百kHzから数十MHzの範囲である。さらに複数の周波数を上部電極又は底部電極に印加して、基板へ入射するイオン流量及びエネルギーを最適化することができる。所望の堆積時間の後、電源が切られて、堆積が終了する。
[0029]上部電極、底部電極、及びICPコイルのための電源設定は、以下の電源設定のいずれかであってもよい。幾つかの実施形態では、上部電極のみが電力供給される。上部電極は、同時に複数の周波数(例えば、約2MHzと約40MHz)によって駆動され得る。幾つかの実施形態では、上部電極及びICPが電力供給される。さらにk値を下げ、堆積速度を上げ、又は均一性を調整する手段として、ICPを使用することができる。幾つかの実施形態では、底部電極のみが電力供給される。底部電極は、高周波駆動(例えば、13MHzより高い周波数、例えば、60MHz)又はこの構成で駆動される高周波及び低周波のいずれかである。幾つかの実施形態では、底部電極及び上部電極が同時に電力供給される。幾つかの実施形態では、下方電極及びICPが同時に電力供給される。
[0030]幾つかの実施形態では、上部電極は、ケイ素又は炭素などの高二次的電極エミッション材料(high secondary electron emission material)から作られた電極表面を有する。上部電極にイオンが衝突すると、イオン衝突によって放出された二次電子がプラズマシースによって加速し、それによって高エネルギーが獲得される。これらのエネルギー性の二次電子は、電子ビームのように下方に放出され、炭化水素分子のイオン化及び解離において非常に効率良い。衝突の確率を増加させるために、上部電極と底部電極との間の間隙を増大させてもよい。
[0031]現在の間隙充填材用途では、主に流動性膜が利用される。この流動性膜は、本質的に非晶質であるが、その特性は類似のバルク膜とは異なる。多くの場合、バルク膜の特性は、流動性間隙材の特性よりも好ましい。したがって、間隙充填材、具体的には、バルク材料に類似する特性を有する炭素(ダイヤモンド状)膜及び他の誘電材料(例えば、Si、SiN、SiO)が必要とされている。ダイヤモンド状炭素材料の場合、間隙充填材に求められるバルク特性には、高密度及び高弾性率(例えば、より高いsp3含有量、より多くのダイヤモンド状性質)及び低い応力(例えば、<−500MPa)が含まれるが、これらに限定されない。
[0032]本明細書に記載された幾つかの実施形態では、高密度(例えば>1.8g/cc)、高弾性率(例えば、>150GPa)、及び低い応力(例えば、<−500MPa)を有する炭素間隙充填材を製造する方法が提供される。本明細書に記載された幾つかの実施形態に従って生成された炭素間隙材は、応力が低いだけでなく、さらにsp3炭素含有量が多い。
[0033]幾つかの実施形態では、本明細書に記載された間隙充填材料は、間隙充填前駆体を使用する化学気相堆積(プラズマ強化及び/又は熱)プロセスによって形成され得る。幾つかの実施形態では、間隙充填材前駆体は、炭化水素を含み、間隙充填材は、ダイヤモンド状炭素材料を含む。幾つかの実施形態では、炭化水素は、C、C、CH、C、1,3−ジメチルアダマンタン、ビシクロ[2.2.1]ヘプタ−2,5−ジエン(2,5−ノルボルナジエン)、アダマンタン(adamantine)(C1016)、ノルボルネン(C10)、及びこれらの組み合わせからなる群から選択される。幾つかの実施形態では、間隙充填前駆体は、ケイ素含有種を含み、間隙充填材は、誘電材料を含む。幾つかの実施形態では、誘電材料は、ケイ素、酸化ケイ素、又は窒化ケイ素のうちの1つ以上を含む。
[0034]間隙充填材堆積プロセスは、−50℃から600℃の範囲の温度で実施され得る。間隙充填材堆積プロセスは、0.1mTorrから10Torrの範囲の圧力で処理容積内で実施され得る。間隙充填前駆体は、He、Ar、Xe、N、Hのいずれか1つ、又はこれらのいずれかの組み合わせをさらに含み得る。
[0035]幾つかの実施形態では、膜品質を改善するために、間隙充填前駆体は、Cl、CF、NFなどのエッチャントガスをさらに含み得る。プラズマ(例えば容量結合プラズマ)が、上部電極及び底部電極、又は側部電極のいずれかから形成され得る。電極は、基板のフィーチャ内に間隙充填材を堆積させるために、本明細書に列挙された反応性ガスのいずれか又はすべてと共にCVDシステムにおいて代替的に又は同時に使用される、単一の電力供給電極、二重電力供給電極、又は複数の周波数(例えば、限定しないが、350kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、及び100MHz)を有するより多くの電極から形成されてもよい。
[0036]幾つかの実施形態では、水素ラジカルがRPSを通して供給されることにより、sp2混成炭素原子の選択的エッチングがもたらされ、結果的に間隙充填材のsp3混成炭素原子分率がさらに増加する。
[0037]図1は、本開示の幾つかの実施形態を実施するために電子ビームプラズマ技法を使用することができる処理装置100の一実施例の概略図を示す。電子ビームプラズマチャンバは、円筒形状の側壁102を含む処理チャンバ100を画定する真空チャンバ本体を有する。処理チャンバ100は、グリッドフィルタ104によって上方チャンバ100aと下方チャンバ100bとに分割される。下方チャンバ100bは、印加されたバイアス電圧が存在しない場合、実質的な電界がそこに存在しないため、ドリフト空間である。天井106が、上方チャンバ100aの上に被さり、電極108を支持する幾つかの実施形態では、電極108は、ケイ素、炭素、炭化ケイ素化合物、又は酸化ケイ素化合物などのプロセス適合性材料から形成される。幾つかの実施形態では、電極108は、金属酸化物(例えば、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウム)から形成される。天井106及び電極108は、円盤状であり得る。電極108の底面は、グリッドフィルタ104に対向し、上方チャンバ100aの内部に露出される。幾つかの実施形態では、絶縁体又は誘電体リング109は電極108を囲む。
[0038]特定の実施形態では、電極108は、間隙充填材堆積プロセス中に炭素源を設けることを支援し得る炭素含有材料によって形成される。したがって、電極108は、数々の間隙充填材堆積プロセスが実行された後に消費されてもよい。電極108から照射された材料の消費によって、電極108から離脱させられた材料は、高い膜密度を有する炭素間隙充填材層を形成することを助け得る。したがって、プロセスの信頼性及び再現性を確保するために、電極108の定期的な交換が行われ得る。
[0039]幾つかの実施形態では、電極108は、処理チャンバ100内に処理ガスを供給するシャワーヘッドアセンブリとして機能する。幾つかの実施形態では、電極108は、処理チャンバ100内に処理ガスを供給するための複数の開口108−1を有する。ガスは、バルブのアレイ(図示せず)を通して処理ガス供給部114のアレイから供給される。
[0040]下方チャンバ100b内のワークピース(例えば、基板111)を支持するためのワークピース支持ペデスタル110は、グリッドフィルタ104に対向するワークピース支持面110aを有し、リフトサーボ112によって軸方向に移動可能であり得る。幾つかの実施形態では、ワークピース支持ペデスタル110は、ワークピース支持面110aを形成する絶縁パック195、絶縁パック195内部のワークピース電極196、及びワークピース電極196に接続されたチャッキング電圧供給部199を含む。加えて、絶縁パック195の下層にあるベース層194は、循環供給部198から熱媒体(例えば、液体)を循環させるための内部通路107を有する。循環供給部198は、ヒートシンク又は熱源として機能し得る。
[0041]VHF周波数(例えば、160MHz)を有するRF源電力発生器120、及びVHF範囲未満又はHF範囲未満(例えば、2MHzなどのMF又はLF範囲内)の周波数を有する低周波数RF源電力発生器122が、RF給電導体123を介してインピーダンス整合器124を通して電極108に連結される。RF源電力発生器120、122は、通常、約0.4kHzから約300MHzの周波数、及び約0ワットから約10,000ワットの電力を有するRF信号を発生させることが可能である。幾つかの実施形態では、インピーダンス整合器124は、RF源電力発生器120及び122のそれぞれ異なる周波数でのインピーダンス整合をもたらすとともに、電力発生器を互いに絶縁するようにフィルタリングを行うように適合されている。RF源電力発生器120、122の出力電力レベルは、コントローラ126によって独立して制御される。以下に詳細に説明するように、RF源電力発生器120、122からの電力は、電極108に結合する。幾つかの実施形態では、天井106は、導電性で、電極108と電気的に接触しており、インピーダンス整合器124からの電力は、天井106を通って電極108に伝導される。
[0042]幾つかの実施形態では、側壁102は金属で形成され、接地される。幾つかの実施形態では、上方チャンバ100aの内部の接地された内部表面の表面領域は、電極108の表面領域の少なくとも2倍である。幾つかの実施形態では、処理チャンバ100の内部の接地された内部表面は、プロセス適合性材料(例えば、ケイ素、炭素、炭化ケイ素化合物、又は酸化ケイ素化合物)でコーティングされ得る。代替的な実施形態では、処理チャンバ100の内部の接地された内部表面は、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウムなどの材料でコーティングされてもよい。
[0043]幾つかの実施形態では、RF源電力発生器120は、別々に制御される2つのVHF電力発生器120a及び120bと交換されてもよい。VHF電力発生器120aは、VHF帯のより低い範囲(例えば、30MHzから150MHz)の出力周波数を有するが、VHF電力発生器120bは、VHF帯のより高い範囲(例えば、150MHzから300MHz)の出力周波数を有する。コントローラ126は、VHF電力発生器120a及び120bの出力電力レベル間の比を選択することによって、プラズマイオン密度を制御することができる。2つのVHF電力発生器120a及び120bを用いて、上方チャンバ100aの間隙(電極108とグリッドフィルタ104との間の距離)を選択することによって、上方チャンバ100a内の半径方向のプラズマ均一性を制御することができ、それにより、より低いVHF周波数が、それ自体によって、上方チャンバ100a内にプラズマイオン密度の周縁が高い半径方向分布をもたらし、より高いVHF周波数が、それ自体によって、プラズマイオン密度の中心が高い半径方向分布をもたらす。このような選択により、2つのVHF電力発生器120a、120bの出力レベルは、次いで、プラズマイオン密度の半径方向分布の均一性を最適化する比に設定される。
[0044]幾つかの実施形態では、天井106は、電極108の支持体であり、電極108に対向するチャッキング電極152を含む絶縁層150を含む。電極108を天井106に静電的に固定するために、DCチャッキング電圧供給部154が、給電導体155を介してチャッキング電極152に連結される。DC遮断コンデンサ156が、インピーダンス整合器124の出力と直列に接続され得る。コントローラ126は、DCチャッキング電圧供給部154を制御することができる。幾つかの実施形態では、インピーダンス整合器124からのRF給電導体123は、電極108に直接接続されるよりは、電極支持体又は天井106に接続されてもよい。このような実施形態では、RF給電導体123からのRF電力は、電極支持体から電極108へと容量結合され得る。幾つかの実施形態では、上方ガスインジェクタ130が、第1のバルブ132を介して上方チャンバ100a内に処理ガスを供給する。幾つかの実施形態では、下方ガスインジェクタ134が、第2のバルブ136を介して下方チャンバ100a内に処理ガスを供給する。例えば、第1のバルブ132及び第2のバルブ136を含み得るバルブ140のアレイを通して、処理ガス供給部138のアレイからガスが供給される。幾つかの実施形態では、上部チャンバ100a及び下部チャンバ100bへのガス種及びガス流量は、個々に制御可能である。コントローラ126は、バルブのアレイ140を制御することができる。幾つかの実施形態では、上方チャンバ100a内に不活性ガスが供給され、下方チャンバ100b内に処理ガスが供給される。不活性ガスの流量を選択することにより、下方チャンバ100bから上方チャンバ100a内へのガスの対流又は拡散を実質的に防止して、上方チャンバ100aの実質的な化学的隔離を設けることができる。
[0045]幾つかの実施形態では、上部電子放出電極108の内部表面へのエネルギー性イオン衝突を含む、様々なバルク処理及び表面処理によって、プラズマが上方チャンバ100a内で生成され得る。電極108のイオン衝突エネルギー及びプラズマ密度は、RF源電力発生器120及び122の両方の関数である。電極108のイオン衝突エネルギーは、RF源電力発生器122からの低周波電力によって実質的に制御され得る。また、上方チャンバ100a内のプラズマ密度は、RF源電力発生器120からのVHF電力によって実質的に制御(強化)され得る。エネルギー性の二次電子は、電極108の内部表面から放出され得る。放出面からのエネルギー性電子の束は、電子ビームを含み、電極108の内部表面に対して実質的に垂直な方向を有し、且つ電極108のイオン衝突エネルギーに近いビームエネルギーを有し得る。これは、通常、約10eVから5000eVの範囲(例えば、少なくとも100eV超)であり得る。種々の処理の衝突断面域は、電子エネルギーに左右される。低エネルギーでは、励起(及び分子ガス中の解離)のための断面は、イオン化のための断面よりも大きいが、高エネルギーでは、その逆が真である。1つ以上のRF電力レベルは、様々な非弾性の電子衝突プロセスを目標とするように有利に選択され得る。
[0046]幾つかの実施形態では、側壁102の側窓170が、上方チャンバ100aに面し、RF電力が誘導結合され得る材料(例えば、石英又は酸化アルミニウム)で形成されている。誘導コイルアンテナ172が、側窓170を囲み、インピーダンス整合器176を介して任意選択的なRF源電力発生器174によって駆動される。誘導コイルアンテナ172に印加されるRF源電力発生器174は、約200ワット(W)から約10キロワットの間で制御される。誘導コイルアンテナ172に印加される誘導結合電力の周波数は、2MHzから約13MHzであり得る。遠隔プラズマ源197は、プラズマ種を下方チャンバ100b内に導入し得る。RF源電力発生器174及び誘導コイルアンテナ172を有する実施形態では、上方チャンバ100a内のプラズマ密度は、RF源電力発生器174からのRF電力によって実質的に制御(強化)され得る。一実施例では、RF源電力発生器174及び誘導コイルアンテナ172は、電極108から材料をスパッタ除去(sputter off)するための衝突力を付与することを補助し、ひいては、ワークピース支持ペデスタル110上に配置された基板111の表面に材料を置くことを補助する。
[0047]幾つかの実施形態では、グリッドフィルタ104は、平坦なディスク形状であり、側壁102と同軸であり得る。
グリッドフィルタ104は、複数の開口104−1のアレイで形成される。幾つかの実施形態では、グリッドフィルタ104の軸方向厚さT及び複数の開口104−1の直径「d」は、非ビーム(低エネルギー)電子及びプラズマイオンがグリッドフィルタ104を通る流れを妨害しながら、エネルギー性指向ビーム電子がグリッドフィルタ104を通る流れを促進するように選択される。ここで、グリッドフィルタ孔領域と総グリッドフィルタ領域との比が最大となり得る。エネルギー性電子束(電子ビーム)は、グリッドフィルタ104を通過して下方チャンバ100bに到達し、下方チャンバ100b内の様々な電子衝突プロセスによってプラズマを生成し得る。
[0048]下方チャンバ100b内の電子ビームによって生成されたプラズマは、上方チャンバ100a内のプラズマとは異なる特性を有し得る。グリッドフィルタ104は、上方チャンバ100aと下方チャンバ100bとを互いに実質的に電気絶縁するフィルタとして機能し得る。幾つかの実施形態では、グリッドフィルタ104は、導電性又は半導電性の材料から形成されており、接地に接続されるか、又は電気的に浮遊し得る。幾つかの実施形態では、グリッドフィルタ104は、非導電性材料で形成される。幾つかの実施形態では、グリッドフィルタ104は、ケイ素、炭素、炭化ケイ素化合物、又は酸化ケイ素化合物などのプロセス適合性材料でコーティングされてもよい。幾つかの実施形態では、グリッドフィルタ104は、酸化アルミニウム、酸化イットリウム、又は酸化ジルコニウムなどの材料でコーティングされてもよい。幾つかの実施形態では、上方チャンバ100a内で生成されるプラズマは、高い電子密度及び/又は高い電子温度を有し、電極108に衝突する高エネルギーイオンを有し得る。
[0049]電極表面のエネルギー性イオン衝突によって電極108から放出される二次電子束からなる電子ビームの少なくとも一部は、グリッドフィルタ104を通って下方チャンバ100b内に伝搬し、下方チャンバ100b内に低電子温度プラズマを生成し、そのプラズマ密度は、ビームエネルギー及びビーム束、並びに他の要因(例えば、圧力とガス組成)に依存する。エネルギー性ビーム電子は、下方チャンバ100bのプラズマ領域を離れると、基板111又はワークピース支持ペデスタル110に衝突し得る。後に残されたプラズマは、電子ビーム束によって生じた任意の結果的な表面電荷を容易に放電し得る。
[0050]より高い電子ビーム束又はより高い電子ビーム密度が必要とされる幾つかの実施形態では、図2に示すように、グリッドフィルタ104を除去又は排除し、電極108から放出された二次電子ビーム束がより速い速度で基板111又はワークピース支持ペデスタル110に到達することを助けることができる。代替的に、図2に示されるように、任意のプロセス上の懸念及び要件のゆえに、グリッドフィルタ104が処理チャンバ100内で除去又は排除されてもよい。
[0051]幾つかの実施形態では、電気陰性ガス又は電子付着性ガス(たとえば、塩素)が、チャンバ内に供給され、RF及び/又はVHF電力が、電極108に印加され、RF電力が、任意選択的に誘導コイルアンテナ172に印加され、遠隔プラズマ源(RPS)電力が、任意選択的に遠隔プラズマ源(RPS)197に印加され、プラズマが、上方チャンバ100a内で生成され、加速電圧が、接地及びプラズマに対して電極108上で発生する。結果として生じる電極108のエネルギー性イオン衝突は、電極表面からの二次電子放出を発生させる。これは、電極表面からの電子ビーム束を構成する。グリッドフィルタ104は、電子ビームの少なくとも一部がグリッドフィルタ104を通って下方チャンバ100b内に伝搬することを可能にし、それと同時に、非ビーム電子及びプラズマイオンの少なくとも一部がグリッドフィルタ104を通過することを防止する。それにより、下方チャンバ100b内に低電子温度プラズマが生成される。下部チャンバ100b内の塩素のような電気陰性ガス中の、結果として生じる低電子温度プラズマは、高電気陰性プラズマを生成し得る。この高電気陰性プラズマは、電子密度よりもはるかに高い負イオン密度を有し、正イオンの密度に近い。このようなプラズマは、イオン性プラズマ(ion-ion plasma)と呼ばれることが多い。
[0052]電子ビームの誘導を助けるために、電子ビームに対して実質的に平行な、実質的に軸方向に向けられた磁界を任意選択的に使用してもよい。これにより、上方チャンバ100a、グリッドフィルタ104、及び/又は下方チャンバ100bを通るビーム搬送が改善される。低周波数バイアス電圧又は低繰返し周波数の任意の波形をワークピース支持ペデスタル110(例えば、ワークピース電極196)に印加して、当該プラズマから正イオン及び/又は負イオンを選択的に又は交互に抽出し、エッチング、洗浄、堆積、又は他の材料改質のために、所望のエネルギーレベルでこれらのイオンを加速させて、基板111の表面に衝突させてもよい。(a)上方チャンバ100aにおいて、(b)下方チャンバ100b内の電子ビームによって、(c)ワークピース支持ペデスタル110へのバイアス電圧の印加によって、又は(d)遠隔プラズマ源(RPS)197によって生成されたラジカルは、基板111へと対流又は拡散し、ワークピース表面上の反応に関与し得る。
[0053]幾つかの実施形態では、比較的不活性なガス(例えば、ヘリウム又はアルゴン)が、上方チャンバ100a内に供給され、電気陰性ガス又は電子付着性ガス(例えば、六フッ化硫黄又はフッ化炭素)が、下方チャンバ100b内に流入し、RF電力及び/又はVHF電力が、電極108に印加され、RF電力が、任意選択的に誘導コイルアンテナ172に印加され、RPS電力が、任意選択的に遠隔プラズマ源197に印加され、プラズマが、上方チャンバ100a内で生成され、加速電圧が、接地及びプラズマに対して電極108上で発生する。結果として生じる電極108のエネルギー性イオン衝突は、電極表面からの二次電子放出を発生させる。これは、電極表面からの電子ビーム束を構成する。グリッドフィルタ104は、電子ビームの少なくとも一部がグリッドフィルタ104を通って下方チャンバ100b内に伝搬することを可能にし、それと同時に、非ビーム電子及びプラズマイオンの少なくとも一部がグリッドフィルタ104を通過することを防止する。それにより、下方チャンバ100b内に低電子温度プラズマが生成される。
[0054]下方プラズマチャンバ内の電気陰性ガス中の、結果として生じる低電子温度プラズマは、高電気陰性プラズマを生成し得る。この高電気陰性プラズマは、電子密度よりもはるかに高い負イオン密度を有し、一般にイオン性プラズマと呼ばれる正イオンの密度に近い。
[0055]幾つかの実施形態では、グリッドフィルタ104は、内部ガス通路105a及びガス噴出口105bを有するガス分配プレートである。内部ガス通路105aは、バルブ140のアレイに連結され得る。
[0056]幾つかの実施形態では、RFバイアス電力発生器142は、インピーダンス整合器144を介して、ワークピース支持ペデスタル110のワークピース電極196に連結される。さらなる実施形態では、波形調整プロセッサ147が、インピーダンス整合器144の出力とワークピース電極196との間で接続され得る。波形調整プロセッサ147は、RFバイアス電力発生器142によって生成された波形を所望の波形に変化させる。基板111の近くのプラズマのイオンエネルギーは、波形調整プロセッサ147によって制御される。幾つかの実施形態では、波形調整プロセッサ147は、振幅が各RFサイクルの特定の部分の間にわたって所望のイオンエネルギーレベルに対応するレベルに保持される波形を生成する。コントローラ126は、波形調整プロセッサ147を制御することができる。
[0057]幾つかの実施形態では、VHF又はHF周波数(例えば、11MHzから60MHz)を有するRF電力発生器146、及びVHF範囲未満又はHF範囲未満(例えば、0.4kHzから10MHzなどのMF又はLF範囲内)の周波数を有するRF電力発生器148が、インピーダンス整合器144を介してワークピース電極196に連結される。RF電力発生器146、148は、通常、約0.4kHzから約300MHzの周波数、及び約0ワットから約10,000ワットの電力を有するRF信号を発生させることが可能である。幾つかの実施形態では、RF電力発生器146、148は、RFバイアス電力発生器である。幾つかの実施形態では、RF電力発生器146、148は、RF源電力発生器である。幾つかの実施形態では、インピーダンス整合器124は、RF電力発生器146及び148のそれぞれ異なる周波数でのインピーダンス整合をもたらすとともに、電力発生器を互いに絶縁するようにフィルタリングを行うように適合されている。RF電力発生器146、148の出力電力レベルは、コントローラ126によって独立して制御される。以下に説明するように、RF電力発生器146、148からの電力は、ワークピース電極196に結合する。前述のように、VHF発生器を使用してもよい。
[0058]幾つかの実施形態では、磁石160が処理チャンバ100を囲んでいる。幾つかの実施形態では、磁石は、上方チャンバ100a及び下方チャンバ100bにそれぞれ隣接する一対の磁石160−1、160−2を含む。幾つかの実施形態では、一対の磁石160−1、160−2は、上方チャンバ100aから下方チャンバ100bへと伝搬する電子ビームを閉じ込めるのに適した軸方向磁界をもたらす。
[0059]幾つかの実施形態では、基板111へのエネルギー性電子の流れは、グリッドフィルタ104と基板111との間の領域において、主に半径方向成分(すなわち、電子ビームの流れ方向に対して直交する方向)を有する磁界によって遮断される。この磁界は、磁石160−1若しくは160−2のうちの1つによって、又は別の磁石若しくは磁石のセットによって生成され得る。
[0060]幾つかの実施形態では、天井106は、その内側で熱伝導性の液体又は媒体を誘導するための内部通路178を含む。内部通路178は、熱媒体循環供給部180に接続されている。熱媒体循環供給部180は、ヒートシンク又は熱源として作用する。電極108と天井106との間の機械的接触は、電極108と天井106との間の高い熱コンダクタンスを維持するのに十分である。図1に示される実施形態では、機械的接触の力は、DCチャッキング電圧供給部154によってもたらされる静電クランプ力によって調整される。
[0061]図3は、本開示の1つ以上の実施形態に係る、基板フィーチャ内に間隙充填材を形成するための方法300フロー図を示す。図4A及び図4Bは、方法300に係る、基板フィーチャ内に間隙充填を形成するためのシーケンスを示す、基板の断面図である。
[0062]方法300は、動作310で開始する。動作310では、処理チャンバ(例えば、図1又は図2に示す処理チャンバ100)内に基板111が設けられる。適切な基板については、本開示の他の箇所で説明している。
[0063]動作320では、基板フィーチャ内に間隙充填を形成する準備として、間隙充填前駆体が、処理チャンバ100内に供給される。間隙充填前駆体は、処理ガス供給部138から、バルブ140のアレイを介して、ガスインジェクタ130、134へと供給され、それぞれ処理チャンバ100内に流入し得る。
[0064]幾つかの実施形態では、間隙充填前駆体は、炭化水素を含む。適切な炭化水素については、本開示の他の箇所で詳細に説明されている。追加の適切な炭化水素化合物には、脂肪族炭化水素及び芳香族炭化水素が挙げられる。
[0065]脂環式炭化水素には、例えば、シクロプロパン、シクロブタン、シクロペンタン、シクロペンタジエン、トルエンなどが含まれる。芳香族炭化水素には、例えば、ベンゼン、スチレン、トルエン、キシレン、ピリジン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フラン等が挙げられる。さらに、α−テルピネン、シメン、1,1,3,3−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチルメタクリレート、およびtブチルフルフリルエーテルが適切であり得る。
[0066]幾つかの実施形態では、炭化水素は、プロペン、アセチレン、エチレン、プロピレン、ブチレン、トルエン、α−テルピネンから選択される。幾つかの実施形態では、炭化水素は、C、C、CH、C、1,3−ジメチルアダマンタン、ビシクロ[2.2.1]ヘプタ−2,5−ジエン(2,5−ノルボルナジエン)、アダマンタン(adamantine)(C1016)、ノルボルネン(C10)、及びこれらの組み合わせから選択される。
[0067]幾つかの実施形態では、複数の炭化水素化合物を混合して、間隙充填前駆体として処理チャンバに供給することができる。2つ以上の炭化水素化合物の混合物を使用して、間隙充填材を堆積させることができる。
[0068]幾つかの実施形態では、炭化水素は、メタン(CH)を含むか、又は実質的にメタン(CH)からなる。幾つかの実施形態では、間隙充填前駆体は、メタン、及びプロペン、アセチレン、又はエチレンのうちの少なくとも1つを含む。
[0069]幾つかの実施形態では、メタンなどの間隙充填前駆体が、約50sccmから約1000sccmの速度で混合ガスに供給され得る。不活性ガス(例えば、Arガス又はHeガス)が、約10sccmから約1000sccmの速度で混合ガスに供給され得る。水素ガスが、約100sccmから約500sccmの速度で混合ガスに供給され得る。酸素ガスが、約0sccmから約200sccmの速度で混合ガスに供給され得る。窒素ガスが、約0sccmから約400sccmの速度で混合ガスに供給され得る。さらに、追加の炭化水素が、約100sccmから約2000sccmの間の流量で混合ガス中に供給され得る。
[0070]幾つかの実施形態では、調整可能な流量比及び種を制御して、種々の位置(例えば、上方ガスインジェクタ130又は下方ガスインジェクタ134、及び電極)から処理チャンバ100へと供給し、グリッドフィルタ104の下方又は上方のラジカル解離及びプラズマ密度を最大化することができる。例えば、ビーム電子束を増加させるために上方チャンバ100a内でより高いプラズマ密度が望まれる場合、上方ガスインジェクタ130を通してAr又はHeなどの不活性ガスを供給してもよい。これにより、電極衝突を促進させ、電極108付近の分子ガス密度を減少させ、局所プラズマ密度及びビーム電子束を増加させることができる。対照的に、水素分子の解離が所望される場合(例えば、結果として得られる膜の純度を増加させる場合)、水素含有ガスが、上方ガスインジェクタ130を通して供給され、局所的なプラズマ密度を低下させながらも、水素ラジカル形成を促進して、処理チャンバ及び結果として得られた間隙充填材の中の不純物を追い出す。
[0071]任意選択的に、動作330では、処理チャンバ100内の圧力が、所定のRFオン遅延期間(RF-on delay time period)の間に安定化される。所定のRFオン遅延期間とは、処理チャンバ100内への間隙充填前駆体の導入と、動作340中のプラズマの衝突又は生成との間の期間として定義される固定期間である。所望の条件を達成するために、任意の適切な固定時間遅延を使用してもよい。RFオン遅延期間の長さは、通常、間隙充填前駆体が処理チャンバ100内で熱分解し始めないか、又は実質的に熱分解し始めないように選択される。動作330のプロセスは、動作320及び動作340のプロセスと、同時に、連続的に、又は部分的に重複して実行され得る。
[0072]このような実施形態では、間隙充填前駆体は、約5秒から約30秒の間(例えば、約15秒)などのより長い時間にわたって処理チャンバ100内に導入され得る。これは、基板のサイズに応じて変動し得る。プラズマに衝突する前の間隙充填前駆体の流れは、処理チャンバ100の連続的な熱と圧力の安定化をもたらすと考えられている。幾つかの実施形態では、動作340においてRFプラズマを衝突させる前に、間隙充填前駆体が、次いで、約0.5秒から約5秒(例えば、約1秒から約2秒)にわたって、処理チャンバ100に流れ込む(流れ時間は、間隙充填前駆体が処理チャンバ100の内部空間に到達し始めるのにちょうどよい長さである限り、変動し得る)。
[0073]動作340では、間隙充填前駆体が処理チャンバ内に供給された後、RF電力が、上方電極(例えば、電極108)、下方電極(例えば、ワークピース電極196)、及び/又は誘導コイルアンテナ(例えば、誘導コイルアンテナ172)のうちの少なくとも1つに供給される。動作340では、混合ガスが処理チャンバ内に供給された後、第1のRF源電力が、RF源電力発生器120、122から生成され、電極108に印加され、それにより、プラズマ及び二次電子ビームが生成され得る。電極108からの二次電子ビームは、冷プラズマを発生させることができる。この冷プラズマは、例えば、基板の表面に間隙充填材を形成するように表面を照射する摂氏100度未満の温度にある。さらに、RF源電力発生器174を通して、第2の任意選択的なRF電力を誘導コイルアンテナ172に印加して、誘導結合電力を加えることもできる。生成された誘導結合電力は、基板へのラジカル束を増加させ、上方チャンバ100a内の電子に入射するイオン束(又はビーム束)を増加させ、高密度のビーム電子を生成し得る。誘導結合電力は、電極108へのシース電圧も低下させ、ひいては、ビームエネルギーを低減させる。さらに、第3の任意選択的なRF電力をワークピース電極196に印加して、基板に入射するイオン束及びエネルギーを最適化することができる。第3のRF電力は、RF電力発生器146、148及び/又は追加のRFバイアス電力発生器142によって生成され得る。
[0074]誘導コイルアンテナ172に印加されるべき、RF源電力発生器174から生成される第2の源電力(例えば、誘導結合電力)は、通常、約1キロワットから約10キロワットの間で制御される。誘導コイルアンテナ172に印加される誘導結合電力の周波数は、2MHzから約13MHzであり得る。幾つかの実施形態では、誘導結合電力は、必要に応じて、排除されたり、任意に印加されたりしてもよい。例えば、間隙充填材を形成するために20mTorrから約20Torrの間の処理圧力がさらに利用され得る。堆積プロセス中に利用される二次電子ビームと共に、電子ビームプラズマが、より高いイオン衝撃をもたらし得ると考えられている。このより高いイオン衝撃により、混合ガスからのイオン及び電子ビームのエネルギーの解離が強化され、強固な膜構造を有する間隙充填が形成され得る。
[0075]幾つかの実施形態では、動作340の間、上方電極(例えば、電極108)のみが電力供給される。例えば、RF源電電力発生器120、120a、120b、122のうちの少なくとも1つから発生した第1のRF源電力が、電極108に印加され、1キロワットから約10キロワットの間の範囲で制御される。RF源電力発生器120、120a、120b、122の周波数は、0.4MHzから約300MHzの間であり得ることに留意されたい。本実施例では、電力は、上方電極にのみ印加される(例えば、電力は、下方電極(例えば、ワークピース電極196)又は誘導コイルアンテナ(例えば、誘導コイルアンテナ172)のいずれにも印加されない)。幾つかの実施形態では、上方電極に印加される周波数は、0.4MHzと約300MHzとの間である。幾つかの実施形態では、高周波数(例えば、約11MHZと60MHzとの間)が上方電極に印加される。幾つかの実施形態では、低周波数(例えば、約0.4kHzと10MHzとの間)が上方電極に印加される。幾つかの実施形態では、複数の周波数(例えば、低周波数RF源電力発生器122から2MHz、及びVHF電力発生器120aから40MHz)が、上方電極に印加される。
[0076]幾つかの実施形態では、動作340中、上方電極(例えば、電極108)及び誘導コイルアンテナ(例えば、誘導コイルアンテナ172)の両方に電力が供給される。例えば、RF源電力発生器120、120a、120b、122のうちの少なくとも1つから生成された第1のRF源電力が、上方電極に印加されて、1キロワットと約10キロワットとの間の範囲で制御され、第2のRF源電力が、誘導コイルアンテナに印加され、約1キロワットと約10キロワットとの間で制御される。本実施例では、電力が上方電極と誘導コイルアンテナの両方に印加される(例えば、下方電極(例えば、ワークピース電極196)には電力が印加されない)。幾つかの実施形態では、上方電極に印加される周波数は、約0.4MHzと約300MHzとの間であり、誘導コイルアンテナに印加される周波数は、約2MHzと約13MHzとの間である。幾つかの実施形態では、高周波数(例えば、約11MHZと60MHzとの間)が、上方電極に印加され、誘導コイルアンテナに印加される周波数は、約2MHzと約13MHzとの間である。幾つかの実施形態では、低周波数(例えば、約0.4kHzと10MHzとの間)が、上方電極に印加され、誘導コイルアンテナに印加される周波数は、約2MHzと約13MHzとの間である。幾つかの実施形態では、複数の周波数(例えば、低周波数RF源電力発生器122から2MHz、及びVHF電力発生器120aから40MHz)が上方電極に印加され、誘導コイルアンテナに印加される周波数は、約2MHzと約13MHzとの間である。理論に縛られるわけではないが、堆積速度を向上させ、堆積された間隙充填材の均一性を調整するために、ICPを使用することができると考えられる。
[0077]幾つかの実施形態では、動作340中、下方電極(例えば、ワークピース電極196)のみに電力が供給される。下方電極に電力供給される実施形態では、駆動周波数は、高周波成分を含み得る。例えば、RF電力発生器146、148及び/又は追加的なRFバイアス電力発生器142のうちの少なくとも1つから発生した第1のRF電力(バイアス又は源)が、下方電極に印加され、1キロワットと約10キロワットとの間の範囲で制御される。RF電力発生器146、148、及びRFバイアス電力発生器142の周波数は、0.4MHzと約300MHzとの間であり得ることに留意されたい。本実施例では、電力は、下方電極にのみ印加される(例えば、電力は、上方電極(例えば、電極108)又は誘導コイルアンテナ(例えば、誘導コイルアンテナ172)のいずれにも印加されない)。幾つかの実施形態では、下方電極に印加される周波数は、0.4MHzと約300MHzとの間である。幾つかの実施形態では、高周波数(例えば、約11MHZと60MHzとの間)が下方電極に印加される。幾つかの実施形態では、複数の周波数(例えば、RF電力発生器148から2MHz、及びRF電力発生器146から40MHz)が、下方電極に印加される。
[0078]幾つかの実施形態では、前述の条件のいずれかを使用して、動作340中、上方電極(例えば、電極108)及び下方電極(例えば、ワークピース電極196)の両方に同時に電力が供給される。例えば、RF源電力発生器120、120a、120b、122のうちの少なくとも1つから生成されたRF源電力が、上方電極に印加されて、1キロワットと約10キロワットとの間の範囲で制御され、第2のRF電力(バイアス又は源)が、ワークピース電極196に印加され、約1キロワットと約10キロワットとの間で制御される。本実施例では、電力は、電極108とワークピース電極196の両方に印加される(例えば、誘導コイルアンテナ(例えば、誘導コイルアンテナ172)には電力が印加されない)。幾つかの実施形態では、電極108に印加される周波数は、約0.4MHzと約300MHzとの間であり、ワークピース電極196に印加される周波数は、約0.4MHzと約300MHzとの間である。幾つかの実施形態では、複数の周波数(例えば、低周波RF電力発生器から2MHz、及びVHF電力発生器から40MHz)が、電極108及びワークピース電極196に印加される。
[0079]幾つかの実施形態では、動作340中、誘導コイルアンテナ(例えば、誘導コイルアンテナ172)及び下方電極(例えば、ワークピース電極196)の両方に電力が供給される。本実施例では、電力は、誘導コイルアンテナ172及びワークピース電極196の両方に印加される(例えば、上方電極(例えば、電極108)には電力が印加されない)。幾つかの実施形態では、誘導コイルアンテナ172に印加される周波数は、約2MHzと約13MHzとの間であり、1キロワットと約10キロワットとの範囲で制御され、ワークピース電極196に印加される周波数は、約0.4MHzと約300MHzとの間であり、約1キロワットと約10キロワットとの間で制御される。
[0080]幾つかの実施形態では、複数の周波数(例えば、低周波数RF電力発生器から2MHz、及びVHF電力発生器から40MHz)が、誘導コイルアンテナ172及びワークピース電極196のうちの少なくとも1つに印加される。
[0081]幾つかの実施形態では、動作340中、上方電極(例えば、電極108)、下方電極(例えば、ワークピース電極196)、及び誘導コイルアンテナ(例えば、誘導コイルアンテナ172)に電力が供給される。
[0082]動作340中、基板温度は、室温(例えば、20℃)と約1000℃との間で制御され得る。動作340中、基板とシャワーヘッドとの間の間隔は、約1000ミルから約15000ミルに制御され得る。動作340中、処理圧力は、例えば、0.1mTorrと約20Torrとの間に維持され得る。
[0083]動作350では、動作340で調節されたプロセスパラメータによって堆積プロセスが実行された後、次いで、基板フィーチャ内に間隙充填材が形成される。幾つかの実施形態では、ここで形成される間隙充填材は、約1.5g/ccから約2.0g/ccの密度を有するように構成される。幾つかの実施形態では、間隙充填材は、100MPa以下の応力を有する。
[0084]本開示の実施形態は、プラズマ誘起CVDと物理的気相堆積(PVD)との組み合わせを使用して、間隙充填材を堆積させるための方法を含む。堆積された間隙充填材の密度、硬度、及び応力は、CVD成分及びPVD成分の相対重量によって調節することができる。
[0085]図1及び図2を参照すると、上部電極(例えば、電極108)は、1つ以上のRF発生器によって駆動され、任意選択でガス分配シャワーヘッドとして機能する。上部電極の表面は、炭素部分を含み、プラズマシースがイオンを加速するにつれて、PVD成分が働く間、炭素原子が、スパッタリングされ、ウェハ表面に堆積される。底部電極(例えば、ワークピース電極196)もRF発生器によって電力供給される。原料ガスが炭化水素ガスを含有する場合、上部電極及び/又は底部電極に印加される任意のRF電力は、炭化水素ガスを解離させ、ひいてはCVD成分を生成する。CVD成分にバイアスRF電力を加えることの1つの利点は、炭化水素イオンが、ウェハ表面へと加速させられ、炭素層に注入され、炭素膜の密度及び硬度が増大し得ることである。さらに、強力なイオン衝突は、膜の応力を減少させ、PVD層とCVD層との間に架橋結合を作り出し、それにより、膜形態を改善するのに役立つ。しかしながら、上部電極RF電力だけが存在する場合、堆積された膜の密度は、通常、柔らかくて低い。
[0086]本開示の幾つかの実施形態では、間隙充填前駆体は、シャワーヘッド電極又は側壁注入のいずれかを通して処理チャンバ内に導入される。プラズマ密度を増加させるため、間隙充填前駆体は、不活性ガス(例えば、Ar又はHe)で希釈され得る。プラズマを発生させる前に、真空ポンプの上方のゲートバルブによって、安定した動作圧力(例えば、約0.1mTorrから数Torr)が処理チャンバ内で確立され得る。上部電極、底部電極、及びICEコイルのうちの少なくとも1つにRF電力が印加される。上部電極、底部電極、及びICPコイルは、同時に電力供給され得、又はこの3つのうちの2つが、本明細書で前述した電源設定に応じて、同時に電力供給され得る。印加されるRF周波数は、数百kHzから数十MHzの範囲である。さらに複数の周波数を上部電極又は底部電極に印加して、基板へ入射するイオン流量及びエネルギーを最適化することができる。
[0087]所望のCVD堆積時間の後、間隙充填前駆体を停止し、不活性ガスをチャンバ内に流し続けることができる。真空ポンプの上方のゲートバルブによって、安定した動作圧力(0.1mTorrから数十mTorr)が確立され得る。通常、PVDプロセス圧力は、イオンエネルギーを最大にするために極めて低い(例えば、約1mTorrと約10mTorrとの間)。PVD堆積中、上方電極及び下方電極にRF電力が印加され得る。PVD堆積中、底部RF電力は存在しない場合がある。スパッタリング収率を最大化するため、上部電極は、複数のRF周波数源から電力が供給され得る。磁界を使用して、低圧でプラズマ密度をさらに高め、それで炭素のスパッタリング速度を増加させることができる。中程度のイオン衝撃を導入するために、底部電極に電力を供給することができ、それにより、膜が高密度化され、PVD層とCVD層とが架橋結合される。RFスパッタリング状態では、堆積された膜には、通常、粒子に関する問題はない。PVDプロセス及びCVDプロセスは、所望の量の間隙充填材が堆積されるまで繰り返し実行される。堆積、PVD、次いでCVD、又は、堆積、CVD、次いでPVDというシーケンスを使用してもよい。
[0088]幾つかの実施形態では、PVDプロセスとCVDプロセスは、同時に実行される。幾つかの実施形態では、CVD膜は、同様に上部電極上の炭素ターゲット表面上にも堆積する場合があり、スパッタリングプロセスを妨げる。幾つかの実施形態では、不活性パージガスが、上部電極を通って流れるか、又はチャンバの上方(例えば、上方チャンバ100a)に周囲から注入され、炭素源ガスが、チャンバの下方(下方チャンバ100b)に注入される。こうすることにより、炭素源ガスの上部電極への逆拡散が最小限に抑えられ、上部電極表面への炭素膜堆積が減少する。
[0089]幾つかの実施形態では、CVDプロセスの間、電力供給された上部電極は、イオン衝突によって二次電子をさらに放出する。二次電子はプラズマシースによって加速させられ、およそ数百eVからkeVのエネルギーを有する。炭化水素ガスの電子衝突イオン化断面領域は、通常、数百eVでピークに達するので、二次電子は、炭化水素ガスイオン化にかなり貢献することができ、ひいては、膜密度の増加に貢献する。したがって、複数のRF周波数を上方電極に印加することができ、それにより、シース電圧をおよそ数百eVに保ちながら、二次電子の放出収率を最適化する。
[0090]CVD工程とPVD工程との間に酸素プラズマ洗浄プロセスを実施して、CVDプロセス中に堆積されたかもしれない堆積膜を上方電極の表面から除去することができる。こうすることにより、清浄な炭素表面でスパッタリングプロセスが始まる。酸素含有ガスを任意選択的に不活性ガス中で処理チャンバ内に流すことによって、酸素プラズマを形成することができる。酸素含有ガスは、NO、O、O、HO、及びこれらの組み合わせからなる群から選択され得る。任意選択的な不活性ガスは、ヘリウム、アルゴン、及びこれらの組み合せからなる群から選択され得る。酸素プラズマ洗浄プロセスの間、RF電力が、上方電極(例えば、電極108)及び/又は誘導コイルアンテナ(例えば、誘導コイルアンテナ172)のうちの少なくとも1つに供給される。
[0091]酸素プラズマ洗浄プロセスの幾つかの実施形態では、RF源電力発生器120、120a、120b、122のうちの少なくとも1つから発生したRF電力が、電極108に印加され、1キロワットと約10キロワットとの間の範囲で制御される。RF源電力発生器120、120a、120b、122の周波数は、0.4MHzと約300MHzとの間であり得ることに留意されたい。第2のRF源電力が使用される幾つかの実施形態では、RF源電力発生器174から発生し、コイルアンテナ172に印加される第2のRF源電力(例えば、誘導結合電力)は、通常、約1キロワットから約10キロワットの間で制御される。コイルアンテナ172に印加される誘導結合電力の周波数は、2MHzと約13MHzとの間であり得る。例えば、酸素プラズマ洗浄プロセスを実行するために約20mTorrと約20Torrとの間の処理圧力も利用され得る。電極108の表面から残留物を除去するのに十分な時間をかけて酸素プラズマ洗浄プロセスが実行され得る。
[0092]図4A及び図4Bは、本明細書に記載された幾つかの実施形態に係る、間隙充填材を形成するための方法500の一実施形態のプロセスフロー図を示す。
[0093]方法500は、動作510で開始する。動作510では、図1又は図2に示された処理チャンバ(例えば、電子ビームプラズマ処理チャンバ100)内に基板111を設ける。基板111は、実質的に平坦な表面、不均一な表面、又はその上に形成された一定の構造を有し得る。
[0094]動作520では、化学気相堆積(CVD)プロセスによって基板111上に間隙充填材を形成する準備として、間隙充填前駆体が処理チャンバ100内に供給される。幾つかの実施形態では、間隙充填前駆体は、処理ガス供給部138から、バルブ140のアレイを介して、ガスインジェクタ130、134へと供給され、それぞれ処理チャンバ100内に流入する。幾つかの実施形態では、間隙充填前駆体は、処理ガス供給部114から供給され、電極108へと流れ得る。
[0095]間隙充填前駆体は、炭化水素、及び不活性ガスを含み得る。炭化水素については、本開示の他の箇所で説明されている。
[0096]幾つかの実施形態では、調整可能な流量比及び種を制御して、種々の位置(例えば、上方ガスインジェクタ130又は下方ガスインジェクタ134、及び電極108)から処理チャンバ100へと供給し、グリッドフィルタ104の下方又は上方のラジカル解離及びプラズマ密度を最大化することができる。例えば、ビーム電子束を増加させるために上方チャンバ100a内でより高いプラズマ密度が望まれる場合、上方ガスインジェクタ130を通してAr又はHeなどの不活性ガスを供給してもよい。これにより、電極衝突を促進させ、電極108付近の分子ガス密度を減少させ、局所プラズマ密度及びビーム電子束を増加させることができる。対照的に、水素分子の解離が所望される場合(例えば、結果として得られる膜の純度を増加させる場合)、水素含有ガスが、上方ガスインジェクタ130を通して供給され、局所的なプラズマ密度を低下させながらも、水素ラジカル形成を促進して、処理チャンバ及び結果として得られた間隙充填材の中の不純物を追い出す。
[0097]任意選択的に、動作530では、処理チャンバ内の圧力は、動作330と同様に、所定のRFオン遅延期間にわたって安定化される。所望の条件を達成するために、任意の適切な固定時間遅延を使用してもよい。動作530のプロセスは、動作520及び動作540のプロセスと、同時に、連続的に、又は部分的に重複して実行され得る。幾つかの実施形態では、圧力は、約0.1mTorrから約5Torrの圧力に安定化される。
[0098]このような実施形態では、間隙充填前駆体は、約5秒から約30秒の間(例えば、約15秒)などのより長い時間にわたって処理チャンバ100内に導入され得る。これは、基板のサイズに応じて変動し得る。プラズマに衝突する前の間隙充填前駆体の流れは、処理チャンバ100の連続的な熱と圧力の安定化をもたらすと考えられている。幾つかの実施形態では、動作540においてRFプラズマを衝突させる前に、間隙充填前駆体が、約0.5秒から約5秒(例えば、約1秒から約2秒)にわたって、処理チャンバ100に流れ込む(流れ時間は、間隙充填前駆体が処理チャンバ100に到達し始めるのにちょうどよい長さである限り、変動し得る)。
[0099]動作540では、間隙充填前駆体が処理チャンバ内に供給された後、RF電力が、上方電極(例えば、電極108)、下方電極(例えば、ワークピース電極196)、及び/又は誘導コイルアンテナ(例えば、誘導コイルアンテナ172)のうちの少なくとも1つに供給される。動作540は、動作340に関連して説明された電源設定のうちのいずれかを使用して実行されてもよい。動作540では、混合ガスが処理チャンバ内に供給された後、第1のRF源電力が、RF源電力発生器120、122から生成され、電極108に印加され、それにより、プラズマ及び二次電子ビームが生成され得る。電極108からの二次電子ビームは、冷プラズマを発生させることができる。この冷プラズマは、例えば、基板の表面に間隙充填材を形成するように表面を照射する摂氏100度未満の温度にある。さらに、RF源電力発生器174を通して、第2の任意選択的なRF電力をコイルアンテナ172に印加して、誘導結合電力を加えることもできる。生成された誘導結合電力は、基板へのラジカル束を増加させ、上方チャンバ100a内の電子に入射するイオン束(又はビーム束)を増加させ、高密度のビーム電子を生成し得る。誘導結合電力は、電極108へのシース電圧も低下させ、ひいては、ビームエネルギーを低減させる。さらに、第3の任意選択的なRF電力をワークピース電極196に印加して、基板に入射するイオン束及びエネルギーを最適化することができる。第3のRF電力は、RF電力発生器146、148及び/又は追加のRFバイアス電力発生器142によって生成され得る。
[00100]第1のRF源電力が使用される幾つかの実施形態では、RF源電力発生器120、120a、120b、122のうちの少なくとも1つから生成される第1のRF源電力は、電極108に印加され、1キロワットから約10キロワットの間の範囲で制御される。RF源電力発生器120、120a、120b、122の周波数は、0.4MHzと約300MHzとの間であり得ることに留意されたい。第2のRF源電力が使用される幾つかの実施形態では、RF源電力発生器174から発生し、コイルアンテナ172に印加される第2のRF源電力(例えば、誘導結合電力)は、通常、約1キロワットから約10キロワットの間で制御される。コイルアンテナ172に印加される誘導結合電力の周波数は、2MHzと約13MHzとの間であり得る。下方電極に電力が供給される実施形態では、駆動周波数は、低周波成分、高周波成分、又は低周波成分と高周波成分の両方の混合物を含み得る。例えば、RFバイアス電力発生器142から発生したRFバイアス電力は、ワークピース電極196に印加され、1キロワットと約10キロワットとの間の範囲で制御される。RFバイアス電力発生器142の周波数は、0.4MHzから約300MHzであり得ることに留意されたい。幾つかの実施形態では、複数の周波数(例えば、低周波RF電力発生器から2MHz、及びVHF電力発生器から40MHz)が、ワークピース電極196に印加される。
[00101]例えば、間隙充填材を形成するために20mTorrと約20Torrとの間の処理圧力がさらに利用され得る。堆積プロセス中に利用される二次電子ビームと共に、電子ビームプラズマが、より高いイオン衝撃をもたらし得ると考えられている。このより高いイオン衝撃により、混合ガスからのイオン及び電子ビームのエネルギーの解離が強化され、強固な膜構造を有する間隙充填が形成され得る。
[00102]動作中、基板温度は、室温(例えば、20℃)と約1000℃との間で制御され得る。基板とシャワーヘッドとの間の間隔は、約200ミルから約15000ミル(例えば、約200ミルから約1000ミル)に制御することができる。
[00103]他の箇所で論じたように、電極108から供給される電子ビームプラズマ及び二次電子ビームは、電極シースによって加速し、ひいては、バルクプラズマに入るときにさらなるなるエネルギーを獲得する。これらの加速した電子は、分子から水素を効率的に解離するのに十分な高エネルギーを提供し、基板111上に形成された間隙充填材などの炭素膜から水素不純物を抽出するのに十分な水素ラジカルを生成し、ひいては、高純度の間隙充填材を形成する。加速した二次ビーム電子は、(グリッドフィルタ104の下方の下方チャンバ領域100bにおいて)基板111の上方に低温プラズマ、いわゆる冷プラズマを生成する。低電子温度は、1eV未満(例えば、0.5eV未満)の低い電子エネルギーを有することが多い。したがって、冷プラズマからの低エネルギー電子は、振動状態の水素分子を効率的に解離して水素ラジカルを生成するのに十分に生成され、基板表面への水素ラジカル束を増加させる。
[00104]さらに、電極108から放出される加速した二次電子ビームが基板表面に到達すると、加速した二次電子ビームから運ばれる高エネルギー(例えば、およそ数百電子ボルト(eV)から数千電子ボルト(eV))は、表面反応を誘起し得る。この表面反応は、例えば、炭素sp3表面状態を励起し、基板111上に間隙充填材を形成し、且つ弱い(又は望ましくない)炭素sp若しくはsp2結合又はさらにC−H結合を破壊し、ひいては、sp3結合を増加させて、炭素構造のアモルファス状態又は他の構造ではなく、ダイヤモンド状炭素材料の形成を促進し得る。間隙充填材において結合する炭素元素は、他の炭素元素と結合した四面体の角に向いている4つの単結合を有するsp3炭素中で主に形成され得る。2つの単結合と1つの二重結合(例えば、3つの結合が三角形の角に向かっている)を有する、望ましくないsp2混成炭素は、結果的に、望ましいダイヤモンド状構造ではなく、アモルファス状態の膜構造となることが多い。水素末端結合(hydrogen termination bond)の量、及びsp3混成炭素又はsp2混成炭素に含まれる任意の欠損炭素結合又はダングリング炭素結合の程度は、これらの炭素原子がどのくらい密接にネットワーク化且つ圧縮されるかに影響を及ぼし、ひいては、膜密度及び応力を決定する。間隙充填材は、すべての炭素原子が完全に相互接続されたとき、完全なsp3混成化とゼロ水素含有量で形成されるように構成される。一実施例では、加速した二次電子ビームは、100eVを超えるビームエネルギーを有し得る。
[00105]動作550では、動作540で調節されたプロセスパラメータによって堆積プロセスが実行された後、次いで、基板フィーチャ内に間隙充填材が形成される。
[00106]任意選択的に、動作550が完了した後、任意選択的なチャンバパージ処理を実行して、間隙充填形成プロセスからの任意の残留ガス及び副生成物をチャンバから除去することができる。チャンバパージ処理中、電極108、上方ガスインジェクタ130、及び下方ガスインジェクタ134のうちの少なくとも1つからパージガス(例えば、アルゴン又は窒素などの不活性ガス)を処理チャンバ100内に供給することができる。幾つかの実施形態では、動作540中に使用される不活性ガスが、流れ続けてパージガスとして機能する間、動作540中に使用される間隙充填前駆体の流れが停止する。処理チャンバ100内の圧力は、バルブシステムを使用して制御されてもよい。このバルブシステムは、排気ガスがチャンバから引き出される速度を制御する。
[00107]幾つかの実施形態では、動作550が完了した後、CVDプロセスとPVDプロセスとの間に、前述の酸素プラズマ洗浄プロセスと同様の酸素プラズマ洗浄プロセスが実行され、CVDプロセス中に上方電極の表面上に堆積された任意の膜が除去される。これにより、PVDプロセスを開始する前に電極108の炭素表面が洗浄される。
[00108]動作570では、処理チャンバ内の圧力は、動作330と同様に、所定のRFオン遅延期間にわたって安定化される。所望の条件を達成するために、任意の適切な固定時間遅延を使用してもよい。動作530のプロセスは、動作520及び動作540のプロセスと、同時に、連続的に、又は部分的に重複して実行され得る。幾つかの実施形態では、圧力は、約0.1mTorrから約5Torrの圧力に安定化される。
[00109]所定のRFオン遅延時間周期は、CVDプロセスの実行と、動作580におけるプラズマの衝突又は生成との間の時間周期として定義される固定時間遅延である。所望の圧力条件を達成するために、任意の適切な固定時間遅延を使用してもよい。RFオン遅延時間周期の長さは、通常、処理チャンバ内の圧力が、物理化学気相堆積プロセスのために望まれる圧力に安定化されるように選択される。動作570のプロセスは、動作560及び動作580のプロセスと、同時に、連続的に、又は部分的に重複して実行され得る。幾つかの実施形態では、圧力は、約0.1mTorrから約50mTorr(例えば、約1mTorrと約10mTorrとの間)の圧力に安定化される。通常、PVDプロセス圧力は、イオンエネルギーを最大化するために極めて低い。
[00110]所望のCVD堆積時間の後、動作580では、処理チャンバ内に不活性ガスを流し込みながら、RF電力が上方電極(例えば、電極108)に印加される。不活性ガスは、CVD堆積プロセス中に使用されるのと同じ不活性ガスであってもよく、又は異なる不活性ガスであってもよい。幾つかの実施形態では、CVDプロセス中に使用される間隙充填前駆体は、チャンバ内に不活性ガスを継続的に流し込む間に止められる。PVDプロセスの間、RF電力が少なくとも上方電極に印加される。スパッタリング収率を最大化するため、上方電極は、複数のRF周波数源から電力が供給され得る。幾つかの実施形態では、PVDプロセスの間、RF電力が下方電極(例えば、ワークピース電極196)にも印加される。中程度のイオン衝撃を導入するために、下方電極に電力を供給することができ、それにより、膜が高密度化され、PVD層とCVD層とが架橋結合される。幾つかの実施形態では、磁界を使用して、低圧でプラズマ密度をさらに高め、それで炭素のスパッタリング速度を増加させることができる。例えば、磁界は、磁石160−1及び磁石160−2によって印加され得る。磁界は、1000ガウス以下(例えば、約20ガウスから約700ガウス、又は約100ガウスから約500ガウス)の磁力を有し得る。
[00111]幾つかの実施形態では、動作580のPVDプロセスの間、上方電極(例えば、電極108)のみが電力供給される。例えば、RF源電電力発生器120、120a、120b、122のうちの少なくとも1つから発生した第1のRF源電力が、電極108に印加され、1キロワットから約10キロワットの間の範囲で制御される。RF源電力発生器120、120a、120b、122の周波数は、0.4MHzと約300MHzとの間であり得ることに留意されたい。本実施例では、電力は、上方電極にのみ印加される(例えば、電力は、下方電極(例えば、ワークピース電極196)又は誘導コイルアンテナ(例えば、誘導コイルアンテナ172)のいずれにも印加されない)。幾つかの実施形態では、上方電極に印加される周波数は、0.4MHzと約300MHzとの間である。幾つかの実施形態では、高周波数(例えば、約11MHZと60MHzとの間)が上方電極に印加される。幾つかの実施形態では、低周波数(例えば、約0.4kHzと10MHzとの間)が上方電極に印加される。幾つかの実施形態では、複数の周波数(例えば、低周波数RF源電力発生器122から2MHz、及びVHF電力発生器120aから40MHz)が、上方電極に印加される。
[00112]さらに別の実施例では、前述の条件のいずれかを使用して、動作580中、上方電極(例えば、電極108)及び下方電極(例えば、ワークピース電極196)の両方に同時に電力が供給される。例えば、RF源電力発生器120、120a、120b、122のうちの少なくとも1つから生成されたRF源電力が、上方電極に印加されて、1キロワットと約10キロワットとの間の範囲で制御され、第2のRF電力(バイアス又は源)が、下方電極196に印加され、約1キロワットと約10キロワットとの間で制御される。本実施例では、電力は、電極108とワークピース電極196の両方に印加される(例えば、誘導コイルアンテナ(例えば、誘導コイルアンテナ172)には電力が印加されない)。幾つかの実施形態では、電極108に印加される周波数は、約0.4MHzと約300MHzとの間であり、ワークピース電極196に印加される周波数は、約0.4MHzと約300MHzとの間である。幾つかの実施形態では、複数の周波数(例えば、低周波RF電力発生器から2MHz、及びVHF電力発生器から40MHz)が、電極108及びワークピース電極196に印加される。
[00113]動作590では、動作580で調節されたプロセスパラメータによって堆積プロセスが実行された後、炭素が基板111内の間隙充填材へとスパッタリングされる。
[00114]CVDプロセス(例えば、動作520から550)とPVDプロセス(例えば、動作560から590)は、所望の量の間隙充填材が堆積されるまで、反復的に実行されてもよい。さらに堆積の順序は、CVDの後にPVDが続くと記載されているが、PVDの後にCVDが続いてもよい。
[00115]幾つかの実施形態では、PVDプロセスとCVDプロセスは、同時に実行される。この実施形態では、CVD膜は、同様に上部電極上の炭素ターゲット表面上にも堆積する場合があり、スパッタリングプロセスを妨げる。幾つかの実施形態では、不活性パージガスが、上部電極を通って流れるか、又はチャンバの上方(例えば、上方チャンバ100a)に周囲から注入され、炭素源ガスが、チャンバの下方(下方チャンバ100b)に注入される。こうすることにより、炭素源ガスの上部電極への逆拡散が最小限に抑えられ、上部電極表面への炭素膜堆積が減少する。
[00116]幾つかの実施形態では、ここで形成された間隙充填材は、2.0g/cm以上(例えば、約2.0g/cmから約2.5g/cm)の密度を有するように構成される。幾つかの実施形態では、間隙充填材は、500MPa以下の応力を有する。
[00117]幾つかの実施形態では、基板111が位置決めされるワークピース支持ペデスタル110の温度は、堆積された炭素膜の応力を制御するために使用されてもよい。本発明者らは、支持ペデスタルの温度が、膜応力に影響を与え、膜応力を減少させるために利用できることを見出した。例えば、特定の出力/圧力/ガスの組み合わせの場合、支持ペデスタルの温度が60℃のとき、堆積した間隙充填材の応力は約−800MPaである。しかしながら、支持ペデスタルの温度を10℃に下げると、堆積された膜の応力は約−600MPaまで低下する。さらに、支持面のワークピース支持面110aと基板111の裏側面との間に流れるヘリウムを用いて、膜応力を調節することができる。幾つかの実施形態では、ヘリウムは、約15Torrから約30Torrの圧力で流動し、支持ペデスタルの温度は、約20℃から約700℃の範囲に設定される。
[00118]したがって、低応力で所望の密度を有する間隙充填材を形成する方法が、電子ビームプラズマ堆積プロセスによって提供される。本方法は、有利には、低応力及び高密度、高エッチング選択性又は透明性などの1つ以上の所望の機械的特性を有する間隙充填材を提供する。間隙充填材の改良された機械的性質により、膜の平坦度及び応力レベルの所定の範囲を維持しながら、高い膜品質がもたらされる。
[00119]図6Aは、本明細書に記載された1つ以上の実施形態に係る、間隙充填材堆積を実行するために使用できる基板処理システム732の概略図を示す。基板処理システム732は、ガスパネル730及びコントローラ710に連結された処理チャンバ700を含む。処理チャンバ700は、概して、上部壁724、側壁701、及び底部壁722を含み、これらの壁が処理空間726を画定する。基板支持アセンブリ746が、処理チャンバ700の処理空間726内に設けられる。基板支持アセンブリ746は、概して、ステム760によって支持された静電チャック750を含む。静電チャック750は、典型的には、アルミニウム、セラミック、及びその他の適切な材料から製造され得る。静電チャック750は、変位機構(図示せず)を使用して、処理チャンバ700の内部で垂直方向に移動させられ得る。
[00120]真空ポンプ702は、処理チャンバ700の底部に形成されたポートに連結されている。真空ポンプ702は、処理チャンバ700内で所望のガス圧を保つために使用される。真空ポンプ702は、処理チャンバ700から、後処理ガス及びプロセスの副生成物を排気する。
[00121]基板処理システム732は、チャンバ圧力を制御するために処理チャンバ700と真空ポンプ702との間に位置付けられた、チャンバ圧力制御用のさらなる装置(例えば、スロットルバルブや隔離バルブなどのバルブ)をさらに含み得る。
[00122]複数の開孔728を有するガス分配アセンブリ720が、静電チャック750の上方で、処理チャンバ700の上部に配置される。ガス分配アセンブリ720の開孔728は、処理チャンバ700内に処理ガスを導入するために利用される。開孔728は、種々のプロセス要件のために様々な処理ガスの流れを促進するために、種々のサイズ、数、分布、形状、設計、及び直径を有してもよい。ガス分配アセンブリ720は、ガスパネル730に接続される。ガスパネル730は、プロセス中に様々なガスを処理空間726に供給することを可能にする。ガス分配アセンブリ720から出る処理混合ガスからプラズマが形成され、それにより、処理ガスの熱分解が促進され、基板790の表面791への材料堆積がもたらされる。
[00123]ガス分配アセンブリ720と静電チャック750とは、処理空間726内に離間した電極の対を形成し得る。1つ以上のRF電源740が、任意選択的な整合ネットワーク738を介して、バイアス電位をガス分配アセンブリ720に供給し、ガス分配アセンブリ720と静電チャック750との間でプラズマの生成を促進する。代替的に、RF電源740及び整合ネットワーク738は、ガス分配アセンブリ720、静電チャック750、若しくはガス分配アセンブリ720と静電チャック750の両方に連結されてもよく、又は、処理チャンバ700の外部に配置されたアンテナ(図示せず)に連結されてもよい。幾つかの実施形態では、RF電源740は、350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHzの周波数で電力を発生させ得る。幾つかの実装形態では、RF電源740は、約50kHzから約13.6MHzの周波数で、約100ワットから約3000ワットの間の電力を供給し得る。幾つかの実装形態では、RF電源740は、約50kHzから約13.6MHzの周波数で、約500ワットから約1800ワットの間の電力を供給し得る。
[00124]コントローラ710は、中央処理装置(CPU)712、メモリ716、及び支持回路714を含み、これらは、処理シーケンスを制御し、ガスパネル730からのガス流を調節するために利用される。CPU712は、産業環境で使用され得る任意の形態の汎用コンピュータプロセッサであり得る。ソフトウェアルーチンは、メモリ716(例えば、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー、若しくはハードディスクドライブ、又は他の形態のデジタルストレージ)に保存され得る。支持回路714は、従来、CPU712に連結され、キャッシュ、クロック回路、入出力システム、電源などを含み得る。
[00125]記載された処理装置のいずれかに含まれ得るコントローラは、プロセッサ、プロセッサに連結されたメモリ、プロセッサに連結された入/出力デバイス、及び種々の電子構成要素間の通信のための回路を有し得る。メモリは、一過性メモリ(例えば、ランダムアクセスメモリ)、及び非一過性メモリ(例えば、ストレージ)のうちの1つ以上を含み得る。
[00126]プロセッサのメモリ又はコンピュータ可読媒体は、容易に入手可能なメモリのうちの1つ以上(例えば、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のローカル若しくは遠隔デジタルストレージ)であり得る。メモリは、システムのパラメータ及び構成要素を制御するためにプロセッサによって動作可能な命令セットを保持し得る。支持回路は、従来の方式でプロセッサを支持するためにCPUに連結される。回路は、例えば、キャッシュ、電源、クロック回路、入出力回路、サブシステム等が含まれ得る。
[00127]処理は、概して、ソフトウェアルーチンとしてメモリ内に記憶される。このソフトウェアルーチンは、プロセッサによって実行されると、処理チャンバに本開示の処理を実行させる。当該ソフトウェアルーチンは、プロセッサによって制御されるハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶且つ/又は実行され得る。本開示の方法の一部又はすべてをハードウェアで実行することもできる。したがって、処理は、ソフトウェア内に実装され、ハードウェア(例えば、特定用途向け集積回路又は他の種類のハードウェア実装形態)、又はソフトウェアとハードウェアとの組合せにおいてコンピュータシステムを使用して実行され得る。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを特定用途コンピュータ(コントローラ)に変換する。この特定用途コンピュータは、チャンバの動作を制御して処理を実行する。
[00128]コントローラ710と基板処理システム732の様々な構成要素との間の双方向通信は、多数の信号ケーブル(信号バス718と総称され、その一部が図6Aに示されている)を通じて処理される。
[00129]図6Bは、本明細書に記載された実施形態を実践するために使用することができる別の基板処理積システム780の概略断面図を示している。基板処理システム780は、ガスパネル730から、側壁701を経て基板790の表面791の端から端まで処理ガスを流すよう構成されていることを除けば、図6Aの基板処理システム732に類似している。さらに、図6Aに示しているガス分配アセンブリ720が、電極782で置換されている。電極782は、二次電子生成のために構成され得る。幾つかの実施形態では、電極782は、ケイ素含有電極である。
[00130]図7は、幾つかの実施形態を実践するために使用され得る図6A及び図6Bの処理システムにおいて使用される基板支持アセンブリ746の概略断面図を示している。図7を参照すると、静電チャック750は、静電チャック750の上面792に支持されている基板790の温度を制御するのに適した埋込型ヒータ素子770を含み得る。電流をヒータ電源706からヒータ素子770へと印加することによって、静電チャック750が抵抗加熱され得る。ヒータ電源706は、RFフィルタ816を通じて連結され得る。RFフィルタ816は、RFエネルギーからヒータ電源706を保護するために使用され得る。ヒータ素子770は、ニッケル-鉄-クロム合金(例えば、INCOLOY(登録商標))のシース管内に封入されたニッケル−クロムワイヤから作製され得る。ヒータ電源706から供給される電流をコントローラ710によって調整して、ヒータ素子770により生成される熱を制御し、それにより、膜堆積中、基板790と静電チャック750を実質的に一定温度に維持する。供給される電流を調節して、静電チャック750の温度を約−50℃から約600℃の間に選択的に制御することができる。
[00131]図6A及び図6Bを参照すると、従来の様態では、静電チャック750の温度をモニタリングするために、熱電対などの温度センサ772が静電チャック750に埋設され得る。コントローラ710は、測定温度を用いてヒータ要素770に供給された電力を制御し、基板を所望の温度に維持する。
[00132]静電チャック750は、チャッキング電極810を含む。チャック電極810は導電性材料のメッシュであり得る。チャッキング電極810は、静電チャック750に埋設され得る。チャッキング電極810は、チャック電源812に連結されている。チャック電源812は、通電されると、基板790を静電チャック750の上側面792に静電クランプする。
[00133]チャッキング電極810は、単極若しくは双極の電極として構成されてもよく、又は別の適切な構成を有してもよい。チャッキング電極810は、RFフィルタ214を通じてチャッキング電源812に連結され得る。チャッキング電源812は、直流(DC)電力を供給して、基板790を静電チャック750の上面792に静電固定する。RFフィルタ214は、処理チャンバ700内のプラズマ形成に利用されるRF電力が、チャンバの外で電気機器を損傷したり、又は電気的障害を引き起こしたりするチャッキングことを防止する。静電チャック750は、AIN又はAl2O3などのセラミック材料から製造され得る。代替的に、静電チャック750は、ポリイミド、ポリエーテルエーテルケトン、ポリアリールエーテルケトンなどのポリマーから製造され得る。
[00134]電力印加システム220が、基板支持アセンブリ746に連結される。電力印加システム220は、ヒータ電源706、チャッキング電源812、第1高周波(RF)電源830、及び第2のRF電源840を含み得る。電力印加システム220の実施形態は、コントローラ710、コントローラ710と通信可能なセンサデバイス850、及び第1のRF電源830と第2のRF電源840の両方をさらに含み得る。
[00135]基板790上に材料の層を堆積させるために、コントローラ710をさらに利用して、第1のRF電源830及び第2のRF電源840からRF電力を印加することにより、処理ガスからのプラズマを制御することができる。
[00136]上述したように、静電チャック750は、チャック電極810を含む。チャッキング電極810は、一態様では、基板790をチャックするよう機能すると同時に、第1のRF電極としても機能し得る。静電チャック750は、第2のRF電極860も含んでよく、チャッキング電極810と共に、プラズマを調整するためにRF電力を印加し得る。第1のRF電源830は、第2のRF電極860に連結されてもよいが、第2のRF電源840は、チャッキング電極810に連結されてもよい。第1のRF電源830と第2のRF電源840のそれぞれのために、第1の整合ネットワークと第2の整合ネットワークが設けられ得る。第2のRF電極860は、図示しているような導電性材料の固体金属プレートであってもよい。代替的に、第2のRF電極860は、導電性材料のメッシュであってもよい。
[00137]第1のRF電源830及び第2のRF電源840は、同じ周波数で又は異なる周波数で電力を発生させ得る。幾つかの実施形態では、第1のRF電源830と第2のRF電源840の一方又は両方が、約350KHzから約100MHz(例えば、350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、又は100MHz)の周波数で、個別に電力を発生させ得る。幾つかの実施形態では、第1のRF電源830は、13.56MHzの周波数で電力を発生させ得、第2のRF電源840は、2MHzの周波数で電力を発生させ得、又はその逆も然りである。第1のRF電源830と第2のRF電源840の一方又は両方からのRF電力が、プラズマを調整するために変更され得る。例えば、センサデバイス850は、第1のRF電源830と第2のRF電源840の一方又は両方からのRFエネルギーをモニタリングするために使用されてもよい。センサデバイス850からのデータはコントローラ710に送信され得る。コントローラ710を利用して、第1のRF電源830及び第2のRF電源840によって印加される電力を変動させることができる。
[00138]ダイヤモンド状炭素材料中のsp3混成炭素原子の量/割合は、用途ごとに変動し得る。幾つかの実施形態では、ダイヤモンド状炭素材料は、少なくとも40、45、50、55、60、65、70、75、80、又は85パーセントのsp3混成炭素原子を含有し得る。ダイヤモンド状炭素材料は、最大で45、50、55、60、65、70、75、80、85、又は90パーセントのsp3混成炭素原子を含有し得る。ダイヤモンド状炭素材料は、約50から約90パーセントのsp3混成炭素原子を含有し得る。ダイヤモンド状炭素材料は、約60から約70パーセントのsp3混成炭素原子を含有し得る。
[00139]概して、以下の例示的な堆積プロセスパラメータを使用して、基板フィーチャ内に間隙充填材を形成することができる。ウェハ温度は、約−50℃から約350℃(例えば、約10℃から約100℃、又は約10℃から約50℃)の範囲であり得る。チャンバ圧力は、約0.5mTorrから約10Torr(例えば、約2mTorrから約50mTorr、又は約2mTorrと約10mTorrとの間)のチャンバ圧力の範囲であり得る。間隙充填前駆体の流量は、約10sccmから約1000sccm(例えば、約100sccmから約200sccm、又は約750sccmから約200sccm)であり得る。希釈ガスの流量は、個々に、約50sccmから約50,000sccm(例えば、約50sccmから約500sccm、又は約50sccmから約100sccm)の範囲であり得る。ガス分配アセンブリと基板との間の間隔は、約1000から約15000ミル(例えば、約6000から約12000ミル、又は約8000から約12000ミル)であり得る。
[00140]間隙充填材は、約5Åから約20000Å(例えば、約900Åから約5000Å、約2000Åと約9000Åとの間、又は約5Åから約200Åの間)の厚さに堆積され得る。上記のプロセスパラメータは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能な堆積チャンバにおける900mm基板向けのプロセスパラメータの例を提示するものである。
[00141]間隙充填材は、2.0を超える(例えば、約2.0から約3.0、例として、2.3の)屈折率又はn値((633nmにおける)n)を有し得る。ダイヤモンド状炭素膜は、0.1を超える(例えば、約0.2から約0.3、例として、0.25の)吸光係数又はk値((633nmにおける)K)を有し得る。
[00142]ダイヤモンド状炭素材料は、約−900MPa未満の応力(MPa)、例えば、約−600MPaから約−900MPa、約−600MPaから約−500MPa、例えば、約−550MPaを有し得る。
[00143]ダイヤモンド状炭素材料は、約1.8g/cm以上、例えば、約2.0g/cm以上、又は約2.5g/cm以上、例として、約1.8g/cmから約2.5g/cmの密度(g/cc)を有し得る。
[00144]ダイヤモンド状炭素材料は、750GPaを上回る(例えば、約200から約10GPaの)弾性率を有し得る。
[00145]図8は、本開示の1つ以上の実施形態に係る、基板フィーチャ内に間隙充填材を形成するための方法900のフロー図を示す。図5A及び図5Bは、方法900に係る、基板フィーチャ内に間隙充填材を形成するためのシーケンスを示す、基板の断面図である。図8に示される工程は、同時に、且つ/又は、図8に示される順序と異なる順序で実行され得ることも理解されたい。
[00146]方法900は、動作910で開始する。動作910では、基板(例えば、図5Aに示す基板10)が、処理チャンバ(例えば、図6A又は図6Bに示す処理チャンバ700)内に位置付けされる。基板10は、図6A、図6B、及び図7に示している基板790であり得る。基板10は、静電チャック(例えば、静電チャック750の上面792)に位置付けられ得る。
[00147]図5Aは、フィーチャ12を有する基板10の部分断面図を示す。このように使用される「フィーチャ(feature)」という用語は、任意の意図的な表面の不規則部分を意味する。フィーチャの適切な例には、トレンチ(上部、2つの側壁、及び底部を有する)、谷(valley)(別個の底部をもたない状態で上部と2つの側壁を有する)、並びにビア(開放底部又は側壁とは異なる材料で形成された底部を有する、表面から下方に延在する側壁を有する)が含まれるが、これらに限定されない。
[00148]図5A及び5Bは、例示を目的として単一のフィーチャを有する基板を示すが、当業者は、1つより多くのフィーチャが存在し得ることを理解する。フィーチャ12の形状は、トレンチ及び円筒状ビアを含む任意の適切な形状であってもよいが、これに限定されない。
[00149]基板10は、上面20を有する。少なくとも1つのフィーチャ12は、上面20に開口を形成する。フィーチャ12は、上面20から底面30へと深さDだけ延在する。フィーチャ12は、フィーチャ12の開口幅Wを画定する第1の側壁14及び第2の側壁16を有する。側壁と底部によって形成される開口領域は、間隙とも呼ばれる。
[00150]特定の実施形態では、フィーチャ12は、トレンチである。フィーチャは、任意の適切なアスペクト比(フィーチャの深さDとフィーチャの幅Wとの比)を有し得る。幾つかの実施形態では、アスペクト比は、約5:1、10:1、15:1、20:1、25:1、30:1、35:1、又は40:1以上である。
[00151]基板10は、炭素ベースの材料、又は必要に応じた任意の適切な絶縁材料若しくは導電性材料であり得、図5Bに示すように、間隙充填材50で充填できるフィーチャ12が基板10に配置されている。
[00152]図5Aに示される例示的な実施形態に示されるように、基板10は上面20を有し得る。上面20は、上部に構造体が形成された又は内部に追加のフィーチャが形成された、(図示された通り)実質的に平坦な、不均一な、又は実質的に平坦な表面である。
[00153]幾つかの実施形態では、基板10は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコン基板、パターン形成された又はパターン形成されていない基板シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料であってもよい。基板10は、様々な寸法(例えば、200mm、900mm、及び450mm)又はその他の直径を有し得ると共に、長方形又は正方形のパネルでもあり得る。別途明記されない限り、本明細書に記載された実施形態及び実施例は、直径200mm、直径900mm、又は直径450mmの基板上で実行される。基板10でSOI構造が利用される実施形態では、基板10は、ケイ素結晶性基板に配置された埋め込み型誘電体層を含み得る。本明細書に記載された実施形態では、基板10は、結晶シリコン基板であり得る。
[00154]間隙充填材は、基板10に存在するフィーチャ12の内側又は外側の、基板10の任意の表面又は任意の部分(上面20を含む)に形成され得ることに留意されたい。
[00155]1つ以上の実施形態では、間隙充填材50が堆積され、間隙には実質的にシームが形成されない。シームは、フィーチャ12が膜で充填される前に、膜の厚さがフィーチャの上部に迫ったところで形成される(「ブレッドローフィング」)。このシームは、フィーチャ12の側壁14、16間に形成された任意の間隙、スペース、又はボイドであり得る。
[00156]動作920では、チャッキング電圧が静電チャックに印加されて、基板10が静電チャックにクランプされる。基板10が静電チャック750の上面792に位置付けられる幾つかの実施形態では、処理中、上面792が基板10を支持してクランプする。静電チャック750は、基板10を上面792に密着させ、裏側堆積を防止する。チャッキング電極810を介して、基板10に電気バイアスが供給される。チャッキング電極810は、チャッキング電極810にバイアス電圧を供給するチャッキング電源812と電気的に通信し得る。幾つかの実施形態では、チャッキング電圧は、約10ボルトから約9000ボルトとの間である。幾つかの実施形態では、チャッキング電圧は、約100ボルトから約2000ボルトとの間である。幾つかの実施形態では、チャッキング電圧は、約200ボルトから約1000ボルトとの間である。
[00157]動作920では、幾つかのプロセスパラメータが調整され得る。900mm基板の処理に適した幾つかの実施形態では、処理空間内の処理圧力は、約0.1mTorrから約10Torr(例えば、約2mTorrから約50mTorr、又は約5mTorrから約20mTorr)に維持され得る。900mm基板の処理に適した幾つかの実施形態では、処理温度及び/又は基板温度は、−50℃から約350℃(例えば、約0℃から約50℃、又は約10℃から約20℃)に維持され得る。
[00158]幾つかの実施形態では、一定したチャッキング電圧が基板10に印加される。幾つかの実施形態では、チャッキング電圧は、静電チャック750へとパルス化され得る。幾つかの実施形態では、基板の温度を制御するためにチャッキング電圧が印加されている間、裏側ガスが基板10に加えられてもよい。裏側ガスは、ヘリウム(He)、アルゴン(Ar)などを含み得るが、これらに限定されない。
[00159]動作930では、第1のRFバイアスを静電チャックに印加することにより、基板の水平面においてプラズマが生成される。基板の水平面において生成されるプラズマは、基板と静電チャックとの間のプラズマ領域内で生成され得る。第1のRFバイアスは、約350KHzから約100MHz(例えば、350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、又は100MHz)の周波数で、約10ワットから約9000ワットであり得る。幾つかの実施形態では、第1のRFバイアスは、約13.56MHzの周波数で、約2500ワットから約9000ワットの電力で供給される。幾つかの実施形態では、第1のRFバイアスは、第2のRF電極860を介して、静電チャック750に供給される。第2のRF電極860は、第2のRF電極860にバイアス電圧を供給する第1のRF電源830と電気的に通信可能であり得る。幾つかの実施形態では、バイアス電力は、約10ワットから約9000ワットの間である。幾つかの実施形態では、バイアス電力は、約2000ワットから約9000ワットの間である。幾つかの実施形態では、バイアス電力は、約8500ワットから約9000ワットの間である。第1のRF電源830は、約350KHzから約100MHz(例えば、350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、又は100MHz)の周波数で電力を発生させ得る。
[00160]幾つかの実施形態では、動作930は、第2のRFバイアスを静電チャックに印加することをさらに含む。第2のRFバイアスは、約350KHzから約100MHz(例えば、350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、又は100MHz)の周波数で、約10ワットから約9000ワットであり得る。幾つかの実施形態では、第2のRFバイアスは、約2MHzの周波数で、約800ワットと約7200ワットとの間の電力で供給される。幾つかの実施形態では、第2のRFバイアスは、チャッキング電極810を介して基板10に供給される。チャッキング電極810は、チャッキング電極810にバイアス電圧を供給する第2のRF電源840と電気的に通信可能であり得る。幾つかの実施形態では、バイアス電力は、約10ワットから約9000ワットの間である。幾つかの実施形態では、バイアス電力は、約500ワットから約7500ワットの間である。幾つかの実施形態では、バイアス電力は、約800ワットから約7200ワットの間である。第2のRF電源840は、約350KHzから約100MHz(例えば、350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、又は100MHz)の周波数で、電力を発生させ得る。幾つかの実施形態では、動作920中に供給されるチャッキング電圧は、動作930中にも維持される。
[00161]幾つかの実施形態では、動作930中、第1のRFバイアスは、チャッキング電極810を介して基板10に供給され、第2のRFバイアスは、第2のRF電極860を介して基板10に供給され得る。幾つかの実施形態では、第1のRFバイアスは、約8500ワット(13.56MHz)であり、第2のRFバイアスは、約1000ワット(2MHz)である。
[00162]動作940中、間隙充填前駆体が、処理空間726内に流し込まれ、基板フィーチャ内に間隙充填材が形成される。間隙充填前駆体は、ガスパネル730から、ガス分配アセンブリ720を通して又は側壁701を介して、処理空間726内に流し込まれ得る。幾つかの実施形態では、間隙充填前駆体は、不活性ガス、希釈ガス、窒素含有ガス、エッチャントガス、又はこれらの組み合わせをさらに含み得る。幾つかの実施形態では、間隙充填前駆体は、炭化水素を含み得る。幾つかの実施形態では、間隙充填前駆体は、ケイ素含有種を含み得る。間隙充填前駆体は、任意の液体又はガスであり得るが、材料の計量、制御、及びチャンバへの供給に必要なハードウェアを簡略化するのであれば、好ましい前駆体は室温の蒸気である。幾つかの実施形態では、動作920中に供給されるチャッキング電圧は、動作940中にも維持される。幾つかの実施形態では、動作920中に確立されたプロセス条件及び動作930中に形成されたプラズマは、動作940中にも維持される。
[00163]幾つかの実施形態では、炭化水素は、ガス状である。幾つかの実施形態では、炭化水素は、一般式Cで表され、ここで、xは1と20との間の範囲であり、yは、1と26との間の範囲である。適切な炭化水素としては、例えば、C、C、CH、C、1,3−ジメチルアダマンタン、ビシクロ[2.2.1]ヘプタ−2,5−ジエン(2,5−ノルボルナジエン)、アダマンタン(C1016)、ノルボルネン(C10)、及びこれらの組み合わせが含まれる。一例では、Cは、表面移動度の向上を可能にする、より安定した中間種を形成するので好ましい。
[00164]幾つかの実施形態では、炭化水素化合物は、アルカンである(例えば、C2n+2。ここでnは1と20との間である)。適切な炭化水素には、アルカン(例えば、メタン(CH)、エタン(C)、プロパン(C)、ブタン(C10)及びその異性体イソブタン、ペンタン(C12)、ヘキサン(C14)及びその異性体イソペンタンとネオペンタン、ヘキサン(C14)及びその異性体2−メチルペンタン、3−メチルペンタン、2,3−ジメチルブタン、並びに2,2−ジメチルブタン、又はこれらの組み合わせ)が含まれる。
[00165]幾つかの実施形態では、炭化水素は、アルケンである(例えば、C2n。ここで、nは1と20との間である)。適切な炭化水素には、例えば、アルケン類(例えば、アセチレン、エチレン、プロピレン、ブチレン及びその異性体、ペンテン及びその異性体等)、ジエン類(例えば、ブタジエン、イソプレン、ペンタジエン、ヘキサジエン、又はこれらの組み合わせ)が含まれる。さらなる適切な炭化水素には、例えば、ハロゲン化されたアルケン類(例えば、モノフルオロエチレン、ジフルオロエチレン類、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン類、トリクロロエチレン、テトラクロロエチレン、又はこれらの組み合わせ)が含まれる。
[00166]幾つかの実施形態では、炭化水素化合物は、アルキンである(例えば、C−2n+2。ここでnは1と20との間である)。適切な炭化水素には、例えば、アルキン類(例えば、アセチレン(C)、プロピン(C)、ブチレン(C)、ビニルアセチレン、又はこれらの組み合わせ)が含まれる。
[00167]幾つかの実施形態では、炭化水素化合物は、芳香族炭化水素(例えば、ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フラン等)、α−テルピネン、シメン、1,1,3,3−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチルメタアクリレート、及びt−ブチルフルフリルエーテル、式C及びCを有する化合物、ハロゲン化芳香族化合物(モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼン、又はこれらの組み合わせを含む)である。
[00168]幾つかの実施形態では、間隙充填前駆体は、ケイ素含有種を含み得る。適切なケイ素含有種には、炭素の代わりにケイ素を用いて上記で提供された炭化水素材料に類似した種が含まれる。例えば、幾つかの実施形態では、ケイ素含有種は、シラン、高次シラン、シクロシラン、ハロシラン、及びこれらの組み合わせから選択される。
[00169]幾つかの実施形態では、間隙充填前駆体は、1つ以上の希釈ガスをさらに含む。適切な希釈ガス(とりわけ、ヘリウム(He)、アルゴン(Ar)、キセノン(Xe)、水素(H)、窒素(N)、アンモニア(NH)、又はこれらの組み合わせ)が、所望される場合、混合ガスに添加されてもよい。Ar、He、及びNを使用して、間隙充填材の密度及び堆積速度を制御することができる。幾つかの実施形態では、以下で説明するように、間隙充填材の水素比を制御するためにN及び/又はNHの添加を用いてもよい。代替的に、堆積中に希釈ガスが使用されないこともある。
[00170]幾つかの実施形態では、間隙充填前駆体は、1つ以上の窒素含有ガスをさらに含む。適切な窒素含有ガスには、例えば、ピリジン、脂肪族アミン、アミン、ニトリル、アンモニア、及び類似の化合物が含まれる。
[00171]幾つかの実施形態では、間隙充填前駆体は、不活性ガスをさらに含む。幾つかの実施形態では、不活性ガス(例えば、アルゴン(Ar)及び/又はヘリウム(He))が、間隙充填前駆体と共に処理空間726内に供給され得る。間隙充填材の密度及び堆積速度を制御するために、窒素(N)及び一酸化窒素(NO)などの他の不活性ガスをさらに使用してもよい。さらに、間隙充填材の特性を改変させるために、様々な他の処理ガスが、間隙充填前駆体に添加され得る。幾つかの実施形態では、他の処理ガスは、水素(H)、アンモニア(NH)、水素と(H)窒素(N)との混合物、又はこれらの組み合わせなどの反応性ガスであってもよい。堆積された間隙充填材の水素比率(例えば、炭素対水素の比率)を制御するために、H及び/又はNHの添加が用いられてもよい。ダイヤモンド状炭素材料中に存在する水素の比率が、層特性(例えば、反射性)に対する制御をもたらす。
[00172]幾つかの実施形態では、間隙充填前駆体は、エッチャントガスをさらに含む。適切なエッチャントガスは、塩素(Cl)、四フッ化炭素(CF)、三フッ化窒素(NF)、又はこれらの組み合わせを含む。理論に縛られるわけではないが、エッチャントガスは、膜からsp2混成炭素原子を選択的にエッチングすることにより、膜中のsp3混成炭素原子の割合を増加させると想定される。
[00173]幾つかの実施形態では、動作940中に間隙充填材412が基板上に形成された後、間隙充填材412は水素ラジカルに曝露される。幾つかの実施形態では、間隙充填材は、動作940の堆積プロセス中に、水素ラジカルに曝露される。幾つかの実施形態では、水素ラジカルは、RPS内で形成され、処理領域に供給される。理論に縛られるわけではないが、間隙充填材を水素ラジカルに曝露することは、sp2混成炭素原子の選択的エッチングをもたらし、それにより、膜のsp3混成炭素原子分率を増加させると想定される。
[00174]動作950では、間隙充填材412が基板上に形成された後、基板がチャッキング解除される。動作950では、チャッキング電圧はオフにされる。反応性ガスもオフにされ、任意選択的に処理チャンバからパージされる。幾つかの実施形態では、動作950中、RF電力が低減される(例えば、200Wまで低減される)。任意選択的に、コントローラ710は、インピーダンスの変化をモニタリングし、静電荷がRF経路を通って接地に散逸したかどうかを判断する。基板が静電チャックからチャッキング解除されると、残留ガスが処理チャンバからパージされる。処理チャンバはポンプダウンされ、基板は、リフトピンで持ち上げられてチャンバの外に移送される。
[00175]要約すると、本開示の利点のうちの幾つかは、基板フィーチャ内に間隙充填材を堆積させるためのプロセスを提供する。本明細書に記載された幾つかの実施形態では、低いプロセス圧力(mTorr対Torr)及び底部駆動プラズマは、約60%以上の混成sp3原子を有する間隙充填材の製造を可能にする。さらに、本明細書に記載された幾つかの実施形態は、低い基板温度で実行され、これにより、現在のプロセスで可能な温度よりもはるかに低い温度での他の誘電体膜の堆積が可能になり、現状ではCVDで対処できなかった低い熱バジェットによる用途が開かれる。
[00176]本開示の要素、又は、その例示的な態様若しくは実施形態を紹介する場合、冠詞「a」、「an」、「the」、及び「said」は、要素が1つ以上存在することを意味するように意図されている。
[00177]「備える(comprising)」、「含む(including)」、及び「有する(having)」という表現は、包括的であるように意図されており、列挙された要素以外に追加の要素があり得ることを意味する。
[00178]以上の記述は、本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱しない限り、本開示の他の実施形態及びさらなる実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。
[00179]本明細書全体を通じて、「一実施形態」、「特定の実施形態」、「1つ以上の実施形態」、又は「実施形態」に対する言及は、その実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な箇所で登場する「1つ以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」など文言は、必ずしも、本開示の同一の実施形態に言及するものではない。さらに、1つ以上の実施形態において特定の特徴、構造、材料、又は特性を任意の適切な態様で組み合わせてもよい。
[00180]本開示は、特定の実施形態を参照して説明されているが、これらの実施形態は本開示の原理及び用途の例示に過ぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に様々な改変及び変形を行うことができることが、当業者には明らかであろう。ゆえに、本開示は、添付の特許請求の範囲及びその均等物に含まれる修正例及び変形例を含むことが意図されている。

Claims (15)

  1. 間隙充填材堆積方法であって、
    処理チャンバの処理空間の内部で基板表面を有する基板を静電チャック上に位置付けすることであって、前記基板表面が、少なくとも1つのフィーチャを含み、前記少なくとも1つのフィーチャが、前記基板表面から底面まで一定の深さで延在し、前記少なくとも1つのフィーチャが、第1の側壁と第2の側壁によって画定された前記基板表面において開口幅を有する、基板を静電チャック上に位置付けすることと、
    前記基板から上方に離間したガス供給アセンブリから間隙充填材前駆体を前記処理空間内へと流入させることであって、前記処理空間が、約0.5mTorrと約10Torrとの間の圧力で維持される、間隙充填前駆体を前記処理空間内へと流入させることと、
    前記静電チャックに第1のRFバイアスを印加することによって、前記基板の上方の前記処理空間においてプラズマを生成し、前記基板の前記少なくとも1つのフィーチャ内に間隙充填材を堆積することであって、当該間隙充填材が、実質的にボイドを含まない、間隙充填材を堆積すること
    を含む、間隙充填材堆積方法。
  2. 前記間隙充填材前駆体が、炭化水素を含み、前記間隙充填材が、ダイヤモンド状炭素材料を含む、請求項1に記載の方法。
  3. 前記ダイヤモンド状炭素材料が、1.8g/cm3を超える密度、及び約−600MPaから約−300MPaの範囲内の応力を有する、請求項2に記載の方法。
  4. 前記炭化水素が、C、C、CH、C、1,3−ジメチルアダマンタン、ビシクロ[2.2.1]ヘプタ−2,5−ジエン(2,5−ノルボルナジエン)、アダマンタン(C1016)、ノルボルネン(C10)、及びこれらの組み合わせからなる群から選択される、請求項2に記載の方法。
  5. 前記間隙充填材前駆体が、ケイ素含有種を含み、前記間隙充填材が、ケイ素、酸化ケイ素、又は窒化ケイ素のうちの1つ以上を含む、請求項1に記載の方法。
  6. 前記第1のRFバイアスが、約350kHzから約100MHzの周波数で約10ワットから約3000ワットの間の出力で供給される、請求項1に記載の方法。
  7. 前記処理空間においてプラズマを生成することが、前記静電チャックに第2のRFバイアスを印加することをさらに含み、前記第2のRFバイアスが、約350kHzから約100MHzの周波数で約10ワットから約3000ワットの間の出力で供給される、請求項1に記載の方法。
  8. 前記基板が、約10℃から約100℃の温度で維持される、請求項1に記載の方法。
  9. 前記少なくとも1つのフィーチャの前記深さと前記開口幅との比が、およそ10:1以上である、請求項1に記載の方法。
  10. 間隙充填材堆積方法であって、
    処理チャンバの処理空間の内部で基板表面を有する基板を第1の電極の上に位置付けすることであって、前記基板表面が、少なくとも1つフィーチャを含み、前記少なくとも1つのフィーチャが、前記基板表面から底面まで一定の深さで延在し、前記少なくとも1つのフィーチャが、第1の側壁と第2の側壁によって画定された前記基板表面において開口幅を有し、前記処理チャンバが、前記第1の電極及び前記基板の上方に位置づけされた第2の電極をさらに備え、前記第2の電極が、ケイ素含有材料又は炭素含有材料のうちの1つ以上を含む二次的電極エミッション材料を含む表面を有する、基板を第1の電極の上に位置付けすることと、
    間隙充填前駆体を前記処理空間内へと流入させることと、
    前記第1の電極及び前記第2の電極のうちの少なくとも1つに第1のRFバイアスを印加することと、
    前記基板の前記少なくとも1つのフィーチャ内に間隙充填材を形成することであって、当該間隙充填材が、実質的にボイドを含まない、間隙充填材を形成すること
    を含む、間隙充填材堆積方法。
  11. 前記間隙充填前駆体が、C、C、CH、C、1,3−ジメチルアダマンタン、ビシクロ[2.2.1]ヘプタ−2,5−ジエン(2,5−ノルボルナジエン)、アダマンタン(C1016)、ノルボルネン(C10)、及びこれらの組み合わせからなる群から選択された炭化水素を含み、前記間隙充填材が、ダイヤモンド状炭素材料を含む、請求項10に記載の方法。
  12. 前記ダイヤモンド状炭素材料が、1.5g/cmを超える密度、及び約−600MPaから約100MPaの範囲内の応力を有する、請求項11に記載の方法。
  13. 前記間隙充填前駆体が、ケイ素含有種を含み、前記間隙充填材が、ケイ素、酸化ケイ素、又は窒化ケイ素のうちの1つ以上を含む、請求項10に記載の方法。
  14. 前記少なくとも1つのフィーチャの前記深さと前記開口幅との比が、およそ10:1以上である、請求項10に記載の方法。
  15. 基板のフィーチャ内のダイヤモンド状炭素間隙充填材であって、前記間隙充填材が、約1.8g/cmから約2.5g/cmの範囲内の密度、約50%から約90%のsp混成炭素原子、及び100MPa未満の応力を有し、前記フィーチャが、前記基板の表面から底面まで一定の深さで延在し、前記フィーチャが、第1の側壁と第2の側壁によって画定された前記表面において開口幅を有し、前記フィーチャの前記深さと前記開口幅との比が、およそ10:1以上である、ダイヤモンド状炭素間隙充填材。
JP2020570157A 2018-06-19 2019-06-19 高品質間隙充填材の高バイアス堆積 Pending JP2021527958A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862687234P 2018-06-19 2018-06-19
US62/687,234 2018-06-19
PCT/US2019/037888 WO2019246191A1 (en) 2018-06-19 2019-06-19 High bias deposition of high quality gapfill

Publications (1)

Publication Number Publication Date
JP2021527958A true JP2021527958A (ja) 2021-10-14

Family

ID=68840320

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020570157A Pending JP2021527958A (ja) 2018-06-19 2019-06-19 高品質間隙充填材の高バイアス堆積

Country Status (7)

Country Link
US (2) US11062939B2 (ja)
JP (1) JP2021527958A (ja)
KR (2) KR102597532B1 (ja)
CN (1) CN112313362A (ja)
SG (1) SG11202011738VA (ja)
TW (3) TW202403083A (ja)
WO (1) WO2019246191A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023076799A (ja) * 2021-11-23 2023-06-02 燕山大学 導電性高強度ダイヤモンド/非晶質炭素複合材料及びその製造方法

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018226370A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2022045827A (ja) * 2020-09-09 2022-03-22 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の高周波電力印加方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11702738B2 (en) 2021-05-17 2023-07-18 Applied Materials, Inc. Chamber processes for reducing backside particles
KR20240022392A (ko) * 2021-06-23 2024-02-20 램 리써치 코포레이션 라디칼-활성화된 탄소 막 증착
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material
US20230129550A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Carbon gap fill processes

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0870327B1 (en) * 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
WO2000055901A1 (en) * 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
KR20030003907A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 장비의 사용방법
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
JP2013526061A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
JP2016513883A (ja) * 2013-03-15 2016-05-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 極端な共形性を有するカーボンフィルム堆積、背景技術
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023076799A (ja) * 2021-11-23 2023-06-02 燕山大学 導電性高強度ダイヤモンド/非晶質炭素複合材料及びその製造方法
JP7394484B2 (ja) 2021-11-23 2023-12-08 燕山大学 導電性高強度ダイヤモンド/非晶質炭素複合材料及びその製造方法

Also Published As

Publication number Publication date
US20190385907A1 (en) 2019-12-19
TW202229608A (zh) 2022-08-01
SG11202011738VA (en) 2021-01-28
KR20230152840A (ko) 2023-11-03
CN112313362A (zh) 2021-02-02
TW202403083A (zh) 2024-01-16
TWI764008B (zh) 2022-05-11
TWI820667B (zh) 2023-11-01
KR102597532B1 (ko) 2023-11-01
KR20210008932A (ko) 2021-01-25
WO2019246191A1 (en) 2019-12-26
US20210327752A1 (en) 2021-10-21
US11676858B2 (en) 2023-06-13
US11062939B2 (en) 2021-07-13
TW202006170A (zh) 2020-02-01

Similar Documents

Publication Publication Date Title
TWI764008B (zh) 高品質間隙填充的高偏壓沉積
KR102165733B1 (ko) 전자 빔 플라즈마 프로세스에 의해 형성된 다이아몬드상 탄소 층
CN110622280B (zh) 用于硬掩模及其他图案化应用的高密度低温碳膜
US11043375B2 (en) Plasma deposition of carbon hardmask
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US8361906B2 (en) Ultra high selectivity ashable hard mask film
KR101357181B1 (ko) 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
KR20200040331A (ko) 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP6010387B2 (ja) 半導体装置を形成するための方法
KR20200030162A (ko) 박막 증착 방법
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US20140263173A1 (en) Methods for improving etching resistance for an amorphous carbon film
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
JP2023120622A (ja) 成膜方法および成膜装置
JP2023546587A (ja) ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220620

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240116