KR20210008932A - 고품질 갭필의 고 바이어스 증착 - Google Patents

고품질 갭필의 고 바이어스 증착 Download PDF

Info

Publication number
KR20210008932A
KR20210008932A KR1020217001262A KR20217001262A KR20210008932A KR 20210008932 A KR20210008932 A KR 20210008932A KR 1020217001262 A KR1020217001262 A KR 1020217001262A KR 20217001262 A KR20217001262 A KR 20217001262A KR 20210008932 A KR20210008932 A KR 20210008932A
Authority
KR
South Korea
Prior art keywords
gapfill
electrode
substrate
power
mhz
Prior art date
Application number
KR1020217001262A
Other languages
English (en)
Other versions
KR102597532B1 (ko
Inventor
사무엘 이. 고트하임
에스와라난드 벤카타수브라마니안
프라미트 만나
아비지트 바수 말릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237037158A priority Critical patent/KR20230152840A/ko
Publication of KR20210008932A publication Critical patent/KR20210008932A/ko
Application granted granted Critical
Publication of KR102597532B1 publication Critical patent/KR102597532B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에서 설명된 구현들은 높은 갭필의 증착을 위한 기법들을 제공한다. 일부 실시예들은 갭필을 증착하기 위해 화학 기상 증착, 플라즈마 기상 증착, 물리 기상 증착 및 이들의 조합들을 활용한다. 갭필은 고품질이며, 유사하게 구성된 벌크 재료들과 특성들이 유사하다.

Description

고품질 갭필의 고 바이어스 증착
[0001] 본 개시내용은 일반적으로, 박막들을 증착하는 방법들에 관한 것이다. 특히, 본 개시내용은 좁은 트렌치(trench)들을 고품질 유전체 갭 필(gap fill)로 충전(filling)하기 위한 프로세스들에 관한 것이다.
[0002] 반도체 회로 엘리먼트들의 소형화(miniaturization)는, 45 nm, 32 nm, 28 nm 및 심지어 20 nm의 피처(feature) 크기들이 상업적 스케일로 제조되는 수준에 도달했다. 치수들이 계속해서 더 작아짐에 따라, 다양한 재료들로 회로 엘리먼트들 사이의 갭을 충전하는 것과 같은 프로세스 단계들에 대한 새로운 난제들이 발생한다. 엘리먼트들 사이의 폭이 계속해서 줄어듦에 따라, 엘리먼트들 사이의 갭이 대개 더 길어지고(taller) 더 좁아져서(narrower), 갭필 재료가 달라붙어 공극(void)들 또는 약한 시임(seam)들을 생성하지 않고서는 갭을 충전하기가 더 어려워진다. 종래의 CVD(chemical vapor deposition) 기법들은 대개, 갭이 완전히 충전되기 전에 갭의 최상부에서 재료의 과성장(overgrowth)을 겪는다. 이는, 과성장에 의해 증착 재료가 너무 이르게 컷오프(cut off)되는 갭에서 공극 또는 시임을 생성할 수 있으며; 문제는 때때로 브레드로핑(breadloafing)으로 지칭된다.
[0003] 브레드로핑 문제에 대한 하나의 해결책은, 초기-유동성 막을 형성하기 위해, 무-플라즈마 기판 프로세싱 구역에서 조합되는 플라즈마-여기된 전구체와 갭필 전구체를 사용하는 것이었다. 증착 직후(as-deposited)의 유동성은 이러한 화학 증착 기법을 사용하여 막이 시임 또는 공극 없이 갭들을 충전하는 것을 가능하게 한다. 그러한 화학 기상 증착은 SOG(spin-on glass) 또는 SOD(spin-on dielectric) 프로세스들보다 더 양호한 갭필 특성들을 생성하는 것으로 밝혀졌다. CVD에 의해 증착된 유동성 막들의 증착은 브레드로핑 문제들이 더 적지만, 그러한 기법들은 여전히 일부 부류들의 재료에 대해서는 이용가능하지 않다.
[0004] 유동성 CVD 기법들은, 다른 갭필 재료들로 길고 좁은(즉, 고종횡비(high-aspect ratio)) 갭들을 충전하는 데 있어서 중대한 돌파구를 제시하지만, 고품질 유전체 재료들로 그러한 갭들을 시임이 없게(seamlessly) 충전할 수 있는 기법들이 여전히 필요하다. 예컨대, 이전의 유동성 탄소계 갭필 막들은 상당한 양의 산소와 실리콘을 포함하였다. 이러한 엘리먼트들은 탄소계 갭필 막들의 특성들을 상당히 변경시킨다.
[0005] 따라서, 고품질 갭필 막들을 증착하기 위한 전구체들 및 방법들이 필요하다.
[0006] 본 개시내용의 하나 이상의 실시예들은, 프로세싱 챔버의 프로세싱 볼륨 내의 정전 척 상에 기판을 포지셔닝하는 단계를 포함하는 갭필 증착 방법에 관한 것이다. 기판은 기판 표면을 갖고, 기판 표면 내에는 적어도 하나의 피처(feature)가 포함된다. 적어도 하나의 피처는 기판 표면으로부터 최하부 표면까지의 깊이로 연장된다. 적어도 하나의 피처는 기판 표면에서 제1 측벽과 제2 측벽에 의해 정의된 개구 폭을 갖는다. 프로세싱 볼륨이 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지되는 동안, 갭필 전구체가 정전 척 위에 이격된 가스 분배 어셈블리로부터 프로세싱 볼륨 내로 유동된다. 기판의 적어도 하나의 피처 내에 갭필을 증착하기 위해 제1 RF 바이어스를 정전 척에 인가함으로써, 프로세싱 볼륨 내에서 기판 위에 플라즈마가 생성된다. 갭필은 실질적으로 어떤 공극들도 포함하지 않는다.
[0007] 본 개시내용의 추가적인 실시예들은, 프로세싱 챔버의 프로세싱 볼륨 내의 제1 전극 위에 기판을 포지셔닝하는 단계를 포함하는 갭필 증착 방법에 관한 것이다. 기판은 기판 표면을 갖고, 기판 표면 내에는 적어도 하나의 피처가 포함된다. 적어도 하나의 피처는 기판 표면으로부터 최하부 표면까지의 깊이로 연장된다. 적어도 하나의 피처는 기판 표면에서 제1 측벽과 제2 측벽에 의해 정의된 개구 폭을 갖는다. 프로세싱 챔버는, 제1 전극 및 기판 위에 포지셔닝된 제2 전극을 더 포함한다. 제2 전극은 실리콘-함유 재료 또는 탄소-함유 재료 중 하나 이상을 포함하는 2차 전극 방출 재료를 포함하는 표면을 갖는다. 갭필 전구체가 프로세싱 볼륨 내로 유동된다. 제1 RF 전력이 제1 전극 및 제2 전극 중 적어도 하나에 인가된다. 기판의 적어도 하나의 피처 내에 갭필이 형성된다. 갭필은 실질적으로 어떤 공극들도 포함하지 않는다.
[0008] 본 개시내용의 추가의 실시예들은 기판의 피처 내의 다이아몬드형 탄소 갭필에 관한 것이다. 갭필은 약 1.8 g/cm3 내지 약 2.5 g/cm3의 범위의 밀도, 약 50% 내지 약 90%의 sp3 혼성화 탄소 원자들, 및 100 MPa 미만의 응력을 갖는다. 피처는 기판의 표면으로부터 최하부 표면까지의 깊이로 연장된다. 피처는 표면에서 제1 측벽과 제2 측벽에 의해 정의되는 개구 폭을 갖는다. 피처는 약 10:1 이상의, 깊이 대 개구 폭의 비를 갖는다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은, 전자 빔 플라즈마 기법이 본 개시내용의 일부 실시예들을 실시하는 데 사용될 수 있는 증착 장치의 일 예의 개략적인 예시를 도시하고;
[0011] 도 2는, 전자 빔 플라즈마 기법이 본 개시내용의 일부 실시예들을 실시하는 데 사용될 수 있는 증착 장치의 다른 예의 개략적인 예시를 도시하고;
[0012] 도 3은 본 개시내용의 일부 실시예들에 따른, 갭필을 형성하기 위한 방법의 프로세스 흐름도를 도시하고;
[0013] 도 4a-도 4b는 본 개시내용의 일부 실시예들에 따른, 갭필을 형성하기 위한 방법의 프로세스 흐름도를 도시하고;
[0014] 도 5a 및 도 5b는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 전 및 후의 기판의 단면을 도시하고;
[0015] 도 6a는 본 개시내용의 일부 실시예들을 실시하기 위해 사용될 수 있는 증착 시스템의 개략적인 단면도를 도시하고;
[0016] 도 6b는 본 개시내용의 일부 실시예들을 실시하기 위해 사용될 수 있는 다른 증착 시스템의 개략적인 단면도를 도시하고;
[0017] 도 7은 본 개시내용의 일부 실시예들을 실시하기 위해 도 6a 또는 도 6b의 장치에서 사용될 수 있는 정전 척의 개략적인 단면도를 도시하고; 그리고
[0018] 도 8은 본 개시내용의 하나 이상의 실시예들에 따라 기판의 피처에 고품질 갭필을 형성하기 위한 방법의 흐름도를 도시한다.
[0019] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 피처들이 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
[0020] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 상호교환가능하게 사용되고, 이들 둘 모두는 프로세스가 작용하는 표면 또는 표면의 일부를 지칭한다. 또한, 문맥이 명백히 달리 표시하지 않는 한, 기판에 대한 언급이 또한, 기판의 일부만을 지칭할 수 있다는 것이 당업자들에 의해 이해될 것이다. 추가적으로, 기판 상의 증착에 대한 언급은, 베어 기판(bare substrate), 및 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0021] 본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 막 프로세싱이 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 옥사이드, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 실리콘 나이트라이드, 도핑된 실리콘, 게르마늄, 갈륨 비소(gallium arsenide), 유리, 사파이어와 같은 재료들, 및 임의의 다른 재료들, 이를테면, 금속들, 금속 나이트라이드들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화(또는 다르게는, 화학적 작용성을 부여하기 위해, 목표 화학적 모이어티(chemical moiety)들을 생성 또는 그래프팅(graft)), 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면 상에서 직접적으로 막 프로세싱을 하는 것에 추가하여, 개시되는 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 아래에서 더 상세하게 개시되는 바와 같이, 기판 상에 형성된 하부층 상에서 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 표시하는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다. 주어진 기판 표면이 포함하는 것은, 사용되는 특정 케미스트리뿐만 아니라 어떤 막들이 증착될지에 따라 좌우될 것이다.
[0022] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물" 등의 용어들은, 기판 표면과 반응성인 종(species)을 포함하는 가스를 의미하기 위해, 상호교환가능하게 사용된다. 예컨대, 제1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제2 반응성 가스와의 추가의 화학 반응을 위해 이용가능할 수 있다.
[0023] 본원에서 사용되는 바와 같은 "약"이라는 용어는 대략 또는 거의를 의미하고, 기술되는 수치 값 또는 범위와 관련하여 수치 값의 ±15% 이하의 편차를 의미한다. 예컨대, ±14%, ±10%, ±5%, ±2%, 또는 ±1%만큼 상이한 값이 약의 정의를 충족할 것이다.
[0024] 다음의 개시내용은 기판의 피처에 고품질 갭필을 증착하기 위한 기법들을 설명한다. 본 개시내용의 다양한 실시예들의 철저한 이해를 제공하기 위해, 다음의 설명 및 도 1 내지 도 8에서는 특정의 세부사항들이 기술된다. 다양한 실시예들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해, 대개 플라즈마 프로세싱 및 갭필 증착과 연관된 잘-알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은 다음의 개시내용에서 기술되지 않는다.
[0025] 도면들에 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 단지 특정 실시예들의 예시일 뿐이다. 따라서, 다른 실시예들은 본 개시내용의 사상 또는 범위를 벗어나지 않으면서 다른 세부사항들, 컴포넌트들, 치수들, 각도들 및 특징들을 가질 수 있다. 게다가, 본 개시내용의 추가의 실시예들은 아래에서 설명되는 세부사항들 중 몇몇 세부사항들 없이 실시될 수 있다.
[0026] 본원에서 설명된 실시예들은, 임의의 적절한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 아래에서 설명될 것이다. 적절한 시스템들의 예들은, Mesa™ 프로세싱 챔버, Sym3® 프로세싱 챔버, PRODUCER® SE™ 시스템들, PRODUCER® XP Precision™ 시스템들, PRODUCER® GT™ 시스템들, PRODUCER® 시스템들, PRECISION 5000® 시스템들, 및 DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들을 포함하며, 그 모두는 미국 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. PECVD 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명된 실시예들로부터 이익을 얻도록 구성될 수 있다. 게다가, 본원에서 설명된 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에서 설명된 장치 설명은 예시적인 것이며, 본 개시내용 범위를 제한하는 것으로 이해되거나 해석되어서는 안 된다.
[0027] 본원에서 설명된 갭필은 또한, 세라믹 벽에 의해 둘러싸인 다중 주파수 CCP(capacitively coupled plasma) 구성을 갖는 프로세싱 챔버에서 증착될 수 있다. 세라믹 벽 외측에는, 플라즈마를 유도성으로 또한 향상시킬 수 있는 유도 결합 코일이 있다. 프로세싱 챔버는 하나 이상의 RF 생성기들에 의해 전력이 공급되는 최상부 전극을 갖는다. 최상부 전극은 또한, 가스 분배 샤워헤드로 기능할 수 있다. 공급 원료 가스(feedstock gas)들은 또한, 막 균일성의 조절을 위해 옆에서 프로세싱 챔버 내로 주입될 수 있다. 프로세싱 챔버는, 웨이퍼가 포지셔닝되는 최하부 전극을 더 포함한다. 최하부 전극에는 또한, RF 생성기들에 의해 전력이 공급되며, 구동 주파수는 선택된 증착 방식에 따라 좌우된다.
[0028] 일부 실시예들에서, 갭필 전구체는 샤워헤드 전극 또는 측벽 주입을 통해 프로세싱 챔버 내로 도입된다. 프로세싱 챔버는 전형적으로, 최상부 전극(예컨대, 샤워헤드 전극), 최하부 전극(예컨대, 페디스털), 및 프로세싱 챔버의 일부를 둘러싸는 ICP 코일을 포함한다. 갭필 전구체는 플라즈마 밀도를 증가시키기 위해 불활성 가스, 이를테면, Ar 또는 He로 희석될 수 있다. 플라즈마에 충돌하기 전에, 진공 펌프 위의 게이트 밸브에 의해 프로세싱 챔버에서 안정적인 동작 압력(예컨대, 약 0.1 mTorr 내지 수 Torr)이 설정될 수 있다. RF 전력은 최상부 전극, 최하부 전극, 및 ICP 코일 중 적어도 하나에 인가된다. 전력 방식에 따라, 최상부 전극, 최하부 전극, 및 ICP 코일에 동시에 전력이 공급되거나, 그 3개 중 2개에 동시에 전력이 공급될 수 있다. 인가되는 RF 주파수는 수백 kHz 내지 수십 MHz의 범위이다. 기판에 입사되는 이온 플럭스들 및 에너지를 최적화하기 위해, 다수의 주파수들이 또한 최상부 전극 또는 최하부 전극에 인가될 수 있다. 원하는 증착 시간 후에, 전력이 턴-오프되고 증착이 종료된다.
[0029] 최상부 전극, 최하부 전극 및 ICP 코일에 대한 전력 방식은 다음의 전력 방식들 중 임의의 전력 방식일 수 있다. 일부 실시예들에서, 최상부 전극에만 전력이 공급된다. 최상부 전극은 동시에 다수의 주파수들(예컨대, 약 2 MHz 및 약 40 MHz)로 구동될 수 있다. 일부 실시예들에서, 최상부 전극 및 ICP에 전력이 공급된다. ICP는, k-값을 더 낮추거나, 증착 속도를 증가시키거나, 또는 균일성을 조정하기 위한 수단으로서 사용될 수 있다. 일부 실시예들에서, 최하부 전극에만 전력이 공급된다. 최하부 전극은 이 구성에서 고주파수(예컨대, 13 MHz보다 더 높은 주파수, 예컨대 60 MHz)로 구동되거나 또는 고주파수 및 저주파수로 구동된다. 일부 실시예들에서, 최하부 전극 및 최상부 전극에 동시에 전력이 공급된다. 일부 실시예들에서, 하부 전극 및 ICP에 동시에 전력이 공급된다.
[0030] 일부 실시예들에서, 최상부 전극은 높은 2차 전자 방출 재료, 이를테면, 실리콘 또는 탄소로 제조된 전극 표면을 갖는다. 최상부 전극이 이온들로 타격될 때, 이온 타격으로 인해 방출되는 2차 전자들이 플라즈마 시스에 의해 가속되어 고에너지를 획득한다. 그러한 에너제틱(energetic) 2차 전자들은 전자 빔들처럼 하향으로 발사되며, 탄화수소 분자들의 이온화 및 해리에 매우 효율적이다. 충돌 확률을 높이기 위해, 최상부 전극과 최하부 전극 사이의 갭들이 증가될 수 있다.
[0031] 현재의 갭필 애플리케이션들은 대체로, 유동성 막들을 사용하며, 그 유동성 막들은 본질적으로 비정질이지만, 그 특성들이 유사한 벌크(bulk) 막들과는 상이하다. 많은 경우들에서, 유동성 갭필들의 특성들보다 벌크 막 특성들이 선호된다. 따라서, 갭필, 구체적으로는 벌크 재료들과 유사한 특성들을 갖는 탄소(다이아몬드형) 막들 및 다른 유전체 재료들(예컨대, Si, SiN, SiO)이 필요하다. 다이아몬드형 탄소 재료들의 경우, 갭필에서 요구되는 벌크 특성들은 높은 밀도 및 모듈러스(예컨대, 더 높은 sp3 함량, 보다 더 다이아몬드형) 및 낮은 응력(예컨대, -500 MPa 미만)을 포함한다(그러나 이에 제한되지 않음).
[0032] 본원에서 설명된 일부 실시예들은, 높은 밀도(예컨대, 1.8 g/cc 초과), 높은 모듈러스(예컨대, 150 GPa 초과) 및 낮은 응력(예컨대, -500 MPa 미만)을 갖는 탄소 갭필을 제조하는 방법들을 제공한다. 본원에서 설명된 일부 실시예들에 따라 생성된 탄소 갭필들은 낮은 응력을 가질 뿐만 아니라 높은 sp3 탄소 함량을 갖는다.
[0033] 일부 실시예들에서, 본원에서 설명된 갭필 재료는 갭필 전구체를 사용하여 화학 기상 증착(플라즈마 강화 및/또는 열적) 프로세스들에 의해 형성될 수 있다. 일부 실시예들에서, 갭필 전구체는 탄화수소를 포함하고, 갭필은 다이아몬드형 탄소 재료를 포함한다. 일부 실시예들에서, 탄화수소는, C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나디엔), 아다만틴(C10H16), 노르보넨(C7H10), 또는 이들의 조합들로 이루어진 그룹으로부터 선택된다. 일부 실시예들에서, 갭필 전구체는 실리콘-함유 종을 포함하고, 갭필은 유전체 재료를 포함한다. 일부 실시예들에서, 유전체 재료는, 실리콘, 실리콘 옥사이드 또는 실리콘 나이트라이드 중 하나 이상을 포함한다.
[0034] 갭필 증착 프로세스는 -50℃ 내지 600℃의 범위의 온도들에서 수행될 수 있다. 갭필 증착 프로세스는 프로세싱 볼륨에서 0.1 mTorr 내지 10 Torr의 범위의 압력들에서 수행될 수 있다. 갭필 전구체는, He, Ar, Xe, N2, H2 중 임의의 하나, 또는 He, Ar, Xe, N2, H2 중 임의의 것들의 조합을 더 포함할 수 있다.
[0035] 일부 실시예들에서, 갭필 전구체는 막 품질을 개선하기 위해 에천트 가스들, 이를테면, Cl2, CF4, NF3을 더 포함할 수 있다. 플라즈마(예컨대, 용량성-결합 플라즈마)는 최상부 및 최하부 전극들 또는 측면 전극들로부터 형성될 수 있다. 전극들은 단일 전력 공급 전극, 이중 전력 공급 전극들, 또는 더 많은 전극들로 형성될 수 있고, 다수의 주파수들, 이를테면, 350 kHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 및 100 MHz(그러나 이에 제한되지 않음)이 본원에서 열거된 반응 가스들 중 임의의 또는 모든 반응 가스들과 함께 CVD 시스템에서 대안적으로 또는 동시에 사용되어, 기판의 피처에 갭필 재료를 증착할 수 있다.
[0036] 일부 실시예들에서, 수소 라디칼들이 RPS를 통해 공급되고, 이는 sp2 혼성화 탄소 원자들의 선택적 에칭을 유도하여 갭필의 sp3 혼성화 탄소 원자 분율(fraction)을 추가로 증가시킨다.
[0037] 도 1은, 전자 빔 플라즈마 기법들이 본 개시내용의 일부 실시예들을 실시하는 데 사용될 수 있는 프로세싱 챔버(100)의 일 예의 개략적인 예시를 도시한다. 전자 빔 플라즈마 챔버는, 원통형 형상의 측벽(102)을 포함하는 프로세싱 챔버(100)를 정의하는 진공 챔버 바디를 갖는다. 프로세싱 챔버(100)는 그리드 필터(grid filter)(104)에 의해 상부 챔버(100a)와 하부 챔버(100b)로 분할된다. 하부 챔버(100b)는, 인가되는 바이어스 전압이 없을 때 내부에서의 실질적인 전기장의 결여로 인해 드리프트 공간(drift space)이다. 천장(106)은 상부 챔버(100a) 위에 놓이고 전극(108)을 지지한다. 일부 실시예들에서, 전극(108)은, 프로세스 호환가능 재료, 이를테면, 실리콘, 탄소, 실리콘 탄소 화합물, 또는 실리콘-옥사이드 화합물로 형성된다. 일부 실시예들에서, 전극(108)은, 금속 옥사이드, 이를테면, 알루미늄 옥사이드, 이트륨 옥사이드, 또는 지르코늄 옥사이드로 형성된다. 천장(106) 및 전극(108)은 디스크-형상일 수 있다. 전극(108)의 최하부 표면은 그리드 필터(104)를 향하고 상부 챔버(100a)의 내부에 노출된다. 일부 실시예들에서, 절연체 또는 유전체 링(109)이 전극(108)을 둘러싼다.
[0038] 특정 실시예에서, 전극(108)은 갭필 증착 프로세스 동안 탄소 소스들을 제공하는 것을 도울 수 있는 탄소-함유 재료로 형성된다. 따라서, 전극(108)은, 다수의 갭필 증착 프로세스들이 수행된 후에 소모될 수 있다. 전극(108)으로부터 방출된(dislodged) 재료는, 전극(108)으로부터의, 타격을 받은 재료들의 소모에 의해 높은 막 밀도를 갖는 탄소 갭필 층을 형성하는 것을 도울 수 있다. 따라서, 프로세스 신뢰성 및 반복성을 보장하기 위해, 전극(108)의 주기적인 교체가 수행될 수 있다.
[0039] 일부 실시예들에서, 전극(108)은 프로세싱 챔버(100) 내로 프로세스 가스들을 전달하기 위한 샤워헤드 어셈블리로서 기능한다. 일부 실시예들에서, 전극(108)은 프로세싱 챔버(100) 내로 프로세스 가스들을 전달하기 위한 복수의 개구들(108-1)을 갖는다. 가스는 밸브들(도시되지 않음)의 어레이를 통해 프로세스 가스 공급부들(114)의 어레이로부터 공급된다.
[0040] 하부 챔버(100b)에서 워크피스, 예컨대 기판(111)을 지지하기 위한 워크피스 지지 페디스털(110)은 그리드 필터(104)를 향하는 워크피스 지지 표면(110a)을 갖고, 리프트 서보(lift servo)(112)에 의해 축 방향으로 이동가능할 수 있다. 일부 실시예들에서, 워크피스 지지 페디스털(110)은 워크피스 지지 표면(110a)을 형성하는 절연 퍽(insulating puck)(195), 절연 퍽(195) 내측의 워크피스 전극(196), 및 워크피스 전극(196)에 연결된 척킹 전압 공급부(199)를 포함한다. 추가적으로, 절연 퍽(195) 아래에 놓여 있는 베이스 층(194)은 순환 공급부(198)로부터의 열적 매질(예컨대, 액체)을 순환시키기 위한 내부 통로들(107)을 갖는다. 순환 공급부(198)는 히트 싱크 또는 히트 소스로서 기능할 수 있다.
[0041] VHF 주파수(예컨대, 160 MHz)를 갖는 RF 소스 전력 생성기(120), 및 VHF 범위 미만 또는 HF 범위 미만의 (예컨대, MF 또는 LF 범위 내의, 예컨대 2 MHz의) 주파수를 갖는 하위 주파수 RF 소스 전력 생성기(122)가 임피던스 정합부(match)(124)를 지나 RF 피드 전도체(feed conductor)(123)를 통해 전극(108)에 커플링된다. RF 소스 전력 생성기들(120, 122)은 전형적으로, 약 0.4 kHz 내지 약 300 MHz의 주파수 및 약 0 와트 내지 약 10,000 와트의 전력을 갖는 RF 신호를 생성할 수 있다. 일부 실시예들에서, 임피던스 정합부(124)는 RF 소스 전력 생성기들(120 및 122)의 상이한 주파수들에서의 임피던스 정합뿐만 아니라, 전력 생성기들을 서로 격리시키기 위한 필터링을 제공하도록 구성된다. RF 소스 전력 생성기들(120, 122)의 출력 전력 레벨들은 제어기(126)에 의해 독립적으로 제어된다. 아래에서 상세히 설명될 바와 같이, RF 소스 전력 생성기들(120, 122)로부터의 전력은 전극(108)에 커플링된다. 일부 실시예들에서, 천장(106)은 전기 전도성이고 전극(108)과 전기적으로 접촉하고, 임피던스 정합부(124)로부터의 전력은 천장(106)을 통해 전극(108)으로 전도된다.
[0042] 일부 실시예들에서, 측벽(102)은 금속으로 형성되고, 접지된다. 일부 실시예들에서, 상부 챔버(100a) 내측의 접지된 내부 표면들의 표면적은 전극(108)의 표면적의 적어도 2배이다. 일부 실시예들에서, 프로세싱 챔버(100) 내측의 접지된 내부 표면들은, 프로세스 호환가능 재료, 이를테면, 실리콘, 탄소, 실리콘 탄소 화합물 또는 실리콘-옥사이드 화합물로 코팅될 수 있다. 대안적인 실시예에서, 프로세싱 챔버(100) 내측의 접지된 내부 표면들은, 알루미늄 옥사이드, 이트륨 옥사이드, 또는 지르코늄 옥사이드와 같은 재료로 코팅될 수 있다.
[0043] 일부 실시예들에서, RF 소스 전력 생성기(120)는 개별적으로 제어되는 2개의 VHF 전력 생성기들(120a 및 120b)로 대체될 수 있다. VHF 전력 생성기(120a)는 VHF 대역의 하위 부분의 출력 주파수(예컨대, 30 MHz 내지 150 MHz)를 갖는 한편, VHF 전력 생성기(120b)는 VHF 대역의 상위 부분의 출력 주파수(예컨대, 150 MHz 내지 300 MHz)를 갖는다. 제어기(126)는 VHF 전력 생성기들(120a 및 120b)의 출력 전력 레벨들 사이의 비(ratio)를 선택함으로써 플라즈마 이온 밀도를 관리할 수 있다. 2개의 VHF 전력 생성기들(120a 및 120b)을 이용하여, 하위 VHF 주파수 그 자체만으로 상부 챔버(100a)에서 플라즈마 이온 밀도의 에지-높은 방사상 분포를 생성하고 상위 VHF 주파수 그 자체만으로 플라즈마 이온 밀도의 중심-높은 방사상 분포를 생성하도록, 상부 챔버(100a)의 갭(전극(108)과 그리드 필터(104) 사이의 거리)을 선택함으로써, 상부 챔버(100a)의 방사상 플라즈마 균일성이 제어될 수 있다. 그런 다음, 그러한 선택에 의해, 2개의 VHF 전력 생성기들(120a, 120b)의 전력 레벨들은 플라즈마 이온 밀도의 방사상 분포의 균일성이 최적화되는 비로 설정된다.
[0044] 일부 실시예들에서, 천장(106)은 전극(108)을 위한 지지부이고, 전극(108)을 향하는 척킹 전극(152)을 포함하는 절연 층(150)을 포함한다. DC 척킹 전압 공급부(154)는 천장(106)에 전극(108)을 정전기적으로 클램핑하기 위해 피드 전도체(155)를 통해 척킹 전극(152)에 커플링된다. DC 차단 커패시터(156)가 임피던스 정합부(124)의 출력과 직렬로 연결될 수 있다. 제어기(126)는 DC 척킹 전압 공급부(154)를 제어할 수 있다. 일부 실시예들에서, 임피던스 정합부(124)로부터의 RF 피드 전도체(123)는 전극(108)에 직접적으로 연결되기보다는 전극 지지부 또는 천장(106)에 연결될 수 있다. 그러한 실시예에서, RF 피드 전도체(123)로부터의 RF 전력은 전극 지지부로부터 전극(108)으로 용량성 결합될 수 있다. 일부 실시예들에서, 상부 가스 인젝터들(130)은 제1 밸브(132)를 통해 상부 챔버(100a) 내로 프로세스 가스를 제공한다. 일부 실시예들에서, 하부 가스 인젝터들(134)은 제2 밸브(136)를 통해 하부 챔버(100b) 내로 프로세스 가스를 제공한다. 가스는, 예컨대 제1 및 제2 밸브들(132 및 136)을 포함할 수 있는 밸브들(140)의 어레이를 통해 프로세스 가스 공급부들(138)의 어레이로부터 공급된다. 일부 실시예들에서, 상부 및 하부 챔버들(100a, 100b) 내로의 가스 종 및 가스 유량들은 독립적으로 제어가능하다. 제어기(126)는 밸브들(140)의 어레이를 관리할 수 있다. 일부 실시예들에서, 불활성 가스가 상부 챔버(100a) 내로 공급되고, 프로세스 가스가 하부 챔버(100b) 내로 공급된다. 불활성 가스 유량은 하부 챔버(100b)로부터 상부 챔버(100a) 내로의 가스들의 대류 또는 확산을 실질적으로 방지하도록 선택되어, 상부 챔버(100a)의 실질적인 화학적 분리를 제공할 수 있다.
[0045] 일부 실시예들에서, 플라즈마는, 최상부 전자-방출 전극(108)의 내부 표면의 에너제틱 이온 타격을 포함하는 다양한 벌크 및 표면 프로세스들에 의해 상부 챔버(100a)에서 생성될 수 있다. 전극(108)의 이온 타격 에너지 및 플라즈마 밀도는 RF 소스 전력 생성기들(120 및 122) 둘 모두의 함수들이다. 전극(108)의 이온 타격 에너지는 RF 소스 전력 생성기(122)로부터의 하위 주파수 전력에 의해 실질적으로 제어될 수 있으며, 상부 챔버(100a) 내의 플라즈마 밀도는 RF 소스 전력 생성기(120)로부터의 VHF 전력에 의해 실질적으로 제어될(향상될) 수 있다. 에너제틱 2차 전자들은 전극(108)의 내부 표면으로부터 방출될 수 있다. 방출 표면으로부터의 에너제틱 전자들의 플럭스는 전자 빔을 포함할 수 있고, 그리고 전극(108)의 내부 표면에 실질적으로 수직인 방향, 및 전형적으로는 약 10 eV 내지 5000 eV의 범위, 이를테면, 적어도 100 eV 초과일 수 있는, 전극(108)의 대략적인 이온 타격 에너지의 빔 에너지를 가질 수 있다. 상이한 프로세스들에 대한 충돌 단면적(collision crosssection)들은 전자 에너지에 따라 좌우된다. 저에너지들에서, 여기(excitation)(그리고 분자 가스들에서의 해리)에 대한 단면적들은 이온화에 대한 단면적들보다 더 크지만, 고에너지들에서는 그 반대이다. RF 전력 레벨(들)은 다양한 비탄성 전자 충돌 프로세스들을 목표로 하도록 유리하게 선택될 수 있다.
[0046] 일부 실시예들에서, 측벽(102)의 측면 윈도우(170)는 상부 챔버(100a)를 향하고, RF 전력이 유도 결합될 수 있게 하는 재료(예컨대, 석영 또는 알루미늄 옥사이드)로 형성된다. 유도 코일 안테나(172)가 측면 윈도우(170)를 둘러싸고, 그리고 임피던스 정합부(176)를 통해 선택적 RF 소스 전력 생성기(174)에 의해 구동된다. 유도 코일 안테나(172)에 적용될 RF 소스 전력 생성기(174)는 약 200 와트(W) 내지 약 10 킬로와트로 제어된다. 유도 코일 안테나(172)에 인가되는 유도 결합 전력의 주파수는 2 MHz 내지 약 13 MHz일 수 있다. 원격 플라즈마 소스(197)가 하부 챔버(100b) 내로 플라즈마 종을 도입할 수 있다. RF 소스 전력 생성기(174) 및 유도 코일 안테나(172)를 갖는 실시예에서, 상부 챔버(100a) 내의 플라즈마 밀도는 RF 소스 전력 생성기(174)로부터의 RF 전력에 의해 실질적으로 제어될(향상될) 수 있다. 일 예에서, RF 소스 전력 생성기(174) 및 유도 코일 안테나(172)는 전극(108)으로부터 재료들을 스퍼터링하기 위해 타격 전력을 제공하는 것을 도울 수 있으며, 그에 따라, 워크피스 지지 페디스털(110) 상에 배치된 기판(111)의 표면 상으로 재료들을 수용(lodging)하는 것을 도울 수 있다.
[0047] 일부 실시예들에서, 그리드 필터(104)는 평평한 디스크 형상이고 측벽(102)과 동축일 수 있다. 그리드 필터(104)는 복수의 개구들(104-1)의 어레이로 형성된다. 일부 실시예들에서, 그리드 필터(104)의 축방향 두께(T) 및 복수의 개구들(104-1)의 직경 "d"는, 그리드 필터(104)를 통한 비-빔(non-beam)(저에너지) 전자들 및 플라즈마 이온들의 흐름을 방해하면서 에너제틱 지향성 빔 전자(energetic directed beam electron)들의, 그리드 필터(104)를 통한 흐름을 촉진하도록 선택되고, 그리드 필터 홀 면적 대 전체 그리드 필터 면적의 비는 최대화될 수 있다. 에너제틱 전자 플럭스(전자 빔)는 그리드 필터(104)를 통해 하부 챔버(100b)로 통과할 수 있고 하부 챔버(100b)에서 다양한 전자 충돌 프로세스들에 의해 플라즈마를 생성할 수 있다.
[0048] 하부 챔버(100b)에서 전자 빔에 의해 생성된 플라즈마는 상부 챔버(100a)의 플라즈마와 상이한 특징들을 가질 수 있다. 그리드 필터(104)는 상부 및 하부 챔버들(100a, 100b)을 서로 실질적으로 전기적으로 격리시키는 필터로서 기능할 수 있다. 일부 실시예들에서, 그리드 필터(104)는 전도성 또는 반전도성(semiconductive) 재료로 형성되고, 접지에 연결될 수 있거나 전기적으로 플로팅될 수 있다. 일부 실시예들에서, 그리드 필터(104)는 비-전도성 재료로 형성된다. 일부 실시예들에서, 그리드 필터(104)는, 프로세스 호환가능 재료, 이를테면, 실리콘, 탄소, 실리콘 탄소 화합물 또는 실리콘-옥사이드 화합물로 코팅될 수 있다. 일부 실시예들에서, 그리드 필터(104)는 알루미늄 옥사이드, 이트륨 옥사이드, 또는 지르코늄 옥사이드와 같은 재료로 코팅될 수 있다. 일부 실시예들에서, 상부 챔버(100a)에서 생성된 플라즈마는 높은 전자 밀도 및/또는 높은 전자 온도를 가질 수 있고, 전극(108)에 충돌하는 고-에너지 이온들을 가질 수 있다.
[0049] 전극 표면의 에너제틱 이온 타격으로 인해 전극(108)으로부터 방출되는 2차 전자 플럭스로 구성된, 전자 빔의 적어도 일부는 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되어, 빔 에너지 및 플럭스뿐만 아니라 다른 요인들, 이를테면, 압력 및 가스 조성에 따라 좌우되는 플라즈마 밀도로, 저온 전자 플라즈마(low electron temperature plasma)를 하부 챔버(100b) 내에서 생성한다. 에너제틱 빔 전자들은 하부 챔버(100b)의 플라즈마 구역을 떠날 때 기판(111) 또는 워크피스 지지 페디스털(110)에 충돌할 수 있다. 남겨진 플라즈마는 전자 빔 플럭스에 의해 야기된 임의의 결과적인 표면 전하를 용이하게 방출(discharge)할 수 있다.
[0050] 더 높은 전자 빔 플럭스 또는 더 높은 전자 빔 밀도가 요구되는 일부 실시예들에서, 도 2에 도시된 바와 같이 그리드 필터(104)가 제거되거나 제외되어(eliminated), 전극(108)으로부터 방출된 2차 전자 빔 플럭스가 더 빠른 레이트로 기판(111) 또는 워크피스 지지 페디스털(110)에 도달하는 것을 돕는다. 대안적으로, 그리드 필터(104)는 임의의 프로세스 우려들 및 요건들 때문에 도 2에 도시된 바와 같이 프로세싱 챔버(100)에서 제거되거나 제외될 수 있다.
[0051] 일부 실시예들에서, 음전성(electronegative) 또는 전자 부착 가스, 이를테면, 염소가 챔버 내로 제공되고, RF 및/또는 VHF 전력이 전극(108)에 인가되고, RF 전력이 선택적으로 유도 코일 안테나(172)에 인가되고, RPS(remote plasma source) 전력이 선택적으로 RPS(remote plasma source)(197)에 인가되고, 플라즈마가 상부 챔버(100a)에서 생성되고, 접지 및 플라즈마에 대해 가속 전압이 전극(108) 상에서 발현된다(developed). 전극(108)의 결과적인 에너제틱 이온 타격은 전극 표면으로부터의 2차 전자 방출을 생성하며, 이는 전극 표면으로부터의 전자 빔 플럭스를 구성한다. 그리드 필터(104)는 전자 빔의 적어도 일부가 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되는 것을 가능하게 하는 한편, 비-빔 전자들 및 플라즈마 이온들의 적어도 일부가 그리드 필터(104)를 통과하는 것을 방지하여, 하부 챔버(100b)에서 저온 전자 플라즈마를 생성한다. 하부 챔버(100b)에서 음전성 가스, 이를테면, 염소에서의 결과적인 저온 전자 플라즈마는, 음이온 밀도들이 전자 밀도들보다 훨씬 더 높고 양이온들의 밀도들에 필적하는(approaching) 높은 음전성 플라즈마를 생성할 수 있다. 그러한 플라즈마는 일반적으로 이온-이온 플라즈마로 지칭된다.
[0052] 전자 빔을 안내하는 것을 도와서, 상부 챔버(100a), 그리드 필터(104) 및/또는 하부 챔버(100b)를 통한 빔 이송을 개선하기 위해, 전자 빔에 실질적으로 평행한 실질적인 축방향-지향 자기장이 선택적으로 사용될 수 있다. 낮은 반복 주파수의 낮은 주파수 바이어스 전압 또는 임의적인(arbitrary) 파형이 워크피스 지지 페디스털(110)(예컨대, 워크피스 전극(196))에 인가되어, 상기 플라즈마로부터 양이온들 및/또는 음이온들을 선택적으로 또는 교번적으로 추출하고 그리고 그러한 이온들을 원하는 에너지 레벨들로 가속시켜서, 에칭, 세정, 증착, 또는 다른 재료 개질을 위해 기판(111)의 표면에 충돌시킬 수 있다. (a) 상부 챔버(100a)에서, (b) 하부 챔버(100b)의 전자 빔에 의해, (c) 워크피스 지지 페디스털(110)에 바이어스 전압을 인가함으로써, 또는 (d) RPS(remote plasma source)(197)에 의해 생성된 라디칼들이 기판(111)으로 대류 또는 확산되어 워크피스 표면 상에서의 반응에 참여할 수 있다.
[0053] 일부 실시예들에서, 비교적 불활성인 가스, 이를테면, 헬륨 또는 아르곤이 상부 챔버(100a) 내로 제공되고, 음전성 또는 전자-부착 가스, 이를테면, 황 헥사플루오라이드 또는 탄소 플루오라이드 등이 하부 챔버(100b) 내로 유동되며, RF 및/또는 VHF 전력이 전극(108)에 인가되고, RF 전력이 선택적으로 유도 코일 안테나(172)에 인가되며, RPS 전력이 선택적으로 원격 플라즈마 소스(197)에 인가되고, 플라즈마가 상부 챔버(100a)에서 생성되며, 접지 및 플라즈마에 대해 가속 전압이 전극(108) 상에서 발현된다. 전극(108)의 결과적인 에너제틱 이온 타격은 전극 표면으로부터의 2차 전자 방출을 생성하며, 이는 전극 표면으로부터의 전자 빔 플럭스를 구성한다. 그리드 필터(104)는 전자 빔의 적어도 일부가 그리드 필터(104)를 통해 하부 챔버(100b) 내로 전파되는 것을 가능하게 하는 한편, 비-빔 전자들 및 플라즈마 이온들의 적어도 일부가 그리드 필터(104)를 통과하는 것을 방지하여, 하부 챔버(100b)에서 저온 전자 플라즈마를 생성한다.
[0054] 하부 플라즈마 챔버에서 음전성 가스에서의 결과적인 저온 전자 플라즈마는, 음이온 밀도들이 전자 밀도들보다 훨씬 더 높고 양이온들의 밀도들에 필적하는 높은 음전성 플라즈마(일반적으로 이온-이온 플라즈마로 지칭됨)를 생성할 수 있다.
[0055] 일부 실시예들에서, 그리드 필터(104)는, 내부 가스 통로들(105a) 및 가스 주입 출구들(105b)을 갖는 가스 분배 플레이트이다. 내부 가스 통로들(105a)은 밸브들(140)의 어레이에 커플링될 수 있다.
[0056] 일부 실시예들에서, RF 바이어스 전력 생성기(142)가 임피던스 정합부(144)를 통해 워크피스 지지 페디스털(110)의 워크피스 전극(196)에 커플링된다. 추가의 실시예에서, 파형 맞춤화 프로세서(waveform tailoring processor)(147)가 임피던스 정합부(144)의 출력과 워크피스 전극(196) 사이에 연결될 수 있다. 파형 맞춤화 프로세서(147)는 RF 바이어스 전력 생성기(142)에 의해 생성된 파형을 원하는 파형으로 변화시킨다. 기판(111) 근처의 플라즈마의 이온 에너지는 파형 맞춤화 프로세서(147)에 의해 제어된다. 일부 실시예들에서, 파형 맞춤화 프로세서(147)는, 각각의 RF 사이클의 특정 부분 동안 진폭이, 원하는 이온 에너지 레벨에 대응하는 레벨로 유지되는 파형을 생성한다. 제어기(126)는 파형 맞춤화 프로세서(147)를 제어할 수 있다.
[0057] 일부 실시예들에서, VHF 또는 HF 주파수(예컨대, 11 MHz 내지 60 MHz)를 갖는 RF 전력 생성기(146), 및 VHF 범위 미만의 또는 HF 범위 미만의 (예컨대, MF 또는 LF 범위 내의, 예컨대 0.4 kHz 내지 10 MHz의) 주파수를 갖는 RF 전력 생성기(148)가 임피던스 정합부(144)를 통해 워크피스 전극(196)에 커플링된다. RF 전력 생성기들(146, 148)은 전형적으로, 약 0.4 kHz 내지 약 300 MHz의 주파수 및 약 0 와트 내지 약 10,000 와트의 전력을 갖는 RF 신호를 생성할 수 있다. 일부 실시예들에서, RF 전력 생성기들(146, 148)은 RF 바이어스 전력 생성기들이다. 일부 실시예들에서, RF 전력 생성기들(146, 148)은 RF 소스 전력 생성기들이다. 일부 실시예들에서, 임피던스 정합부(124)는, RF 전력 생성기들(146 및 148)의 상이한 주파수들에서의 임피던스 정합뿐만 아니라, 전력 생성기들을 서로 격리시키기 위한 필터링을 제공하도록 구성된다. RF 전력 생성기들(146, 148)의 출력 전력 레벨들은 제어기(126)에 의해 독립적으로 제어된다. 본원에서 상세히 설명될 바와 같이, RF 전력 생성기들(146, 148)로부터의 전력은 워크피스 전극(196)에 커플링된다. 이전에 논의된 바와 같이, VHF 생성기들이 사용될 수 있다.
[0058] 일부 실시예들에서, 자석(160)이 프로세싱 챔버(100)를 둘러싼다. 일부 실시예들에서, 자석은 상부 및 하부 챔버들(100a, 100b)에 각각 인접한 한 쌍의 자석들(160-1, 160-2)을 포함한다. 일부 실시예들에서, 그 한 쌍의 자석들(160-1, 160-2)은 상부 챔버(100a)로부터 하부 챔버(100b)로 전파되는 전자 빔을 한정하는 데 적절한 축방향 자기장을 제공한다.
[0059] 일부 실시예들에서, 기판(111)으로의 에너제틱 전자들의 흐름은, 그리드 필터(104)와 기판(111) 사이의 구역에서 주로 방사상 컴포넌트(즉, 전자 빔 흐름 방향을 가로지름)를 갖는 자기장에 의해 차단된다. 이 자기장은 자석들(160-1 또는 160-2) 중 하나에 의해, 또는 다른 자석 또는 자석들의 세트에 의해 생성될 수 있다.
[0060] 일부 실시예들에서, 천장(106)은 천장(106) 내측에 열 전도성 액체 또는 매질을 전도하기 위한 내부 통로들(178)을 포함한다. 내부 통로들(178)은 열적 매질 순환 공급부(180)에 연결된다. 열적 매질 순환 공급부(180)는 히트 싱크 또는 히트 소스로서 작용한다. 전극(108)과 천장(106) 사이의 기계적 접촉은 전극(108)과 천장(106) 사이에 높은 열적 컨덕턴스를 유지하기에 충분하다. 도 1에 도시된 실시예에서, 기계적 접촉력은 DC 척킹 전압 공급부(154)에 의해 제공되는 정전 클램핑력에 의해 조절된다.
[0061] 도 3은 본 개시내용의 하나 이상의 실시예들에 따라 기판 피처에 갭필을 형성하기 위한 방법(300)의 흐름도를 도시한다. 도 5a-도 5b는 방법(300)에 따라 기판 피처에 갭필을 형성하기 위한 시퀀스를 예시하는, 기판의 단면도들이다.
[0062] 방법(300)은, 동작(310)에서, 기판(111)을 프로세싱 챔버, 이를테면, 도 1 또는 도 2에 도시된 프로세싱 챔버(100) 내로 제공함으로써 시작된다. 적절한 기판들은 본 개시내용의 다른 곳에서 설명된다.
[0063] 동작(320)에서, 기판 피처에 갭필을 형성하기 위한 준비로 갭필 전구체가 프로세싱 챔버(100) 내로 공급된다. 갭필 전구체는 프로세스 가스 공급부들(138)로부터 밸브들(140)의 어레이를 통해 가스 인젝터들(130, 134)로 각각 공급되어, 프로세싱 챔버(100) 내로 유동할 수 있다.
[0064] 일부 실시예들에서, 갭필 전구체는 탄화수소를 포함한다. 적절한 탄화수소들은 본 개시내용의 다른 곳에서 상세히 논의된다. 추가적인 적절한 탄화수소 화합물들은 지방족 탄화수소들 및 방향족 탄화수소들을 포함한다.
[0065] 지환족 탄화수소들은, 예컨대 사이클로프로판, 사이클로부탄, 사이클로펜탄, 사이클로펜타디엔, 톨루엔 등을 포함한다. 방향족 탄화수소들은, 예컨대 벤젠, 스티렌, 톨루엔, 자일렌, 피리딘, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 퓨란 등을 포함한다. 추가적으로, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t부틸푸르푸릴에테르가 적절할 수 있다.
[0066] 일부 실시예들에서, 탄화수소는 프로펜, 아세틸렌, 에틸렌, 프로필렌, 부틸렌, 톨루엔, 알파-테르피넨으로부터 선택된다. 일부 실시예들에서, 탄화수소는 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나디엔), 아다만틴(C10H16), 노르보넨(C7H10), 또는 이들의 조합들로부터 선택된다.
[0067] 일부 실시예들에서, 다수의 탄화수소 화합물들이 혼합되어 갭필 전구체로서 프로세스 챔버에 공급될 수 있다. 2개 이상의 탄화수소 화합물들의 혼합물이 갭필을 증착하는 데 사용될 수 있다.
[0068] 일부 실시예들에서, 탄화수소는 메탄(CH4)을 포함하거나 메탄(CH4)을 필수구성으로 포함(consist essentially of)한다. 일부 실시예들에서, 갭필 전구체는 프로펜, 아세틸렌 또는 에틸렌 중 적어도 하나 및 메탄을 포함한다.
[0069] 일부 실시예들에서, 갭필 전구체, 이를테면, 메탄은 약 50 sccm 내지 약 1000 sccm의 레이트로 가스 혼합물에 공급될 수 있다. 불활성 가스, 이를테면, Ar 또는 He 가스는 약 10 sccm 내지 약 1000 sccm의 레이트로 가스 혼합물에 공급될 수 있다. 수소 가스가 약 100 sccm 내지 약 500 sccm의 레이트로 가스 혼합물에 공급될 수 있다. 산소 가스가 약 0 sccm 내지 약 200 sccm의 레이트로 가스 혼합물에 공급될 수 있다. 질소 가스가 약 0 sccm 내지 약 400 sccm의 레이트로 가스 혼합물에 공급될 수 있다. 또한, 추가적인 탄화수소들이 약 100 sccm 내지 약 2000 sccm의 유량으로 가스 혼합물에 공급될 수 있다.
[0070] 일부 실시예들에서, 그리드 필터(104) 아래의 또는 위의 라디칼 해리 및 플라즈마 밀도를 최대화하기 위해, 조정가능한 유동비(flow ratio) 및 종이 제어되고 그리고 상부 또는 하부 가스 인젝터들(130, 134) 및 전극과 같은 상이한 위치들로부터 프로세싱 챔버(100)로 공급될 수 있다. 예컨대, 빔 전자 플럭스를 증가시키기 위해 상부 챔버(100a)에서 더 높은 플라즈마 밀도가 요구되는 경우, 불활성 가스, 이를테면, Ar 또는 He가 상부 가스 인젝터(130)를 통해 공급될 수 있으며, 이는 전극 타격을 촉진하고 전극(108) 근처의 분자 가스 밀도를 감소시켜 로컬 플라즈마 밀도 및 빔 전자 플럭스를 증가시킬 수 있다. 이에 반해, 수소 분자들의 해리가 요구되는 경우(예컨대, 결과적인 막 순도를 증가시킴), 로컬 플라즈마 밀도를 낮추지만 수소 라디칼 형성을 촉진하여 프로세싱 챔버뿐만 아니라 결과적인 갭필에서 불순물들을 제거(drive out)하기 위해, 수소 함유 가스가 상부 가스 인젝터(130)를 통해 공급될 수 있다.
[0071] 선택적으로, 동작(330)에서, 프로세싱 챔버(100) 내의 압력은 미리 정의된 RF-온(on) 지연 시간 기간 동안 안정화된다. 미리 정의된 RF-온 지연 시간 기간은, 프로세싱 챔버(100) 내로의 갭필 전구체의 도입과 동작(340) 동안 플라즈마에 충돌하거나 플라즈마를 생성하는 것 사이의 시간 기간으로서 정의된 고정된 시간 지연이다. 원하는 조건들을 달성하기 위해 임의의 적절한 고정된 시간 지연이 사용될 수 있다. RF-온 지연 시간 기간의 길이는 전형적으로, 갭필 전구체가 프로세싱 챔버(100)에서 열적 분해 또는 실질적 열적 분해를 시작하지 않도록, 선택된다. 동작(330)의 프로세스는 동작(320) 및 동작(340)의 프로세스들과 동시에 또는 순차적으로 수행될 수 있거나 또는 부분적으로 중첩될 수 있다.
[0072] 그러한 실시예에서, 갭필 전구체는 더 긴 시간, 이를테면, 약 5 초 내지 약 30 초, 예컨대 약 15 초 동안 프로세싱 챔버(100) 내로 도입될 수 있으며, 이는 기판의 크기에 따라 변화할 수 있다. 플라즈마에 충돌하기 전의 갭필 전구체의 유동은 프로세싱 챔버(100)의 연속적인 열적 및 압력 안정화를 제공하는 것으로 여겨진다. 그런 다음, 일부 실시예들에서, 갭필 전구체는, 동작(340)에서 RF 플라즈마에 충돌하기 전에, 약 0.5초 내지 약 5초, 예컨대 약 1초 내지 약 2초(갭필 전구체가 프로세싱 챔버(100)의 내부 볼륨에 도달하기 시작할만큼 유동이 충분히 길면 유동 시간은 변화할 수 있음) 동안 프로세싱 챔버(100) 내로 유동한다.
[0073] 동작(340)에서, 갭필 전구체가 프로세싱 챔버 내로 공급된 후에, RF 전력이 상부 전극(예컨대, 전극(108)), 하부 전극(예컨대, 워크피스 전극(196)), 및/또는 유도 코일 안테나(예컨대, 유도 코일 안테나(172)) 중 적어도 하나에 공급된다. 동작(340)에서, 가스 혼합물이 프로세싱 챔버 내로 공급된 후에, 제1 RF 소스 전력이 RF 소스 전력 생성기들(120, 122)로부터 생성되고 전극(108)에 인가되어 플라즈마 및 2차 전자 빔들을 생성할 수 있다. 전극(108)으로부터의 2차 전자 빔들은, 기판의 표면을 조사하여 그 표면 상에 갭필을 형성하는, 이를테면, 100℃ 미만의 온도의 콜드 플라즈마(cold plasma)를 생성할 수 있다. 추가적으로, 유도 결합 전력을 추가하기 위해 RF 소스 전력 생성기(174)를 통해 유도 코일 안테나(172)에 제2 선택적 RF 전력이 또한 인가될 수 있다. 생성된 유도 결합 전력은 기판으로의 라디칼 플럭스를 증가시킬 수 있고, 상부 챔버(100a)의 전자들에 입사되는 이온 플럭스(또는 빔 플럭스)를 증가시켜 고밀도 빔 전자들을 생성한다. 유도 결합 전력은 또한, 전극(108) 상의 시스 전압을 낮추어 빔 에너지를 감소시킨다. 게다가, 기판에 입사되는 이온 플럭스들 및 에너지를 최적화하기 위해, 제3 선택적 RF 전력이 워크피스 전극(196)에 인가될 수 있다. 제3 RF 전력은 RF 전력 생성기들(146, 148) 및/또는 추가적인 RF 바이어스 전력 생성기(142)에 의해 생성될 수 있다.
[0074] RF 소스 전력 생성기(174)로부터 생성되어 유도 코일 안테나(172)에 인가될 제2 소스 전력(예컨대, 유도 결합 전력)은 전형적으로, 약 1 킬로와트 내지 약 10 킬로와트로 제어된다. 유도 코일 안테나(172)에 인가되는 유도 결합 전력의 주파수는 2 MHz 내지 약 13 MHz일 수 있다. 일부 실시예들에서, 유도 결합 전력은 제외될 수 있고, 필요에 따라 선택적으로 인가될 수 있다. 예컨대, 20 mTorr 내지 약 20 Torr의 프로세스 압력이 또한 갭필을 형성하는 데 활용될 수 있다. 증착 프로세스 동안 활용되는 2차 전자 빔들과 함께 전자 빔 플라즈마는, 가스 혼합물로부터의 전자 빔들의 에너지 및 이온들의 해리를 향상시켜 견고한 막 구조로 갭필을 형성할 수 있는 더 높은 이온 타격을 제공할 수 있다고 여겨진다.
[0075] 일부 실시예들에서, 동작(340) 동안 상부 전극(예컨대, 전극(108))에만 전력이 공급된다. 예컨대, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 제1 RF 소스 전력이 전극(108)에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어된다. RF 소스 전력 생성기들(120, 120a, 120b, 122)의 주파수는 0.4 MHz 내지 약 300 MHz일 수 있다는 것이 주목된다. 이 예에서, 전력은 상부 전극에만 인가된다(예컨대, 전력은 하부 전극(예컨대, 워크피스 전극(196))에도 인가되지 않고 유도 코일 안테나(예컨대, 유도 코일 안테나(172))에도 인가되지 않음). 일부 실시예들에서, 상부 전극에 인가되는 주파수는 0.4 MHz 내지 약 300 MHz이다. 일부 실시예들에서, 고주파수(예컨대, 약 11 MHz 내지 60 MHz)가 상부 전극에 인가된다. 일부 실시예들에서, 저주파수(예컨대, 약 0.4 kHz 내지 10 MHz)가 상부 전극에 인가된다. 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 소스 전력 생성기(122)로부터의 2 MHz 및 VHF 전력 생성기(120a)로부터의 40 MHz)이 상부 전극에 인가된다.
[0076] 일부 실시예들에서, 동작(340) 동안 상부 전극(예컨대, 전극(108)) 및 유도 코일 안테나(예컨대, 유도 코일 안테나(172)) 둘 모두에 전력이 공급된다. 예컨대, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 제1 RF 소스 전력이 상부 전극에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어되고, 제2 RF 소스 전력이 유도 코일 안테나에 인가되고 약 1 킬로와트 내지 약 10 킬로와트로 제어된다. 이 예에서, 전력은 상부 전극 및 유도 코일 안테나 둘 모두에 인가된다(예컨대, 전력은 하부 전극(예컨대, 워크피스 전극(196))에 인가되지 않음). 일부 실시예들에서, 상부 전극에 인가되는 주파수는 약 0.4 MHz 내지 약 300 MHz이고, 유도 코일 안테나에 인가되는 주파수는 약 2 MHz 내지 약 13 MHz이다. 일부 실시예들에서, 고주파수(예컨대, 약 11 MHz 내지 60 MHz)가 상부 전극에 인가되고, 유도 코일 안테나에 인가되는 주파수는 약 2 MHz 내지 약 13 MHz이다. 일부 실시예들에서, 저주파수(예컨대, 약 0.4 kHz 내지 10 MHz)가 상부 전극에 인가되고, 유도 코일 안테나에 인가되는 주파수는 약 2 MHz 내지 약 13 MHz이다. 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 소스 전력 생성기(122)로부터의 2 MHz 및 VHF 전력 생성기(120a)로부터의 40 MHz)이 상부 전극에 인가되고, 유도 코일 안테나에 인가되는 주파수는 약 2 MHz 내지 약 13 MHz이다. 이론에 얽매임이 없이, ICP는 증착 속도를 증가시키고 증착되는 갭필의 균일성을 조정하는 데 사용될 수 있다고 여겨진다.
[0077] 일부 실시예들에서, 동작(340) 동안, 하부 전극(예컨대, 워크피스 전극(196))에만 전력이 공급된다. 하부 전극에 전력이 공급되는 실시예들에서, 구동 주파수들은 고주파수 컴포넌트를 포함할 수 있다. 예컨대, RF 전력 생성기들(146, 148) 및/또는 추가적인 RF 바이어스 전력 생성기(142) 중 적어도 하나로부터 생성된 제1 RF 전력(바이어스 또는 소스)이 하부 전극에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어된다. RF 전력 생성기들(146, 148) 및 RF 바이어스 전력 생성기(142)의 주파수는 0.4 MHz 내지 약 300 MHz일 수 있다는 것이 주목된다. 이 예에서, 전력은 하부 전극에만 인가된다(예컨대, 전력은 상부 전극(예컨대, 전극(108))에도 인가되지 않고 유도 코일 안테나(예컨대, 유도 코일 안테나(172))에도 인가되지 않음). 일부 실시예들에서, 하부 전극에 인가되는 주파수는 0.4 MHz 내지 약 300 MHz이다. 일부 실시예들에서, 고주파수(예컨대, 약 11 MHz 내지 60 MHz)가 하부 전극에 인가된다. 일부 실시예들에서, 다수의 주파수들(예컨대, RF 전력 생성기(148)로부터의 2 MHz 및 RF 전력 생성기(146)로부터의 40 MHz)이 하부 전극에 인가된다.
[0078] 일부 실시예들에서, 이전에 설명된 조건들 중 임의의 조건을 사용하여 동작(340) 동안, 상부 전극(예컨대, 전극(108)) 및 하부 전극(예컨대, 워크피스 전극(196)) 둘 모두에 동시에 전력이 공급된다. 예컨대, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 RF 소스 전력이 상부 전극에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어되고, 제2 RF 전력(바이어스 또는 소스)이 워크피스 전극(196)에 인가되고 약 1 킬로와트 내지 약 10 킬로와트로 제어된다. 이 예에서, 전력은 전극(108) 및 워크피스 전극(196) 둘 모두에 인가된다(예컨대, 전력은 유도 코일 안테나(예컨대, 유도 코일 안테나(172))에 인가되지 않음). 일부 실시예들에서, 전극(108)에 인가되는 주파수는 약 0.4 MHz 내지 약 300 MHz이고, 워크피스 전극(196)에 인가되는 주파수는 약 0.4 MHz 내지 약 300 MHz이다. 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 전력 생성기로부터의 2 MHz 및 VHF 전력 생성기로부터의 40 MHz)이 전극(108) 및 워크피스 전극(196)에 인가된다.
[0079] 일부 실시예들에서, 동작(340) 동안, 유도 코일 안테나(예컨대, 유도 코일 안테나(172)) 및 하부 전극(예컨대, 워크피스 전극(196)) 둘 모두에 전력이 공급된다. 이 예에서, 전력은 유도 코일 안테나(172) 및 워크피스 전극(196) 둘 모두에 인가된다(예컨대, 전력은 상부 전극(예컨대, 전극(108))에 인가되지 않음). 일부 실시예들에서, 유도 코일 안테나(172)에 인가되는 주파수는 약 2 MHz 내지 약 13 MHz이고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어되고, 워크피스 전극(196)에 인가되는 주파수는 약 0.4 MHz 내지 약 300 MHz이고 약 1 킬로와트 내지 약 10 킬로와트로 제어된다.
[0080] 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 전력 생성기로부터의 2 MHz 및 VHF 전력 생성기로부터의 40 MHz)이 유도 코일 안테나(172) 및 워크피스 전극(196) 중 적어도 하나에 인가된다.
[0081] 일부 실시예들에서, 동작(340) 동안, 상부 전극(예컨대, 전극(108)), 하부 전극(예컨대, 워크피스 전극(196)), 및 유도 코일 안테나(예컨대, 유도 코일 안테나(172))에 전력이 공급된다.
[0082] 동작(340) 동안, 기판 온도는 실온(예컨대, 20℃) 내지 약 1000℃로 제어될 수 있다. 동작(340) 동안, 기판과 샤워헤드 사이의 간격은 약 1000 mil 내지 약 15000 mil로 제어될 수 있다. 동작(340) 동안, 프로세스 압력은, 예컨대 0.1 mTorr 내지 약 20 Torr로 유지될 수 있다.
[0083] 동작(350)에서, 동작(340)에서 조절된 프로세스 파라미터들에 의해 증착 프로세스가 수행된 후에, 다음에는 갭필이 기판 피처에 형성된다. 일부 실시예들에서, 본원에서 형성된 바와 같은 갭필은 약 1.5g/cc 내지 약 2.0g/cc의 밀도를 갖도록 구성된다. 일부 실시예들에서, 갭필은 100 MPa 이하의 응력을 갖는다.
[0084] 본 개시내용의 실시예들은 플라즈마 유도 CVD와 PVD(physical vapor deposition)의 조합을 사용하여 갭필을 증착하기 위한 방법들을 포함한다. 증착된 갭필 밀도, 경도 및 응력은 CVD 컴포넌트와 PVD 컴포넌트의 상대적 가중(relative weight)에 의해 조절될 수 있다.
[0085] 도 1 및 도 2를 참조하면, 최상부 전극(예컨대, 전극(108))은 하나 이상의 RF 생성기들에 의해 구동되고 선택적으로 가스 분배 샤워헤드로서 작용한다. 최상부 전극의 표면은 탄소 부분을 포함하며, 플라즈마 시스가 이온들을 가속함에 따라, 탄소 원자들이 스퍼터링되고 PVD 컴포넌트 동안 웨이퍼 표면 상에 증착된다. 최하부 전극(예컨대, 워크피스 전극(196))에는 또한 RF 생성기들에 의해 전력이 공급된다. 공급 원료 가스들이 탄화수소 가스들을 함유하는 경우, 최상부 전극 및/또는 최하부 전극에 인가된 임의의 RF 전력은 탄화수소 가스들을 해리시켜 CVD 컴포넌트를 생성할 것이다. CVD 컴포넌트에 대해 바이어스 RF 전력을 추가하는 것의 하나의 장점은, 탄화수소 이온들이 웨이퍼 표면으로 가속되고 탄소 층 내로 임플란트되어 탄소 막 밀도와 경도를 증가시킬 수 있다는 것이다. 강력한 이온 타격은 또한, 막 응력을 감소시키고 PVD 층과 CVD 층 사이에 가교를 생성하여 막 모폴로지를 개선하는 것을 돕는다. 그러나, 최상부 전극 RF 전력만이 존재하는 경우, 증착된 막은 일반적으로 연질(soft)이고 밀도가 낮다.
[0086] 본 개시내용의 일부 실시예들에서, 갭필 전구체는 샤워헤드 전극 또는 측벽 주입을 통해 프로세싱 챔버 내로 도입된다. 갭필 전구체는 플라즈마 밀도를 증가시키기 위해 불활성 가스, 이를테면, Ar 또는 He로 희석될 수 있다. 플라즈마에 충돌하기 전에, 진공 펌프 위의 게이트 밸브에 의해 프로세싱 챔버에서 안정적인 동작 압력(예컨대, 약 0.1 mTorr 내지 수 Torr)이 설정될 수 있다. RF 전력은 최상부 전극, 최하부 전극, 및 ICP 코일 중 적어도 하나에 인가된다. 본원에서 이전에 설명된 바와 같이, 전력 방식에 따라, 최상부 전극, 최하부 전극, 및 ICP 코일에 동시에 전력이 공급되거나, 그 3개 중 2개에 동시에 전력이 공급될 수 있다. 인가되는 RF 주파수는 수백 kHz 내지 수십 MHz의 범위이다. 기판에 입사되는 이온 플럭스들 및 에너지를 최적화하기 위해, 다수의 주파수들이 또한 최상부 전극 또는 최하부 전극에 인가될 수 있다.
[0087] 원하는 CVD 증착 시간 후에, 갭필 전구체는 중지될 수 있고 불활성 가스들이 챔버 내로 계속해서 유동한다. 안정적인 동작 압력(0.1 mTorr 내지 수십 mTorr)은 진공 펌프 위의 게이트 밸브에 의해 설정될 수 있다. 전형적으로, PVD 프로세스 압력은 이온 에너지를 최대화하기 위해 극히 낮다(예컨대, 약 1 mTorr 내지 약 10 mTorr). RF 전력은 PVD 증착 동안 상부 전극 및 하부 전극에 인가될 수 있다. PVD 증착 동안, 최하부 RF 전력은 없을 수 있다. 최상부 전극에는, 스퍼터링 수율을 최대화하기 위해 다수의 RF 주파수 소스들에 의해 전력이 공급될 수 있다. 저압에서 플라즈마 밀도를 더 향상시켜 탄소의 스퍼터링 레이트를 증가시키기 위해, 자기장이 사용될 수 있다. 적당한 이온 타격을 도입하여 막을 고밀도화(densify)하고 PVD 층과 CVD 층을 가교하기 위해, 최하부 전극에 전력이 공급될 수 있다. RF 스퍼터링 방식에서, 증착된 막에는 전형적으로 입자 문제가 없다. PVD 및 CVD 프로세스들은 원하는 양의 갭필이 증착될 때까지 반복적으로 실행된다. PVD 다음에 CVD가 뒤따르는 또는 CVD 다음에 PVD가 뒤따르는 증착 시퀀스가 사용될 수 있다.
[0088] 일부 실시예들에서, PVD 및 CVD 프로세스들이 동시에 수행된다. 일부 실시예들에서, CVD 막이 최상부 전극 상의 탄소 타겟 표면 상에 또한 증착되어, 스퍼터링 프로세스를 방해할 수 있다. 일부 실시예들에서, 불활성 퍼지 가스가 최상부 전극을 통해 유동하거나 챔버의 상부 부분(예컨대, 상부 챔버(100a)) 내로 주변에(peripherally) 주입되고, 탄소 소스 가스들이 챔버의 하부 부분(하부 챔버(100b)) 내로 주입된다. 그렇게 함으로써, 탄소 소스 가스들의, 최상부 전극으로의 역 확산이 최소화되어, 최상부 전극 표면 상의 탄소막 증착이 감소된다.
[0089] 일부 실시예들에서, CVD 프로세스 동안, 전력 공급된 최상부 전극은 또한 이온 타격으로 인해 2차 전자들을 방출한다. 2차 전자들은 플라즈마 시스에 의해 가속되며 대략 수백 eV 내지 keV의 에너지들을 갖는다. 탄화수소 가스들의 전자 충돌 이온화 단면이 일반적으로 수백 eV에서 정점에 이르기 때문에, 2차 전자들은 탄화수소 가스 이온화에 크게 기여하여 막 밀도의 증가에 기여할 수 있다. 따라서, 다수의 RF 주파수들이 상부 전극에 인가되어, 2차 전자 방출 수율을 최적화하는 동시에 시스 전압을 약 수백 eV로 유지할 수 있다.
[0090] 상부 전극의 표면으로부터, CVD 프로세스 동안 증착될 수 있는 증착된 막을 제거하기 위해, CVD 단계와 PVD 단계 사이에서 산소 플라즈마 세정 프로세스가 수행될 수 있다. 그렇게 함으로써, 스퍼터링 프로세스는 깨끗한 탄소 표면으로 시작된다. 산소 플라즈마는 산소-함유 가스를 그리고 선택적으로 불활성 가스를 프로세싱 챔버로 유동시킴으로써 형성될 수 있다. 산소-함유 가스는 N2O, O2, O3, H2O, 및 이들의 조합들로 이루어진 그룹으로부터 선택될 수 있다. 선택적인 불활성 가스는 헬륨, 아르곤, 및 이들의 조합들로 이루어진 그룹으로부터 선택될 수 있다. 산소 플라즈마 세정 프로세스 동안, RF 전력은 상부 전극(예컨대, 전극(108)) 및/또는 유도 코일 안테나(예컨대, 유도 코일 안테나(172)) 중 적어도 하나에 공급된다.
[0091] 산소 플라즈마 세정 프로세스의 일부 실시예들에서, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 RF 전력이 전극(108)에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어된다. RF 소스 전력 생성기들(120, 120a, 120b, 122)의 주파수는 0.4 MHz 내지 약 300 MHz일 수 있다는 것이 주목된다. 제2 RF 소스 전력이 사용되는 일부 실시예들에서, RF 소스 전력 생성기(174)로부터 생성되어 코일 안테나(172)에 인가될 제2 RF 소스 전력(예컨대, 유도 결합 전력)은 전형적으로, 약 1 킬로와트 내지 약 10 킬로와트로 제어된다. 코일 안테나(172)에 인가되는 유도 결합 전력의 주파수는 2 MHz 내지 약 13 MHz일 수 있다. 예컨대, 20 mTorr 내지 약 20 Torr의 프로세스 압력이 또한, 산소 플라즈마 세정 프로세스를 수행하는 데 활용될 수 있다. 산소 플라즈마 세정 프로세스는 전극(108)의 표면으로부터 잔류물을 제거하기에 충분한 시간 기간 동안 수행될 수 있다.
[0092] 도 4a-도 4b는 본원에서 설명된 일부 실시예들에 따른, 갭필을 형성하기 위한 방법(500)의 일 실시예의 프로세스 흐름도를 도시한다.
[0093] 방법(500)은, 동작(510)에서, 기판(111)을 프로세싱 챔버, 이를테면, 도 1 또는 도 2에 도시된 전자 빔 플라즈마 프로세싱 챔버(100) 내로 제공함으로써 시작된다. 기판(111)은 실질적으로 평면형(planar) 표면, 평탄하지 않은(uneven) 표면, 또는 기판(111) 상에 형성된 구조를 가질 수 있다.
[0094] 동작(520)에서, "CVD(chemical vapor deposition)" 프로세스를 통해 기판(111) 상에 갭필을 형성하기 위한 준비로 갭필 전구체가 프로세싱 챔버(100) 내로 공급된다. 일부 실시예들에서, 갭필 전구체는 프로세스 가스 공급부들(138)로부터 밸브들(140)의 어레이를 통해 가스 인젝터들(130, 134)로 각각 공급되어, 프로세싱 챔버(100) 내로 유동할 수 있다. 일부 실시예들에서, 갭필 전구체는 프로세스 가스 공급부들(114)로부터 공급되어 전극(108) 내로 유동할 수 있다.
[0095] 갭필 전구체는 탄화수소 및 불활성 가스를 포함할 수 있다. 탄화수소는 본 개시내용의 다른 곳에서 설명되었다.
[0096] 일부 실시예들에서, 그리드 필터(104) 아래의 또는 위의 라디칼 해리 및 플라즈마 밀도를 최대화하기 위해, 조정가능한 유동비 및 종이 제어되고 그리고 상부 또는 하부 가스 인젝터들(130, 134) 및 전극(108)과 같은 상이한 위치들로부터 프로세싱 챔버(100)로 공급될 수 있다. 예컨대, 빔 전자 플럭스를 증가시키기 위해 상부 챔버(100a)에서 더 높은 플라즈마 밀도가 요구되는 경우, 불활성 가스, 이를테면, Ar 또는 He가 상부 가스 인젝터(130)를 통해 공급될 수 있으며, 이는 전극 타격을 촉진하고 전극(108) 근처의 분자 가스 밀도를 감소시켜 로컬 플라즈마 밀도 및 빔 전자 플럭스를 증가시킬 수 있다. 이에 반해, 수소 분자들의 해리가 요구되는 경우(예컨대, 결과적인 막 순도를 증가시킴), 로컬 플라즈마 밀도를 낮추지만 수소 라디칼 형성을 촉진하여 프로세싱 챔버뿐만 아니라 결과적인 갭필에서 불순물들을 제거하기 위해, 수소 함유 가스가 상부 가스 인젝터(130)를 통해 공급될 수 있다.
[0097] 선택적으로, 동작(530)에서, 프로세싱 챔버 내의 압력은, 동작(330)과 유사하게, 미리 정의된 RF-온 지연 시간 기간 동안 안정화된다. 원하는 조건들을 달성하기 위해 임의의 적절한 고정된 시간 지연이 사용될 수 있다. 동작(530)의 프로세스는 동작(520) 및 동작(540)의 프로세스들과 동시에 또는 순차적으로 수행될 수 있거나 또는 부분적으로 중첩될 수 있다. 일부 실시예들에서, 그 압력은 약 0.1 mTorr 내지 약 5 Torr의 압력으로 안정화된다.
[0098] 그러한 실시예에서, 갭필 전구체는 더 긴 시간, 이를테면, 약 5 초 내지 약 30 초, 예컨대 약 15 초 동안 프로세싱 챔버(100) 내로 도입될 수 있으며, 이는 기판의 크기에 따라 변화할 수 있다. 플라즈마에 충돌하기 전의 갭필 전구체의 유동은 프로세싱 챔버(100)의 연속적인 열적 및 압력 안정화를 제공하는 것으로 여겨진다. 일부 실시예들에서, 갭필 전구체는, 동작(540)에서 RF 플라즈마에 충돌하기 전에, 약 0.5초 내지 약 5초, 예컨대 약 1초 내지 약 2초(갭필 전구체가 프로세싱 챔버(100)에 도달하기 시작할만큼 유동이 충분히 길면 유동 시간은 변화할 수 있음) 동안 프로세싱 챔버(100) 내로 유동한다.
[0099] 동작(540)에서, 갭필 전구체가 프로세싱 챔버 내로 공급된 후에, RF 전력이 상부 전극(예컨대, 전극(108)), 하부 전극(예컨대, 워크피스 전극(196)), 및/또는 유도 코일 안테나(예컨대, 유도 코일 안테나(172)) 중 적어도 하나에 공급된다. 동작(540)은 동작(340)과 관련하여 설명된 전력 방식들 중 임의의 전력 방식을 사용하여 수행될 수 있다. 동작(540)에서, 가스 혼합물이 프로세싱 챔버 내로 공급된 후에, 제1 RF 소스 전력이 RF 소스 전력 생성기들(120, 122)로부터 생성되고 전극(108)에 인가되어 플라즈마 및 2차 전자 빔들을 생성할 수 있다. 전극(108)으로부터의 2차 전자 빔들은, 기판의 표면을 조사하여 그 표면 상에 갭필을 형성하는, 이를테면, 100℃ 미만의 온도의 콜드 플라즈마를 생성할 수 있다. 추가적으로, 유도 결합 전력을 추가하기 위해 RF 소스 전력 생성기(174)를 통해 코일 안테나(172)에 제2 선택적 RF 전력이 또한 인가될 수 있다. 생성된 유도 결합 전력은 기판으로의 라디칼 플럭스를 증가시킬 수 있고, 상부 챔버(100a)의 전자들에 입사되는 이온 플럭스(또는 빔 플럭스)를 증가시켜 고밀도 빔 전자들을 생성한다. 유도 결합 전력은 또한, 전극(108) 상의 시스 전압을 낮추어 빔 에너지를 감소시킨다. 게다가, 기판에 입사되는 이온 플럭스들 및 에너지를 최적화하기 위해, 제3 선택적 RF 전력이 워크피스 전극(196)에 인가될 수 있다. 제3 RF 전력은 RF 전력 생성기들(146, 148) 및/또는 추가적인 RF 바이어스 전력 생성기(142)에 의해 생성될 수 있다.
[00100] 제1 RF 소스 전력이 사용되는 일부 실시예들에서, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 제1 RF 소스 전력이 전극(108)에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어된다. RF 소스 전력 생성기들(120, 120a, 120b, 122)의 주파수는 0.4 MHz 내지 약 300 MHz일 수 있다는 것이 주목된다. 제2 RF 소스 전력이 사용되는 일부 실시예들에서, RF 소스 전력 생성기(174)로부터 생성되어 코일 안테나(172)에 인가될 제2 RF 소스 전력(예컨대, 유도 결합 전력)은 전형적으로, 약 1 킬로와트 내지 약 10 킬로와트로 제어된다. 코일 안테나(172)에 인가되는 유도 결합 전력의 주파수는 2 MHz 내지 약 13 MHz일 수 있다. 하부 전극에 전력이 공급되는 실시예들에서, 구동 주파수들은 저주파수 컴포넌트, 고주파수 컴포넌트, 또는 저주파수 컴포넌트 및 고주파수 컴포넌트 둘 모두의 혼합을 포함할 수 있다. 예컨대, RF 바이어스 전력 생성기(142)로부터 생성된 RF 바이어스 전력이 워크피스 전극(196)에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어된다. RF 바이어스 전력 생성기(142)의 주파수는 0.4 MHz 내지 약 300 MHz일 수 있다는 것이 주목된다. 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 전력 생성기로부터의 2 MHz 및 VHF 전력 생성기로부터의 40 MHz)이 워크피스 전극(196)에 인가된다.
[00101] 예컨대, 20 mTorr 내지 약 20 Torr의 프로세스 압력이 또한 갭필을 형성하는 데 활용될 수 있다. 증착 프로세스 동안 활용되는 2차 전자 빔들과 함께 전자 빔 플라즈마는, 가스 혼합물로부터의 전자 빔들의 에너지 및 이온들의 해리를 향상시켜 견고한 막 구조로 갭필을 형성할 수 있는 더 높은 이온 타격을 제공할 수 있다고 여겨진다.
[00102] 증착 동안, 기판 온도는 실온(예컨대, 20℃) 내지 약 1000℃로 제어될 수 있다. 기판과 샤워헤드 사이의 간격은 약 200 mil 내지 약 15000 mil(예컨대, 약 200 mil 내지 약 1000 mil)로 제어될 수 있다.
[00103] 다른 곳에서 논의된 바와 같이, 전극(108)으로부터 제공된 2차 전자 빔 및 전자 빔 플라즈마는 전극 시스에 의해 가속되어 벌크 플라즈마에 들어갈 때 추가의 에너지를 획득한다. 이러한 가속된 전자들은 분자들로부터 수소를 효율적으로 해리하기에 충분한 고 에너지를 제공하여, 기판(111) 상에 형성된 갭필과 같은 탄소 막들로부터 수소 불순물들을 추출하기에 충분한 수소 라디칼들을 생성하여 고순도로 갭필을 형성한다. 가속된 2차 빔 전자들은 기판(111) 위에 (그리드 필터(104) 아래의 하부 챔버 구역(100b)에서) 저온 플라즈마, 이른바 콜드 플라즈마를 생성한다. 저온 전자는 대개 1 eV 미만, 이를테면, 0.5 eV 미만의 낮은 전자 에너지를 갖는다. 따라서, 기판 표면 상으로의 수소 라디칼 플럭스를 증가시키기 위해, 콜드 플라즈마로부터 저에너지 전자가 충분히 생성되어 진동 상태들에서 수소 분자들을 효율적으로 해리하고 수소 라디칼들을 생성한다.
[00104] 게다가, 전극(108)으로부터 방출된 가속된 2차 전자 빔이 기판 표면에 도달할 때, 예컨대 대략 수백 eV(electron volt) 내지 수천 eV(electron volt)의, 가속된 2차 전자 빔으로부터 전달되는 고에너지는, 표면 반응, 이를테면, 기판(111) 상에 갭필을 형성하기 위해 탄소 sp3 표면 상태를 여기시키는 것뿐만 아니라 약한(또는 원하지 않는) 탄소 sp 또는 sp2 결합들 또는 심지어 C-H 결합들을 끊는 것을 유도하여, 탄소 구조들의 비정질 상태 또는 다른 구조들보다는 다이아몬드형 탄소 재료의 형성을 촉진할 수 있는 sp3 결합을 증가시킬 수 있다. 갭필에서 결합된 탄소 엘리먼트들은 대부분, 다른 탄소 엘리먼트들과 결합하는 사면체 결합의 모서리를 향하는 4개의 단일 결합들을 갖는 sp3 탄소들로 형성될 수 있다. 2개의 단일 결합과 1개의 이중 결합, 예컨대 삼각형의 모서리들을 향하는 3개의 결합들을 갖는 원하지 않는 sp2 혼성화 탄소들은 대개, 원하는 다이아몬드형 구조들보다는, 비정질 상태가 되는 막 구조를 초래한다. 수소 종결 결합들의 양, 및 sp3 혼성화 탄소들 또는 sp2 혼성화 탄소들에 포함된 임의의 누락된(missing) 또는 댕글링(dangling) 탄소 결합들의 정도(extent)들은 이러한 탄소 원자들이 얼마나 견고하게(tightly) 네트워킹되고 패킹되는지에 영향을 미쳐서 막 밀도 및 응력을 결정한다. 갭필은, 모든 탄소 원자들이 완전히 상호연결될 때 완전한 sp3 혼성화 및 제로(zero) 수소 함량으로 형성되도록 구성된다. 일 예에서, 가속된 2차 전자 빔은 100 eV보다 더 큰 빔 에너지를 가질 수 있다.
[00105] 동작(550)에서, 동작(540)에서 조절된 프로세스 파라미터들에 의해 증착 프로세스가 수행된 후에, 다음에는 갭필이 기판 피처에 형성된다.
[00106] 선택적으로, 동작(550)이 완료된 후에, 갭필 형성 프로세스로부터, 챔버로부터 임의의 잔여 가스들 및 부산물들을 제거하기 위해, 선택적 챔버 퍼지 프로세스가 수행될 수 있다. 챔버 퍼지 프로세스 동안, 퍼지 가스(예컨대, 불활성 가스, 이를테면, 아르곤 또는 질소)가, 전극(108), 상부 가스 인젝터(130), 및 하부 가스 인젝터(134) 중 적어도 하나로부터 프로세싱 챔버(100) 내로 전달될 수 있다. 일부 실시예들에서, 동작(540) 동안 사용된 갭필 전구체의 유동은 중지되는 반면, 동작(540) 동안 사용된 불활성 가스는 계속 유동하고 퍼지 가스로서 기능한다. 프로세싱 챔버(100) 내의 압력은, 배기 가스들이 챔버로부터 인출되는 레이트를 제어하는 밸브 시스템을 사용하여 제어될 수 있다.
[00107] 일부 실시예들에서, 동작(550)이 완료된 후에, 이전에 설명된 산소 플라즈마 세정 프로세스와 유사한 산소 플라즈마 세정 프로세스가, CVD 프로세스 동안 상부 전극의 표면 상에 증착된 임의의 막을 제거하기 위해 CVD 프로세스와 PVD 프로세스 사이에서 수행된다. 그렇게 함으로써, 전극(108)의 탄소 표면은 PVD 프로세스를 시작하기 전에 세정된다.
[00108] 동작(570)에서, 프로세싱 챔버 내의 압력은, 동작(330)과 유사하게, 미리 정의된 RF-온 지연 시간 기간 동안 안정화된다. 원하는 조건들을 달성하기 위해 임의의 적절한 고정된 시간 지연이 사용될 수 있다. 동작(530)의 프로세스는 동작(520) 및 동작(540)의 프로세스들과 동시에 또는 순차적으로 수행될 수 있거나 또는 부분적으로 중첩될 수 있다. 일부 실시예들에서, 그 압력은 약 0.1 mTorr 내지 약 5 Torr의 압력으로 안정화된다.
[00109] 미리 정의된 RF-온 지연 시간 기간은, CVD 프로세스를 수행하는 것과 동작(580) 동안 플라즈마에 충돌하거나 플라즈마를 생성하는 것 사이의 시간 기간으로서 정의된 고정된 시간 지연이다. 원하는 압력 조건들을 달성하기 위해 임의의 적절한 고정된 시간 지연이 사용될 수 있다. RF-온 지연 시간 기간의 길이는 전형적으로, 프로세싱 챔버 내의 압력이 물리 화학 기상 증착 프로세스를 위해 원하는 압력으로 안정화되도록 선택된다. 동작(570)의 프로세스는 동작(560) 및 동작(580)의 프로세스들과 동시에 또는 순차적으로 수행될 수 있거나 또는 부분적으로 중첩될 수 있다. 일부 실시예들에서, 그 압력은 약 0.1 mTorr 내지 약 50 mTorr(예컨대, 약 1 mTorr 내지 약 10 mTorr)의 압력으로 안정화된다. 전형적으로, PVD 프로세스 압력은 이온 에너지를 최대화하기 위해 극히 낮다.
[00110] 원하는 CVD 증착 시간 후에, 동작(580)에서, RF 전력이 상부 전극(예컨대, 전극(108))에 인가되는 한편 불활성 가스가 프로세싱 챔버 내로 유동한다. 불활성 가스는 CVD 증착 프로세스 동안 사용된 것과 동일한 불활성 가스일 수 있거나, 또는 불활성 가스는 상이한 불활성 가스일 수 있다. 일부 실시예들에서, CVD 프로세스 동안 사용된 갭필 전구체는 중단되는 한편, 불활성 가스는 챔버 내로 계속 유동한다. RF 전력은 PVD 프로세스 동안 적어도 상부 전극에 인가된다. 상부 전극에는, 스퍼터링 수율을 최대화하기 위해 다수의 RF 주파수 소스들에 의해 전력이 공급된다. 일부 실시예들에서, RF 전력은 또한, PVD 프로세스 동안 하부 전극(예컨대, 워크피스 전극(196))에 인가된다. 적당한 이온 타격을 도입하여 막을 고밀도화하고 PVD 층과 CVD 층을 가교하기 위해, 하부 전극에 전력이 공급될 수 있다. 일부 실시예들에서, 저압에서 플라즈마 밀도를 더 향상시켜 탄소의 스퍼터링 레이트를 증가시키기 위해, 자기장이 사용될 수 있다. 예컨대, 자석(160-1) 및 자석(160-2)에 의해 자기장이 인가될 수 있다. 자기장은, 1,000 가우스 이하(예컨대, 약 20 가우스 내지 약 700 가우스; 또는 약 100 가우스 내지 약 500 가우스)의 자기 강도를 가질 수 있다.
[00111] 일부 실시예들에서, 동작(580)의 PVD 프로세스 동안 상부 전극(예컨대, 전극(108))에만 전력이 공급된다. 예컨대, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 제1 RF 소스 전력이 전극(108)에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어된다. RF 소스 전력 생성기들(120, 120a, 120b, 122)의 주파수는 0.4 MHz 내지 약 300 MHz일 수 있다는 것이 주목된다. 이 예에서, 전력은 상부 전극에만 인가된다(예컨대, 전력은 하부 전극(예컨대, 워크피스 전극(196))에도 인가되지 않고 유도 코일 안테나(예컨대, 유도 코일 안테나(172))에도 인가되지 않음). 일부 실시예들에서, 상부 전극에 인가되는 주파수는 0.4 MHz 내지 약 300 MHz이다. 일부 실시예들에서, 고주파수(예컨대, 약 11 MHz 내지 60 MHz)가 상부 전극에 인가된다. 일부 실시예들에서, 저주파수(예컨대, 약 0.4 kHz 내지 10 MHz)가 상부 전극에 인가된다. 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 소스 전력 생성기(122)로부터의 2 MHz 및 VHF 전력 생성기(120a)로부터의 40 MHz)이 상부 전극에 인가된다.
[00112] 또 다른 예로서, 이전에 설명된 조건들 중 임의의 조건을 사용하여 동작(580) 동안, 상부 전극(예컨대, 전극(108)) 및 하부 전극(예컨대, 워크피스 전극(196)) 둘 모두에 동시에 전력이 공급된다. 예컨대, RF 소스 전력 생성기들(120, 120a, 120b, 122) 중 적어도 하나로부터 생성된 RF 소스 전력이 상부 전극에 인가되고 1 킬로와트 내지 약 10 킬로와트의 범위로 제어되고, 제2 RF 전력(바이어스 또는 소스)이 하부 전극(196)에 인가되고 약 1 킬로와트 내지 약 10 킬로와트로 제어된다. 이 예에서, 전력은 전극(108) 및 워크피스 전극(196) 둘 모두에 인가된다(예컨대, 전력은 유도 코일 안테나(예컨대, 유도 코일 안테나(172))에 인가되지 않음). 일부 실시예들에서, 전극(108)에 인가되는 주파수는 약 0.4 MHz 내지 약 300 MHz이고, 워크피스 전극(196)에 인가되는 주파수는 약 0.4 MHz 내지 약 300 MHz이다. 일부 실시예들에서, 다수의 주파수들(예컨대, 저주파수 RF 전력 생성기로부터의 2 MHz 및 VHF 전력 생성기로부터의 40 MHz)이 전극(108) 및/또는 워크피스 전극(196)에 인가된다.
[00113] 동작(590)에서, 동작(580)에서 조절된 프로세스 파라미터들에 의해 증착 프로세스가 수행된 후에, 탄소가 기판(111)의 갭필 상에 스퍼터링된다.
[00114] CVD 프로세스(예컨대, 동작들(520-550)) 및 PVD 프로세스(예컨대, 동작들(560-590))는 원하는 양의 갭필이 증착될 때까지 반복적으로 실행될 수 있다. 게다가, CVD 다음에 PVD가 뒤따르는 증착 시퀀스로 설명되었지만, CVD 다음에 PVD가 뒤따르는 증착 시퀀스가 또한 사용될 수 있다.
[00115] 일부 실시예들에서, PVD 및 CVD 프로세스들이 동시에 수행된다. 이 실시예에서, CVD 막이 최상부 전극 상의 탄소 타겟 표면 상에 또한 증착되어, 스퍼터링 프로세스를 방해할 수 있다. 일부 실시예들에서, 불활성 퍼지 가스가 최상부 전극을 통해 유동하거나 챔버의 상부 부분(예컨대, 상부 챔버(100a)) 내로 주변에 주입되고, 탄소 소스 가스들이 챔버의 하부 부분(하부 챔버(100b)) 내로 주입된다. 그렇게 함으로써, 탄소 소스 가스들의, 최상부 전극으로의 역 확산이 최소화되어, 최상부 전극 표면 상의 탄소막 증착이 감소된다.
[00116] 일부 실시예들에서, 본원에서 형성된 바와 같은 갭필은 2.0 g/cm3 이상(예컨대, 약 2.0 g/cm3 내지 약 2.5 g/cm3)의 밀도를 갖도록 구성된다. 일부 실시예들에서, 갭필은 500 MPa 이하의 응력을 갖는다.
[00117] 일부 실시예들에서, 기판(111)이 포지셔닝된 워크피스 지지 페디스털(110)의 온도는 증착된 탄소 막의 응력을 제어하는 데 사용될 수 있다. 본 발명자들은 지지 페디스털의 온도가 막 응력에 영향을 미치며, 막 응력을 감소시키는 데 활용될 수 있다는 것을 발견하였다. 예컨대, 특정 전력/압력/가스 조합이 주어지면, 지지 페디스털의 온도가 60℃일 때, 증착된 갭필의 응력은 약 -800 MPa이다. 그러나, 지지 페디스털의 온도가 10℃까지 낮아지면, 증착된 막의 응력이 약 -600 MPa까지 낮아진다. 게다가, 지지 표면의 워크피스 지지 표면(110a)과 기판(111)의 후방 표면 사이에 유동하는 헬륨이 막 응력을 조절하는 데 사용될 수 있다. 일부 실시예들에서, 헬륨은 약 15 Torr 내지 약 30 Torr의 압력에서 동작되고, 지지 페디스털의 온도는 약 20℃ 내지 약 700℃의 범위로 설정된다.
[00118] 따라서, 낮은 응력으로 원하는 밀도를 갖는 갭필을 형성하기 위한 방법들은 전자빔 플라즈마 증착 프로세스에 의해 제공된다. 방법들은 유리하게, 낮은 응력 및 고밀도, 높은 에칭 선택성 또는 투명성과 같은 원하는 기계적 특성들 중 하나 이상을 갖는 갭필을 제공한다. 갭필의 개선된 기계적 특성들은, 미리 결정된 범위의 막 평탄도 및 응력 레벨을 유지하면서 높은 막 품질을 제공한다.
[00119] 도 6a는 본원에서 설명된 하나 이상의 실시예들에 따라 갭필 증착을 수행하는 데 사용될 수 있는 기판 프로세싱 시스템(732)의 개략적인 예시를 도시한다. 기판 프로세싱 시스템(732)은, 제어기(710) 및 가스 패널(730)에 커플링된 프로세스 챔버(700)를 포함한다. 프로세스 챔버(700)는 일반적으로, 프로세싱 볼륨(726)을 정의하는, 최상부 벽(724), 측벽(701), 및 최하부 벽(722)을 포함한다. 기판 지지 어셈블리(746)가 프로세스 챔버(700)의 프로세싱 볼륨(726)에 제공된다. 기판 지지 어셈블리(746)는 일반적으로, 스템(stem)(760)에 의해 지지되는 정전 척(750)을 포함한다. 정전 척(750)은 전형적으로, 알루미늄, 세라믹, 및 다른 적절한 재료들로 제조될 수 있다. 정전 척(750)은 변위 메커니즘(도시되지 않음)을 사용하여 프로세스 챔버(700) 내측에서 수직 방향으로 이동될 수 있다.
[00120] 프로세스 챔버(700)의 최하부에 형성된 포트에 진공 펌프(702)가 커플링된다. 진공 펌프(702)는 프로세스 챔버(700) 내에서 요구되는 가스 압력을 유지하는 데 이용된다. 진공 펌프(702)는 또한, 프로세스의 프로세싱-후(post-processing) 가스들 및 부산물들을 프로세스 챔버(700)로부터 진공배기(evacuate)한다.
[00121] 기판 프로세싱 시스템(732)은, 챔버 압력을 제어하기 위한 추가적인 장비, 예컨대 챔버 압력을 제어하기 위해 프로세스 챔버(700)와 진공 펌프(702) 사이에 포지셔닝된 밸브들(예컨대, 스로틀 밸브들 및 격리 밸브들)을 더 포함할 수 있다.
[00122] 복수의 애퍼처들(728)을 갖는 가스 분배 어셈블리(720)가, 정전 척(750) 위의 프로세스 챔버(700)의 최상부 상에 배치된다. 가스 분배 어셈블리(720)의 애퍼처들(728)은 프로세스 챔버(700) 내로 프로세스 가스들을 도입하는 데 활용된다. 애퍼처들(728)은, 상이한 프로세스 요건들에 대한 다양한 프로세스 가스들의 유동을 용이하게 하기 위해 상이한 크기들, 수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 가스 분배 어셈블리(720)는 가스 패널(730)에 연결되어, 프로세싱 동안 다양한 가스들이 프로세싱 볼륨(726)에 공급되는 것을 가능하게 한다. 기판(790)의 표면(791) 상에서의 재료의 증착을 유발하는 프로세스 가스들의 열적 분해를 향상시키기 위해, 가스 분배 어셈블리(720)에서 나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[00123] 가스 분배 어셈블리(720)와 정전 척(750)은 프로세싱 볼륨(726)에 한 쌍의 이격된 전극들을 형성할 수 있다. 하나 이상의 RF 전력 소스(740)는, 가스 분배 어셈블리(720)와 정전 척(750) 사이에서 플라즈마의 생성을 용이하게 하기 위해, 바이어스 전위를 선택적 매칭 네트워크(matching network)(738)를 통해 가스 분배 어셈블리(720)에 제공한다. 대안적으로, RF 전력 소스(740) 및 매칭 네트워크(738)는 가스 분배 어셈블리(720) 또는 정전 척(750)에 커플링될 수 있거나, 가스 분배 어셈블리(720) 및 정전 척(750) 둘 모두에 커플링될 수 있거나, 또는 프로세스 챔버(700) 외부에 배치된 안테나(도시되지 않음)에 커플링될 수 있다. 일부 실시예들에서, RF 전력 소스(740)는 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 또는 100 MHz의 주파수로 전력을 생성할 수 있다. 일부 실시예들에서, RF 전력 소스(740)는 약 50 kHz 내지 약 13.6 MHz의 주파수로 약 100 와트 내지 약 3,000 와트를 제공할 수 있다. 일부 실시예들에서, RF 전력 소스(740)는 약 50 kHz 내지 약 13.6 MHz의 주파수로 약 500 와트 내지 약 1,800 와트를 제공할 수 있다.
[00124] 제어기(710)는, 프로세스 시퀀스를 제어하고 가스 패널(730)로부터의 가스 유동들을 조절하는 데에 활용되는, CPU(central processing unit)(712), 메모리(716), 및 지원 회로(714)를 포함한다. CPU(712)는 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은, 메모리(716), 이를테면, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(714)는 종래의 방식으로 CPU(712)에 커플링되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다.
[00125] 설명된 프로세싱 장치 중 임의의 것에 포함될 수 있는 제어기는 프로세서, 프로세서에 커플링된 메모리, 프로세서에 커플링된 입력/출력 디바이스들, 및 상이한 전자 컴포넌트들 사이의 통신을 위한 회로들을 가질 수 있다. 메모리는 일시적 메모리(예컨대, 랜덤 액세스 메모리) 및 비-일시적 메모리(예컨대, 저장소) 중 하나 이상을 포함할 수 있다.
[00126] 프로세서의 메모리 또는 컴퓨터-판독가능 매체는, 용이하게 이용가능한 메모리, 이를테면, RAM(random access memory), ROM(read-only memory), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 메모리는 시스템의 컴포넌트들 및 파라미터들을 제어하기 위해 프로세서에 의해 동작가능한 명령 세트를 보유할 수 있다. 지원 회로들은 종래의 방식으로 프로세서를 지원하기 위해 프로세서에 커플링된다. 회로들은, 예컨대 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로망, 서브시스템들 등을 포함할 수 있다.
[00127] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 프로세서(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법의 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 따라서, 프로세스는 소프트웨어로 구현되어 컴퓨터 시스템을 사용하여 실행될 수 있거나, 또는 예컨대 주문형 집적 회로로서 또는 다른 타입의 하드웨어 구현으로서 하드웨어로 구현될 수 있거나, 또는 소프트웨어와 하드웨어의 조합으로서 구현될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[00128] 제어기(710)와 기판 프로세싱 시스템(732)의 다양한 컴포넌트들 사이의 양방향 통신들은 총괄적으로 신호 버스들(718)로 지칭되는 다수의 신호 케이블들을 통해 핸들링되며, 그 중 일부는 도 6a에 예시된다.
[00129] 도 6b는 본원에서 설명된 실시예들을 실시하기 위해 사용될 수 있는 다른 기판 프로세싱 시스템(780)의 개략적인 단면도를 도시한다. 기판 프로세싱 시스템(780)은, 기판 프로세싱 시스템(780)이 측벽(701)을 통해 기판(790)의 표면(791)을 가로질러 가스 패널(730)로부터 프로세싱 가스들을 유동시키도록 구성된다는 점을 제외하고는, 도 6a의 기판 프로세싱 시스템(732)과 유사하다. 게다가, 도 6a에 도시된 가스 분배 어셈블리(720)는 전극(782)으로 대체된다. 전극(782)은 2차 전자 생성을 위해 구성될 수 있다. 일부 실시예들에서, 전극(782)은 실리콘-함유 전극이다.
[00130] 도 7은 일부 실시예들을 실시하기 위해 사용될 수 있는 도 6a 및 도 6b의 프로세싱 시스템들에서 사용되는 기판 지지 어셈블리(746)의 개략적인 단면도를 도시한다. 도 7을 참조하면, 정전 척(750)은, 정전 척(750)의 상부 표면(792) 상에 지지되는 기판(790)의 온도를 제어하기에 적절한 임베딩된 히터 엘리먼트(770)를 포함할 수 있다. 정전 척(750)은, 히터 전력 소스(706)로부터 히터 엘리먼트(770)에 전류를 인가함으로써 저항식으로(resistively) 가열될 수 있다. 히터 전력 소스(706)는 RF 필터(816)를 통해 커플링될 수 있다. RF 필터(816)는 RF 에너지로부터 히터 전력 소스(706)를 보호하는 데 사용될 수 있다. 히터 엘리먼트(770)는, 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스 튜브(sheath tube)에 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 히터 전력 소스(706)로부터 공급되는 전류는 제어기(710)에 의해 조절되어, 히터 엘리먼트(770)에 의해 생성되는 열을 제어하며, 이로써, 막 증착 동안 기판(790) 및 정전 척(750)을 실질적으로 일정한 온도로 유지한다. 공급되는 전류는, 정전 척(750)의 온도를 약 -50℃ 내지 약 600℃ 사이에서 선택적으로 제어하도록 조정될 수 있다.
[00131] 도 6a 및 도 6b를 참조하면, 종래의 방식으로 정전 척(750)의 온도를 모니터링하기 위해, 열전대와 같은 온도 센서(772)가 정전 척(750)에 임베딩될 수 있다. 측정된 온도는, 기판을 원하는 온도로 유지하도록 히터 엘리먼트(770)에 공급되는 전력을 제어하기 위해 제어기(710)에 의해 사용된다.
[00132] 정전 척(750)은 전도성 재료의 메시일 수 있는 척킹 전극(810)을 포함한다. 척킹 전극(810)이 정전 척(750)에 임베딩될 수 있다. 척킹 전극(810)은 척킹 전력 소스(812)에 커플링되며, 척킹 전력 소스(812)는 에너자이징될 때 기판(790)을 정전 척(750)의 상부 표면(792)에 정전기적으로 클램핑한다.
[00133] 척킹 전극(810)은 단극성 또는 양극성 전극으로서 구성될 수 있거나, 또는 다른 적절한 어레인지먼트를 가질 수 있다. 척킹 전극(810)은 RF 필터(214)를 통해 척킹 전력 소스(812)에 커플링될 수 있으며, 척킹 전력 소스(812)는 기판(790)을 정전 척(750)의 상부 표면(792)에 정전기적으로 고정시키기 위해 DC(direct current) 전력을 제공한다. RF 필터(214)는 프로세스 챔버(700) 내에 플라즈마를 형성하는 데 활용되는 RF 전력이 전기 장비를 손상시키거나 챔버 외측에 전기적 위험을 제공하는 것을 방지한다. 정전 척(750)은 세라믹 재료, 이를테면, AlN 또는 Al2O3으로 제조될 수 있다. 대안적으로, 정전 척(750)은, 폴리머, 이를테면, 폴리이미드, 폴리에테르에테르케톤, 폴리아릴에테르케톤 등으로 제조될 수 있다.
[00134] 전력 인가 시스템(220)이 기판 지지 어셈블리(746)에 커플링된다. 전력 인가 시스템(220)은 히터 전력 소스(706), 척킹 전력 소스(812), 제1 RF(radio frequency) 전력 소스(830), 및 제2 RF 전력 소스(840)를 포함할 수 있다. 전력 인가 시스템(220)의 실시예들은 추가적으로, 제어기(710), 및 제어기(710) 그리고 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840) 둘 모두와 통신하는 센서 디바이스(850)를 포함할 수 있다.
[00135] 제어기(710)는 또한, 기판(790) 상에 재료의 층을 증착하기 위해 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840)로부터 RF 전력을 인가함으로써 프로세싱 가스로부터 플라즈마를 제어하는데 활용될 수 있다.
[00136] 위에서 설명된 바와 같이, 정전 척(750)은 척킹 전극(810)을 포함하며, 척킹 전극(810)은 일 양상에서 기판(790)을 척킹하도록 기능하면서 제1 RF 전극으로서 또한 기능할 수 있다. 정전 척(750)은 또한 제2 RF 전극(860)을 포함할 수 있고, 척킹 전극(810)과 함께 RF 전력을 인가하여 플라즈마를 조정할 수 있다. 제1 RF 전력 소스(830)는 제2 RF 전극(860)에 커플링될 수 있는 한편, 제2 RF 전력 소스(840)는 척킹 전극(810)에 커플링될 수 있다. 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840)에 대해 제1 매칭 네트워크 및 제2 매칭 네트워크가 각각 제공될 수 있다. 제2 RF 전극(860)은 도시된 바와 같이 전도성 재료의 고체 금속 플레이트일 수 있다. 대안적으로, 제2 RF 전극(860)은 전도성 재료의 메시일 수 있다.
[00137] 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840)는 동일한 주파수 또는 상이한 주파수로 전력을 생성할 수 있다. 일부 실시예들에서, 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840) 중 하나 또는 둘 모두는, 약 350 KHz 내지 약 100 MHz(예컨대, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수로 독립적으로 전력을 생성할 수 있다. 일부 실시예들에서, 제1 RF 전력 소스(830)는 13.56 MHz의 주파수로 전력을 생성할 수 있고 그리고 제2 RF 전력 소스(840)는 2 MHz의 주파수로 전력을 생성할 수 있거나, 또는 그 반대의 경우도 가능하다. 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840) 중 하나 또는 둘 모두로부터의 RF 전력은 플라즈마를 조정하기 위해 변화될 수 있다. 예컨대, 센서 디바이스(850)는 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840) 중 하나 또는 둘 모두로부터의 RF 에너지를 모니터링하는 데 사용될 수 있다. 센서 디바이스(850)로부터의 데이터는 제어기(710)에 통신될 수 있고, 제어기(710)는 제1 RF 전력 소스(830) 및 제2 RF 전력 소스(840)에 의해 인가되는 전력을 변화시키는 데 활용될 수 있다.
[00138] 다이아몬드형 탄소 재료에서 sp3 혼성화 탄소 원자들의 양/퍼센티지는 애플리케이션마다 다를 수 있다. 일부 실시예들에서, 다이아몬드형 탄소 재료는 적어도 40, 45, 50, 55, 60, 65, 70, 75, 80, 또는 85%의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 다이아몬드형 탄소 재료는 최대 45, 50, 55, 60, 65, 70, 75, 80, 85, 또는 90%의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 다이아몬드형 탄소 재료는 약 50 내지 약 90%의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 다이아몬드형 탄소 재료는 약 60 내지 약 70%의 sp3 혼성화 탄소 원자들을 함유할 수 있다.
[00139] 일반적으로, 기판 피처 내에 갭필을 형성하기 위해 다음의 예시적인 증착 프로세스 파라미터들이 사용될 수 있다. 웨이퍼 온도는 약 -50℃ 내지 약 350℃(예컨대, 약 10℃ 내지 약 100℃; 또는 약 10℃ 내지 약 50℃)의 범위일 수 있다. 챔버 압력은 약 0.5 mTorr 내지 약 10 Torr(예컨대, 약 2 mTorr 내지 약 50 mTorr; 또는 약 2 mTorr 내지 약 10 mTorr)의 챔버 압력 범위일 수 있다. 갭필 전구체의 유량은 약 10 sccm 내지 약 1,000 sccm(예컨대, 약 100 sccm 내지 약 200 sccm, 또는 약 750 sccm 내지 약 200 sccm)일 수 있다. 희석 가스의 유량은 개별적으로 약 50 sccm 내지 약 50,000 sccm(예컨대, 약 50 sccm 내지 약 500 sccm; 또는 약 50 sccm 내지 약 100 sccm)의 범위일 수 있다. 가스 분배 어셈블리와 기판 사이의 간격은 약 1,000 내지 약 15,000 mil(예컨대, 약 6,000 내지 약 12,000 mil, 또는 약 8,000 내지 약 12,000 mil)일 수 있다.
[00140] 갭필은 약 5 Å 내지 약 20,000 Å(예컨대, 약 900 Å 내지 약 5000 Å; 약 2000 Å 내지 약 9000 Å, 또는 약 5 Å 내지 약 200 Å)의 두께까지 증착될 수 있다. 위의 프로세스 파라미터들은, 미국 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능한 증착 챔버에서의 900 mm 기판에 대한 프로세스 파라미터들의 예들을 제공한다.
[00141] 갭필은, 2.0보다 더 큰, 예컨대 대략 2.0 내지 대략 3.0, 이를테면, 2.3인 굴절률 또는 n-값((633 nm에서의) n)을 가질 수 있다. 다이아몬드형 탄소 재료는 0.1보다 더 큰, 예컨대 대략 0.2 내지 대략 0.3, 이를테면, 0.25인 흡광 계수 또는 k-값((633 nm에서의) K)을 가질 수 있다.
[00142] 다이아몬드형 탄소 재료는 약 -900 MPa 미만, 예컨대 대략 -600 MPa 내지 대략 -900 MPa, 대략 -600 MPa 내지 대략 -500 MPa, 이를테면, 대략 -550 MPa의 응력(MPa)을 가질 수 있다.
[00143] 다이아몬드형 탄소 재료는 약 1.8g/cm3 이상, 예컨대 약 2.0 g/cm3 이상, 또는 약 2.5 g/cm3 이상, 이를테면, 약 1.8 g/cm3 내지 약 2.5 g/cm3의 밀도(g/cc)를 가질 수 있다.
[00144] 다이아몬드형 탄소 재료는 750 GPa 초과, 예컨대 약 200 내지 약 10 GPa의 탄성률(GPa)을 가질 수 있다.
[00145] 도 8은 본 개시내용의 하나 이상의 실시예들에 따라 기판 피처에 갭필을 형성하기 위한 방법(900)의 흐름도를 도시한다. 도 5a-도 5b는 방법(900)에 따라 기판 피처에 갭필을 형성하기 위한 시퀀스를 예시하는, 기판의 단면도들이다. 도 8에 도시된 동작들은 동시에 그리고/또는 도 8에 도시된 순서와 상이한 순서로 수행될 수 있다는 것이 이해되어야 한다.
[00146] 방법(900)은 동작(910)에서, 기판, 이를테면, 도 5a에 도시된 기판(10)을 프로세스 챔버, 이를테면, 도 6a 또는 도 6b에 도시된 프로세스 챔버(700) 내에 포지셔닝함으로써 시작된다. 기판(10)은, 도 6a, 도 6b 및 도 7에 도시된 기판(790)일 수 있다. 기판(10)은 정전 척, 예컨대 정전 척(750)의 상부 표면(792) 상에 포지셔닝될 수 있다.
[00147] 도 5a는 피처(12)를 갖는 기판(10)의 부분 단면도를 도시한다. 이와 관련하여 사용되는 바와 같이, "피처"라는 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피처들의 적절한 예들은, 최상부, 2개의 측벽들 및 최하부를 갖는 트렌치들, 별개의 최하부 없이 최상부 및 2개의 측벽들을 갖는 밸리들, 및 최하부가 개방되어 있거나 또는 최하부가 측벽들과 상이한 재료로 형성된, 표면으로부터 아래로 연장되는 측벽들을 갖는 비아(via)들을 포함한다(그러나 이에 제한되지 않음).
[00148] 도 5a 및 도 5b가 예시적인 목적들을 위해 단일 피처를 갖는 기판들을 도시하지만; 당업자들은 1개보다 많은 피처가 존재할 수 있다는 것을 이해할 것이다. 피처(12)의 형상은, 트렌치들 및 원통형 비아들을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 형상일 수 있다.
[00149] 기판(10)은 최상부 표면(20)을 갖는다. 적어도 하나의 피처(12)는 최상부 표면(20)에 개구를 형성한다. 피처(12)는 최상부 표면(20)으로부터 최하부 표면(30)까지 깊이(D)로 연장된다. 피처(12)는 제1 측벽(14) 및 제2 측벽(16)을 가지며, 제1 측벽(14)과 제2 측벽(16)은 피처(12)의 개구 폭(W)을 정의한다. 측벽들과 최하부에 의해 형성되는 개방 영역은 또한, 갭으로 지칭된다.
[00150] 특정 실시예들에서, 피처(12)는 트렌치이다. 피처들은 임의의 적절한 종횡비(피처의 깊이(D) 대 피처의 폭(W)의 비)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1 이상이다.
[00151] 기판(10)은 도 5b에 도시된 바와 같이, 갭필(50)로 충전될 수 있는, 기판(10) 상에 배치된 피처(12)를 갖는, 필요에 따라 실리콘계 재료 또는 임의의 적절한 절연 재료 또는 전도성 재료일 수 있다.
[00152] 도 5a에 도시된 예시적인 실시예에 도시된 바와 같이, 기판(10)은, 실질적으로 평면형의(도시된 바와 같음), 평탄하지 않은, 또는 실질적으로 평면형 표면인 최상부 표면(20)을 가질 수 있으며, 기판(10) 상에 구조들이 형성되거나 기판(10) 내에 추가적인 피처들이 형성된다.
[00153] 일부 실시예들에서, 기판(10)은, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 옥사이드, 스트레인드 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 기판들 및 패터닝된 또는 패터닝되지 않은 기판들, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 실리콘 나이트라이드, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(10)은 다양한 치수들, 이를테면, 200 mm, 900 mm, 450 mm 또는 다른 직경의 기판들뿐만 아니라, 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본원에서 설명된 실시예들 및 예들은, 200 mm 직경, 900 mm 직경, 또는 450 mm 직경의 기판을 갖는 기판들 상에서 실시된다. SOI 구조가 기판(10)에 대해 활용되는 실시예들에서, 기판(10)은, 실리콘 결정질 기판 상에 배치되는 매립된(buried) 유전체 층을 포함할 수 있다. 본원에서 도시된 실시예들에서, 기판(10)은 결정질 실리콘 기판일 수 있다.
[00154] 갭필은, 최상부 표면(20)을 포함하여, 기판(10) 상에 존재하는 피처(12)의 내측 또는 외측의, 기판(10)의 임의의 표면들 또는 임의의 부분 상에 형성될 수 있다는 것이 주목된다.
[00155] 하나 이상의 실시예들에서, 갭필(50)이 증착되고, 갭에는 실질적으로 어떤 시임도 형성되지 않는다. 피처(12)가 막으로 충전되기 전에 피처(12)의 최상부 부분 상에서 막의 두께가 클로즈(close)되는 경우("브레드로핑"), 시임의 형성이 발생한다. 시임은 피처(12)의 측벽들(14, 16) 사이에 형성되는 임의의 갭, 공간 또는 공극일 수 있다.
[00156] 동작(920)에서, 기판(10)을 정전 척에 클램핑하기 위해 척킹 전압이 정전 척에 인가된다. 일부 실시예들에서, 기판(10)이 정전 척(750)의 상부 표면(792) 상에 포지셔닝된 경우, 상부 표면(792)은 지지를 제공하고 프로세싱 동안 기판(10)을 클램핑한다. 정전 척(750)은 기판(10)을 상부 표면(792) 대해 밀접하게 평면화(flatten)하여 후면 증착을 방지한다. 전기적 바이어스는 척킹 전극(810)을 통해 기판(10)에 제공된다. 척킹 전극(810)은, 척킹 전극(810)에 바이어싱 전압을 공급하는 척킹 전력 소스(812)와 전자 통신할 수 있다. 일부 실시예들에서, 척킹 전압은 약 10 볼트 내지 약 9000 볼트이다. 일부 실시예들에서, 척킹 전압은 약 100 볼트 내지 약 2000 볼트이다. 일부 실시예들에서, 척킹 전압은 약 200 볼트 내지 약 1000 볼트이다.
[00157] 동작(920) 동안, 몇몇 프로세스 파라미터들이 조절될 수 있다. 900 mm 기판을 프로세싱하기에 적절한 일부 실시예들에서, 프로세싱 볼륨의 프로세스 압력은 약 0.1 mTorr 내지 약 10 Torr(예컨대, 약 2 mTorr 내지 약 50 mTorr; 또는 약 5 mTorr 내지 약 20 mTorr)로 유지될 수 있다. 900 mm 기판을 프로세싱하기에 적절한 일부 실시예들에서, 프로세싱 온도 및/또는 기판 온도는 약 -50℃ 내지 약 350℃(예컨대, 약 0℃ 내지 약 50℃; 또는 약 10℃ 내지 약 20℃)로 유지될 수 있다.
[00158] 일부 실시예들에서, 일정한 척킹 전압이 기판(10)에 인가된다. 일부 실시예들에서, 척킹 전압은 정전 척(750)에 대해 펄싱될 수 있다. 일부 실시예들에서, 기판(10)의 온도를 제어하기 위해 척킹 전압이 인가되는 동안, 후방 가스가 기판(10)에 인가될 수 있다. 후방 가스들은 헬륨(He), 아르곤(Ar) 등을 포함할 수 있다(그러나 이에 제한되지 않음).
[00159] 동작(930)에서, 정전 척에 제1 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마가 생성된다. 기판 레벨에서 생성된 플라즈마는 기판과 정전 척 사이의 플라즈마 구역에서 생성될 수 있다. 제1 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예컨대, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 또는 100 MHz)의 주파수에서 약 10 와트 내지 약 9000 와트일 수 있다. 일부 실시예들에서, 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2500 와트 내지 약 9000 와트의 전력으로 제공된다. 일부 실시예들에서, 제1 RF 바이어스는 제2 RF 전극(860)을 통해 정전 척(750)에 제공된다. 제2 RF 전극(860)은, 바이어싱 전압을 제2 RF 전극(860)에 공급하는 제1 RF 전력 소스(830)와 전자 통신할 수 있다. 일부 실시예들에서, 바이어스 전력은 약 10 와트 내지 약 9000 와트이다. 일부 실시예들에서, 바이어스 전력은 약 2000 와트 내지 약 9000 와트이다. 일부 실시예들에서, 바이어스 전력은 약 8500 와트 내지 약 9000 와트이다. 제1 RF 전력 소스(830)는 약 350 KHz 내지 약 100 MHz(예컨대, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 또는 100 MHz)의 주파수로 전력을 생성할 수 있다.
[00160] 일부 실시예들에서, 동작(930)은 제2 RF 바이어스를 정전 척에 인가하는 것을 더 포함한다. 제2 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예컨대, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 또는 100 MHz)의 주파수에서 약 10 와트 내지 약 9000 와트일 수 있다. 일부 실시예들에서, 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 7200 와트의 전력으로 제공된다. 일부 실시예들에서, 제2 RF 바이어스는 척킹 전극(810)을 통해 기판(10)에 제공된다. 척킹 전극(810)은, 척킹 전극(810)에 바이어싱 전압을 공급하는 제2 RF 전력 소스(840)와 전자 통신할 수 있다. 일부 실시예들에서, 바이어스 전력은 약 10 와트 내지 약 9000 와트이다. 일부 실시예들에서, 바이어스 전력은 약 500 와트 내지 약 7500 와트이다. 일부 실시예들에서, 바이어스 전력은 약 800 와트 내지 약 7200 와트이다. 제2 RF 전력 소스(840)는 약 350 KHz 내지 약 100 MHz(예컨대, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 또는 100 MHz)의 주파수로 전력을 생성할 수 있다. 일부 실시예들에서, 동작(920)에서 공급된 척킹 전압은 동작(930) 동안 유지된다.
[00161] 일부 실시예들에서, 동작(930) 동안, 제1 RF 바이어스는 척킹 전극(810)을 통해 기판(10)에 제공되고, 제2 RF 바이어스는 제2 RF 전극(860)을 통해 기판(10)에 제공될 수 있다. 일부 실시예들에서, 제1 RF 바이어스는 약 8500 와트(13.56 MHz)이고, 제2 RF 바이어스는 약 1000 와트(2 MHz)이다.
[00162] 동작(940) 동안, 기판 피처에 갭필을 형성하기 위해, 갭필 전구체가 프로세싱 볼륨(726) 내로 유동된다. 갭필 전구체는 가스 분배 어셈블리(720)를 통해 또는 측벽(701)을 통해 가스 패널(730)로부터 프로세싱 볼륨(726) 내로 유동될 수 있다. 일부 실시예들에서, 갭필 전구체는 불활성 가스, 희석 가스, 질소-함유 가스, 에천트 가스 또는 이들의 조합들을 더 포함할 수 있다. 일부 실시예들에서, 갭필 전구체는 탄화수소를 포함할 수 있다. 일부 실시예들에서, 갭필 전구체는 실리콘-함유 종을 포함할 수 있다. 갭필 전구체는 임의의 액체 또는 가스일 수 있지만, 바람직한 전구체는 재료 계량, 제어 및 챔버로의 전달에 필요한 하드웨어를 단순화하기 위해 실온에서 증기일 것이다. 일부 실시예들에서, 동작(920) 동안 공급된 척킹 전압은 동작(940) 동안 유지된다. 일부 실시예들에서, 동작(920) 동안 설정된 프로세스 조건들 및 동작(930) 동안 형성된 플라즈마는 동작(940) 동안 유지된다.
[00163] 일부 실시예들에서, 탄화수소는 가스상이다. 일부 실시예들에서, 탄화수소는 일반식 CxHy를 가지며, 여기서 x는 1 내지 20의 범위를 갖고, y는 1 내지 26의 범위를 갖는다. 적절한 탄화수소들은, 예컨대 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나디엔), 아다만틴(C10H16), 노르보넨(C7H10), 또는 이들의 조합들을 포함한다. 일 예에서, C2H2는 더 안정적인 중간 종의 형성으로 인해 바람직하며, 이는 더 많은 표면 이동성을 가능하게 한다.
[00164] 일부 실시예들에서, 탄화수소 화합물은 알칸(예컨대, CnH2n+2, 여기서 n은 1 내지 20임)이다. 적절한 탄화수소들은, 예컨대 알칸들, 이를테면, 메탄(CH4), 에탄(C2H6), 프로판(C3H8), 부탄(C4H10) 및 이의 이성질체인 이소부탄, 펜탄(C5H12), 헥산(C6H14) 및 이들의 이성질체들인 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 이의 이성질체들인 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸 부탄, 또는 이들의 조합들을 포함한다.
[00165] 일부 실시예들에서, 탄화수소는 알켄(예컨대, CnH2n, 여기서 n은 1 내지 20임)이다. 적절한 탄화수소들은, 예컨대 알켄들, 이를테면, 아세틸렌, 에틸렌, 프로필렌, 부틸렌 및 이의 이성질체들, 펜텐 및 이의 이성질체들 등, 디엔들, 이를테면, 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 또는 이들의 조합들을 포함한다. 추가적인 적절한 탄화수소들은, 예컨대 할로겐화된 알켄들, 이를테면, 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 또는 이들의 조합들을 포함한다.
[00166] 일부 실시예들에서, 탄화수소 화합물은 알킨(예컨대, CnH2n-2, 여기서 n은 1 내지 20임)이다. 적절한 탄화수소들은, 예컨대 알킨들, 이를테면, 아세틸렌(C2H4), 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌, 또는 이들의 조합들을 포함한다.
[00167] 일부 실시예들에서, 탄화수소 화합물은 방향족 탄화수소 화합물, 이를테면, 벤젠, 스티렌, 톨루엔, 자일렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 푸란 등, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠을 포함하는 할로겐화된 방향족 화합물들, 또는 이들의 조합들이다.
[00168] 일부 실시예들에서, 갭필 전구체는 실리콘-함유 종을 포함한다. 적절한 실리콘-함유 종은, 탄소 대신 실리콘을 갖는, 위에서 제공된 탄화수소 재료들과 유사한 종을 포함한다. 예컨대, 일부 실시예들에서, 실리콘-함유 종은 실란들, 고차 실란들, 사이클로실란들, 할로실란들 및 이들의 조합들로부터 선택된다.
[00169] 일부 실시예들에서, 갭필 전구체는 하나 이상의 희석 가스들을 더 포함한다. 원할 경우, 특히, 헬륨(He), 아르곤(Ar), 크세논(Xe), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 적절한 희석 가스들이 가스 혼합물에 추가될 수 있다. 갭필의 밀도 및 증착 레이트를 제어하기 위해, Ar, He, 및 N2가 사용될 수 있다. 일부 실시예들에서, N2 및/또는 NH3의 추가는, 아래에서 논의되는 바와 같이 갭필의 수소 비를 제어하는 데 사용될 수 있다. 대안적으로, 증착 동안 희석 가스들은 사용되지 않을 수 있다.
[00170] 일부 실시예들에서, 갭필 전구체는 하나 이상의 질소-함유 가스들을 더 포함한다. 적절한 질소-함유 가스들은, 예컨대 피리딘, 지방족 아민, 아민들, 니트릴들, 암모니아 및 유사한 화합물들을 포함한다.
[00171] 일부 실시예들에서, 갭필 전구체는 불활성 가스를 더 포함한다. 일부 실시예들에서, 불활성 가스, 이를테면, 아르곤(Ar) 및/또는 헬륨(He)이 갭필 전구체와 함께 프로세싱 볼륨(726) 내로 공급될 수 있다. 갭필의 밀도 및 증착 레이트를 제어하기 위해, 다른 불활성 가스들, 이를테면, 질소(N2) 및 질소 옥사이드(NO)가 또한 사용될 수 있다. 추가적으로, 갭필의 특성들을 수정하기 위해, 다양한 다른 프로세싱 가스들이 갭필 전구체에 추가될 수 있다. 일부 실시예들에서, 다른 프로세싱 가스들은 반응성 가스들, 이를테면, 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들일 수 있다. H2 및/또는 NH3의 추가는 증착된 갭필의 수소 비(예컨대, 탄소 대 수소 비)를 제어하는 데 사용될 수 있다. 다이아몬드형 탄소 재료에 존재하는 수소 비는 반사율과 같은 층 특성들에 대한 제어를 제공한다.
[00172] 일부 실시예들에서, 갭필 전구체는 에천트 가스를 더 포함한다. 적절한 에천트 가스들은, 염소(Cl2), 탄소 테트라플루오라이드(CF4), 질소 트리플루오라이드(NF3), 또는 이들의 조합들을 포함한다. 이론에 얽매임이 없이, 에천트 가스들은 막으로부터 sp2 혼성화 탄소 원자들을 선택적으로 에칭하여, 막에서 sp3 혼성화 탄소 원자들의 분율을 증가시키는 것으로 여겨진다.
[00173] 일부 실시예들에서, 동작(940) 동안 기판 상에 갭필(412)이 형성된 후에, 갭필(412)은 수소 라디칼들에 노출된다. 일부 실시예들에서, 갭필은 동작(940)의 증착 프로세스 동안 수소 라디칼들에 노출된다. 일부 실시예들에서, 수소 라디칼들은 RPS에서 형성되고 프로세싱 구역으로 전달된다. 이론에 얽매임이 없이, 갭필을 수소 라디칼들에 노출시키는 것은 sp2 혼성화 탄소 원자들의 선택적 에칭을 유발하여 막의 sp3 혼성화 탄소 원자 분율을 증가시키는 것으로 여겨진다.
[00174] 동작(950)에서, 갭필(412)이 기판 상에 형성된 후에, 기판이 디-척킹된다. 동작(950) 동안, 척킹 전압은 턴-오프된다. 반응성 가스들이 턴-오프되고, 선택적으로 프로세싱 챔버로부터 퍼지된다. 일부 실시예들에서, 동작(950) 동안 RF 전력이 감소된다(예컨대, ~ 200W). 선택적으로, 제어기(710)는 임피던스 변화를 모니터링하여, 정전하들이 RF 경로를 통해 접지로 소멸되는지 여부를 결정한다. 일단 기판이 정전 척으로부터 디-척킹되면, 나머지 가스들은 프로세싱 챔버로부터 퍼지된다. 프로세싱 챔버는 펌핑 다운되고, 기판은 리프트 핀들 상에서 위로 이동하여 챔버 밖으로 이송된다.
[00175] 요약하면, 본 개시내용의 이익들 중 일부는 기판 피처에 갭필을 증착하기 위한 프로세스를 제공하는 것이다. 본원에서 설명된 일부 실시예들에서, 낮은 프로세스 압력들(mTorr 대 Torr) 및 최하부 구동 플라즈마는, 대략 60% 이상의 혼성화 sp3 원자들을 갖는 갭필의 제조를 가능하게 한다. 게다가, 본원에서 설명된 일부 실시예들은 낮은 기판 온도들에서 수행되며, 이는 현재 프로세스들에 대해 가능한 것보다 훨씬 더 낮은 온도들에서 다른 유전체 막들의 증착을 가능하게 하여, CVD에 의해 현재 해결될 수 없는 낮은 열적 버짓을 갖는 애플리케이션들을 가능하게 한다.
[00176] 본 개시내용의 엘리먼트들, 또는 그 엘리먼트들의 예시적인 양상들 또는 실시예(들)를 도입하는 경우에, 단수 표현들, "그" 및 "상기"는 그 엘리먼트들 중 하나 이상이 존재함을 의미하도록 의도된다.
[00177] "포함하는", "구비하는" 및 "갖는"이라는 용어들은 포괄적이도록 의도되고, 열거된 엘리먼트들 이외의 추가적인 엘리먼트들이 존재할 수 있음을 의미한다.
[00178] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 구상될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.
[00179] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 특징, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정 특징들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[00180] 본원의 발명이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 발명의 원리들 및 애플리케이션들을 예시하는 것임이 이해되어야 한다. 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있음이 당업자들에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 갭필(gapfill) 증착 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내의 정전 척 상에 기판을 포지셔닝하는 단계 ― 상기 기판은 기판 표면을 갖고, 상기 기판 표면 내에는 적어도 하나의 피처(feature)가 포함되고, 상기 적어도 하나의 피처는 상기 기판 표면으로부터 최하부 표면까지의 깊이로 연장되고, 상기 적어도 하나의 피처는 상기 기판 표면에서 제1 측벽과 제2 측벽에 의해 정의되는 개구 폭을 가짐 ―;
    상기 기판 위에 이격된 가스 분배 어셈블리로부터 상기 프로세싱 볼륨 내로 갭필 전구체를 유동시키는 단계 ― 상기 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지됨 ―; 및
    상기 기판의 적어도 하나의 피처 내에 갭필을 증착하기 위해 제1 RF 바이어스를 상기 정전 척에 인가함으로써 상기 프로세싱 볼륨 내에서 상기 기판 위에 플라즈마를 생성하는 단계를 포함하며,
    상기 갭필은 실질적으로 어떤 공극(void)들도 포함하지 않는,
    갭필 증착 방법.
  2. 제1 항에 있어서,
    상기 갭필 전구체는 탄화수소를 포함하고, 그리고 상기 갭필은 다이아몬드형 탄소 재료를 포함하는,
    갭필 증착 방법.
  3. 제2 항에 있어서,
    상기 다이아몬드형 탄소 재료는 1.8 g/cm3 초과의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 범위의 응력을 갖는,
    갭필 증착 방법.
  4. 제2 항에 있어서,
    상기 탄화수소는, C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나디엔), 아다만틴(C10H16), 노르보넨(C7H10), 및 이들의 조합들로 이루어진 그룹으로부터 선택되는,
    갭필 증착 방법.
  5. 제1 항에 있어서,
    상기 갭필 전구체는 실리콘-함유 종을 포함하고, 그리고 상기 갭필은, 실리콘, 실리콘 옥사이드 또는 실리콘 나이트라이드 중 하나 이상을 포함하는,
    갭필 증착 방법.
  6. 제1 항에 있어서,
    상기 제1 RF 바이어스는 약 350 kHz 내지 약 100 MHz의 주파수에서 약 10 와트 내지 약 3000 와트의 전력으로 제공되는,
    갭필 증착 방법.
  7. 제1 항에 있어서,
    상기 프로세싱 볼륨 내에서 플라즈마를 생성하는 단계는, 제2 RF 바이어스를 상기 정전 척에 인가하는 단계를 더 포함하고, 그리고
    상기 제2 RF 바이어스는 약 350 kHz 내지 약 100 MHz의 주파수에서 약 10 와트 내지 약 3000 와트의 전력으로 제공되는,
    갭필 증착 방법.
  8. 제1 항에 있어서,
    상기 기판은 약 10℃ 내지 약 100℃의 온도로 유지되는,
    갭필 증착 방법.
  9. 제1 항에 있어서,
    상기 적어도 하나의 피처는 약 10:1 이상의, 상기 깊이 대 상기 개구 폭의 비를 갖는,
    갭필 증착 방법.
  10. 갭필 증착 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내의 제1 전극 위에 기판을 포지셔닝하는 단계 ― 상기 기판은 기판 표면을 갖고, 상기 기판 표면 내에는 적어도 하나의 피처가 포함되고, 상기 적어도 하나의 피처는 상기 기판 표면으로부터 최하부 표면까지의 깊이로 연장되고, 상기 적어도 하나의 피처는 상기 기판 표면에서 제1 측벽과 제2 측벽에 의해 정의되는 개구 폭을 갖고, 상기 프로세싱 챔버는, 상기 제1 전극 및 상기 기판 위에 포지셔닝된 제2 전극을 더 포함하고, 상기 제2 전극은, 실리콘-함유 재료 또는 탄소-함유 재료 중 하나 이상을 포함하는 2차 전극 방출 재료를 포함하는 표면을 가짐 ―;
    갭필 전구체를 상기 프로세싱 볼륨 내로 유동시키는 단계;
    제1 RF 전력을 상기 제1 전극 및 상기 제2 전극 중 적어도 하나에 인가하는 단계; 및
    상기 기판의 적어도 하나의 피처 내에 갭필을 형성하는 단계를 포함하며,
    상기 갭필은 실질적으로 어떤 공극들도 포함하지 않는,
    갭필 증착 방법.
  11. 제10 항에 있어서,
    상기 갭필 전구체는, C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나디엔), 아다만틴(C10H16), 노르보넨(C7H10), 및 이들의 조합들로 이루어진 그룹으로부터 선택된 탄화수소를 포함하고, 그리고 상기 갭필은 다이아몬드형 탄소 재료를 포함하는,
    갭필 증착 방법.
  12. 제11 항에 있어서,
    상기 다이아몬드형 탄소 재료는 1.5 g/cm3 초과의 밀도 및 약 -600 MPa 내지 약 100 MPa의 범위의 응력을 갖는,
    갭필 증착 방법.
  13. 제10 항에 있어서,
    상기 갭필 전구체는 실리콘-함유 종을 포함하고, 그리고 상기 갭필은, 실리콘, 실리콘 옥사이드 또는 실리콘 나이트라이드 중 하나 이상을 포함하는 유전체 재료를 포함하는,
    갭필 증착 방법.
  14. 제10 항에 있어서,
    상기 적어도 하나의 피처는 약 10:1 이상의, 상기 깊이 대 상기 개구 폭의 비를 갖는,
    갭필 증착 방법.
  15. 기판의 피처 내의 다이아몬드형 탄소 갭필로서,
    상기 갭필은 약 1.8 g/cm3 내지 약 2.5 g/cm3의 범위의 밀도, 약 50% 내지 약 90%의 sp3 혼성화 탄소 원자들 및 100 MPa 미만의 응력을 갖고, 상기 피처는 상기 기판의 표면으로부터 최하부 표면까지의 깊이로 연장되고, 상기 피처는 상기 표면에서 제1 측벽과 제2 측벽에 의해 정의되는 개구 폭을 갖고, 상기 피처는 약 10:1 이상의, 상기 깊이 대 상기 개구 폭의 비를 갖는,
    기판의 피처 내의 다이아몬드형 탄소 갭필.
KR1020217001262A 2018-06-19 2019-06-19 고품질 갭필의 고 바이어스 증착 KR102597532B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237037158A KR20230152840A (ko) 2018-06-19 2019-06-19 고품질 갭필의 고 바이어스 증착

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862687234P 2018-06-19 2018-06-19
US62/687,234 2018-06-19
PCT/US2019/037888 WO2019246191A1 (en) 2018-06-19 2019-06-19 High bias deposition of high quality gapfill

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237037158A Division KR20230152840A (ko) 2018-06-19 2019-06-19 고품질 갭필의 고 바이어스 증착

Publications (2)

Publication Number Publication Date
KR20210008932A true KR20210008932A (ko) 2021-01-25
KR102597532B1 KR102597532B1 (ko) 2023-11-01

Family

ID=68840320

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217001262A KR102597532B1 (ko) 2018-06-19 2019-06-19 고품질 갭필의 고 바이어스 증착
KR1020237037158A KR20230152840A (ko) 2018-06-19 2019-06-19 고품질 갭필의 고 바이어스 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237037158A KR20230152840A (ko) 2018-06-19 2019-06-19 고품질 갭필의 고 바이어스 증착

Country Status (7)

Country Link
US (2) US11062939B2 (ko)
JP (1) JP2021527958A (ko)
KR (2) KR102597532B1 (ko)
CN (1) CN112313362A (ko)
SG (1) SG11202011738VA (ko)
TW (3) TW202403083A (ko)
WO (1) WO2019246191A1 (ko)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018226370A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
JP6883620B2 (ja) * 2019-07-30 2021-06-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2022045827A (ja) * 2020-09-09 2022-03-22 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の高周波電力印加方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11702738B2 (en) 2021-05-17 2023-07-18 Applied Materials, Inc. Chamber processes for reducing backside particles
KR20240022392A (ko) * 2021-06-23 2024-02-20 램 리써치 코포레이션 라디칼-활성화된 탄소 막 증착
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material
US20230129550A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Carbon gap fill processes
CN116143518A (zh) * 2021-11-23 2023-05-23 燕山大学 导电高强金刚石/非晶碳复合材料及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090215281A1 (en) * 2008-02-22 2009-08-27 Applied Materials, Inc. Hdp-cvd sion films for gap-fill
KR20100043037A (ko) * 2007-06-04 2010-04-27 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd 통합 프로세스 조절 sio2 프로세스의 갭필 확장
KR20150041122A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 유동성 탄소

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0870327B1 (en) * 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
WO2000055901A1 (en) * 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
KR20030003907A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 장비의 사용방법
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
JP2013526061A (ja) * 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP2016513883A (ja) * 2013-03-15 2016-05-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 極端な共形性を有するカーボンフィルム堆積、背景技術
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100043037A (ko) * 2007-06-04 2010-04-27 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd 통합 프로세스 조절 sio2 프로세스의 갭필 확장
US20090215281A1 (en) * 2008-02-22 2009-08-27 Applied Materials, Inc. Hdp-cvd sion films for gap-fill
KR20150041122A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 유동성 탄소

Also Published As

Publication number Publication date
US20190385907A1 (en) 2019-12-19
TW202229608A (zh) 2022-08-01
SG11202011738VA (en) 2021-01-28
KR20230152840A (ko) 2023-11-03
CN112313362A (zh) 2021-02-02
TW202403083A (zh) 2024-01-16
TWI764008B (zh) 2022-05-11
JP2021527958A (ja) 2021-10-14
TWI820667B (zh) 2023-11-01
KR102597532B1 (ko) 2023-11-01
WO2019246191A1 (en) 2019-12-26
US20210327752A1 (en) 2021-10-21
US11676858B2 (en) 2023-06-13
US11062939B2 (en) 2021-07-13
TW202006170A (zh) 2020-02-01

Similar Documents

Publication Publication Date Title
KR102597532B1 (ko) 고품질 갭필의 고 바이어스 증착
KR102165733B1 (ko) 전자 빔 플라즈마 프로세스에 의해 형성된 다이아몬드상 탄소 층
US11043375B2 (en) Plasma deposition of carbon hardmask
CN110622280B (zh) 用于硬掩模及其他图案化应用的高密度低温碳膜
US20200075346A1 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
JP6010387B2 (ja) 半導体装置を形成するための方法
CN111587474A (zh) 高蚀刻选择性的非晶碳膜
US20140263173A1 (en) Methods for improving etching resistance for an amorphous carbon film
TW201903179A (zh) 電漿反應器中類鑽石碳的沉積或處理及電漿反應器
US20140273461A1 (en) Carbon film hardmask stress reduction by hydrogen ion implantation
JP2023546587A (ja) ハードマスク及びプラズマ化学気相堆積によるハードマスク形成のためのプロセス
KR20220010703A (ko) 붕소-도핑된 실리콘 재료들을 활용하는 통합 프로세스들
WO2020264133A1 (en) Processing tool capable for forming carbon layers on substrates
WO2022005704A1 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant