US20100291713A1 - Method of forming highly conformal amorphous carbon layer - Google Patents

Method of forming highly conformal amorphous carbon layer Download PDF

Info

Publication number
US20100291713A1
US20100291713A1 US12/467,017 US46701709A US2010291713A1 US 20100291713 A1 US20100291713 A1 US 20100291713A1 US 46701709 A US46701709 A US 46701709A US 2010291713 A1 US2010291713 A1 US 2010291713A1
Authority
US
United States
Prior art keywords
conformal
carbon layer
amorphous hydrogenated
hydrogenated carbon
ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/467,017
Other versions
US7842622B1 (en
Inventor
Woo-Jin Lee
Atsuki Fukazawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to US12/467,017 priority Critical patent/US7842622B1/en
Assigned to ASM JAPAN K.K. reassignment ASM JAPAN K.K. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUKAZAWA, ATSUKI, LEE, WOO-JIN
Publication of US20100291713A1 publication Critical patent/US20100291713A1/en
Application granted granted Critical
Publication of US7842622B1 publication Critical patent/US7842622B1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

A method of forming a conformal amorphous hydrogenated carbon layer on an irregular surface of a semiconductor substrate includes: vaporizing a hydrocarbon-containing precursor; introducing the vaporized precursor and an argon gas into a CVD reaction chamber inside which the semiconductor substrate is placed; depositing a conformal amorphous hydrogenated carbon layer on the irregular surface of the semiconductor substrate by plasma CVD; and controlling the deposition of the conformal ratio of the depositing conformal amorphous hydrogenated carbon layer. The controlling includes (a) adjusting a step coverage of the conformal amorphous hydrogenated carbon layer to about 30% or higher as a function of substrate temperature, and (b) adjusting a conformal ratio of the conformal amorphous hydrogenated carbon layer to about 0.9 to about 1.1 as a function of RF power and/or argon gas flow rate,

Description

    BACKGROUND
  • 1. Field of the Invention
  • The present invention relates to semiconductor integrated circuit manufacturing and, more particularly to a method of forming a conformal amorphous carbon layer suitable for etching processes and double patterning processes.
  • 2. Description of the Related Art
  • Integrated circuits fabricated on semiconductor substrates for large scale integration require multiple levels of metal interconnections to electrically interconnect the discrete layers of semiconductor devices on the semiconductor chips. The different levels of interconnections are separated by various insulating or dielectric layers, which have been etched via holes to connect one level of metal to the next.
  • The evolution of chip design continually requires faster circuitry and greater circuit density. The demands for faster circuits with greater circuit densities impose corresponding demands on the materials used to fabricate such integrated circuits. In particular, as the dimensions of integrated circuit components are reduced to the sub-micron scale, the demands for greater integrated circuit densities also impose demands on the process sequences used in the manufacture of integrated circuit components. For example, in process sequences that use conventional photo lithographic techniques, a layer of energy-sensitive resist is formed over a stack of material layers disposed on a substrate.
  • As the pattern dimensions are reduced, the thickness of the energy-sensitive resist must correspondingly be reduced in order to control pattern resolution. Such thin resist layers can be insufficient to mask underlying material layers during the pattern transfer step due to attack by the chemical etchant.
  • Recently, Amorphous hydrogenated carbon is widely used as hardmask material between the energy-sensitive resist layer and the underlying material layers to facilitate pattern transfer because of its good etch selectivity relative to silicon dioxide or silicon nitride, optical transparency, and good mechanical properties. However, current deposition processes for amorphous carbon hardmask result in poor step coverage and/or non-conformal sidewall protection of the hardmask on the uneven surface of the substrate making successful pattern transfer increasingly difficult as pattern densities continue to shrink.
  • If pre-etch critical dimension of the pattern is out of specification after photo-lithography, a rework process may be performed to remove the resist layer from the substrate and re-pattern the substrate with a new resist layer. During rework process, the surface of the underlying layer, amorphous carbon hardmask layer, may be attacked by the etchant used to remove the resist mask, thereby causing thickness of the hardmask to be reduced or the profile of the hardmask to be undercut.
  • The hardmask thickness loss or undercut profile associated with the rework process changes the uniformity and/or step coverage of the new resist layer formed over the hardmask layer, thereby contributing to inaccurate transfer of the desired pattern to the film stack, which may adversely influence subsequent processes used for interconnect formation and disadvantageously impact the overall electrical performance of the device.
  • In addition, for advanced lithography, the ability to pattern not only small pitches, but also small critical dimensions (CDs), is very important. For front-end applications, patterns may be narrowed after lithography development through the use of trim techniques during the subsequent etches process. For back-end applications, shrink techniques are needed to reduce trenches and contacts to the required narrow critical dimensions. The conformal deposition, using plasma enhanced chemical vapor deposition system, is a post-lithography process that covers the top and sidewalls of the photoresist with an amorphous carbon layer. This amorphous carbon layer has a high etch resistance for subsequent etch steps and can be removed with standard ash processes afterwards.
  • SUMMARY
  • An object of the disclosed embodiments of the present invention is to provide a method of forming a conformal amorphous carbon layer on a trench for an integrated circuit and to provide a method of forming a conformal amorphous carbon layer on a photoresist pattern for double patterning processing at low temperatures (e.g., 50° C. or lower). In an embodiment, this method may be applied to steps comprises positioning a substrate in a processing chamber, introducing a hydrocarbon source into the processing chamber with a carrier gas, introducing an additional gas into the processing chamber, and generating a plasma in the processing chamber. Consequently, a hydrocarbon-containing polymer film is deposited on a semiconductor substrate.
  • An embodiment provides a method of forming a conformal amorphous hydrogenated carbon layer on an irregular surface of a semiconductor substrate by plasma CVD, said irregular surface being constituted by a top surface and multiple recesses, each recess having a side wall and a bottom surface, said side wall being substantially or nearly perpendicular to the top surface, said bottom surface being substantially or nearly parallel to the top surface, said method comprising: (i) vaporizing a hydrocarbon-containing precursor; (ii) introducing said vaporized precursor and an argon gas into a CVD reaction chamber inside which the semiconductor substrate is placed; (iii) depositing a conformal amorphous hydrogenated carbon layer on the irregular surface of the semiconductor substrate by plasma polymerization of the precursor; and (iv) controlling the deposition of the conformal ratio of the depositing conformal amorphous hydrogenated carbon layer, said controlling comprising (a) adjusting a step coverage of the conformal amorphous hydrogenated carbon layer to about 30% or higher as a function of substrate temperature, and (b) adjusting a conformal ratio of the conformal amorphous hydrogenated carbon layer to about 0.9 to about 1.1 as a function of RF power and/or argon gas flow rate.
  • In the above, the step coverage is typically defined as a ratio of an average thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited on the side wall of the recess to an average thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited on the top surface, and the conformal ratio is typically defined as a ratio of a thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited at a top of the side wall of the recess to a thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited at a midpoint of the side wall of the recess or at a lowest point along the side wall of the recess if the lowest point is higher than the midpoint. In other embodiments, alternative definitions of the step coverage and conformal ratio can be used as described later.
  • In an embodiment, the adjusting of the step coverage may be performed at a substrate temperature of about 50° C. or lower. In an embodiment, the substrate temperature may be about 0° C. to about 50° C. or about 0° C. to about 25° C. In another embodiment, the substrate temperature may be up to about 100° C., depending on the desired step coverage and the desired conformal ratio, the aspect ratio and size of the trench in combination with the other controlling parameters. In an embodiment, the substrate temperature may be maintained using a cooling system, in some cases, it is difficult to constantly control the substrate temperature below 50° C. due to the gas temperature, plasma discharge, etc.
  • In any of the foregoing embodiments, the adjusting of the conformal ratio may be performed at an RF power of about 450 W or lower. In an embodiment, the RF power may be about 100 W to about 450 W or about 150 W to about 400 W. In another embodiment, the RF power may be up to about 800 W, depending on the desired step coverage and the desired conformal ratio, the aspect ratio and size of the trench in combination with the other controlling parameters.
  • In any of the foregoing embodiments, the adjusting of the conformal ratio may be performed at an argon gas flow rate of about 0.1 slm to about 0.8 slm. In an embodiment, the argon gas flow rate may be about 0.2 slm to about 0.6 slm. In another embodiment, the argon gas flow rate may be about 0 slm to about 1 slm, depending on the desired step coverage and the desired conformal ratio, the aspect ratio and size of the trench in combination with the other controlling parameters.
  • In any of the foregoing embodiments, the recesses may be formed by photo resist patterns each having a width of 10 nm to 100 nm and a height of 10 nm to 100 nm, and arranged at intervals each being about three times the width, wherein the conformal amorphous hydrogenated carbon layer is deposited on the side wall of the recesses at a thickness which is about the same as the width of the photo resist pattern, thereby arranging the conformal amorphous hydrogenated carbon layer deposited on the side wall of the recesses at intervals each being about the same as the width of the photo resist pattern.
  • In an embodiment, in order to form an amorphous hydrogenated carbon layer, as the hydrocarbon-containing precursor, a hydrocarbon-containing precursor (CαHβXγ, where α and β are natural numbers, γ is an integer including zero; X is O, N or F) may be used. In an embodiment, γ is zero. Helium may be used as the carrier gas since it is easily ionized and is advantageous for initiating a plasma in a chamber with a low risk of arcing. The additional gas may be selected from the group consisting of He, Ar, Kr, Xe, and the molar flow rate of the additional gas may be greater than the molar flow rate of the hydrocarbon-containing precursor. The processing chamber may be maintained at a pressure of about 0.1 Torr to about 10 Torr after initiating a plasma therein. After the gases are introduced into the chamber, organic monomers are polymerized by a plasma polymerization reaction to form an organic carbon polymer film on a substrate surface, and the resultant film formed can be used as a hard mask or patterning layer for various semiconductor processing.
  • For purposes of summarizing aspects of the invention and the advantages achieved over the related art, certain objects and advantages of the invention are described in this disclosure. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
  • Further aspects, features and advantages of this invention will become apparent from the detailed description of the preferred embodiments which follow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features of this invention will now be described with reference to the drawings of preferred embodiments which are intended to illustrate and not to limit the invention. The drawings are oversimplified for illustrative purpose and are not necessarily to scale.
  • FIG. 1 is a scanning electron microscope (SEM) photograph showing a cross-sectional view of a non-conformal amorphous carbon layer formed on an irregular surface.
  • FIG. 2 is a graph demonstrating the relationship between substrate temperature and step coverage and the relationship between substrate temperature and conformal ratio of an amorphous hydrogenated carbon layer deposited on an irregular surface according to embodiments of the present invention.
  • FIG. 3 is a graph demonstrating the relationship between RF power and conformal ratio of an amorphous hydrogenated carbon layer deposited on an irregular surface according to embodiments of the present invention.
  • FIG. 4 is a graph demonstrating the relationship between Ar gas flow and conformal ratio and the relationship between Ar gas flow and step coverage of an amorphous hydrogenated carbon layer according to embodiments of the present invention.
  • FIGS. 5A and 5B are SEM photographs showing cross-sectional views of a conformal amorphous hydrogenated carbon layer formed on an irregular surface according to embodiments of the present invention.
  • FIG. 6 is a schematic illustration of a cross-sectional view of a conformal amorphous hydrogenated carbon layer formed on a photo-resist according to an embodiment of the present invention.
  • FIG. 7 is a graph showing the relationship between substrate temperature and step coverage of an amorphous hydrogenated carbon layer formed on an irregular surface according to embodiments of the present invention.
  • FIGS. 8A to 8E are SEM photographs showing cross sectional views of conformal amorphous hydrogenated carbon layers according to embodiments of the present invention.
  • FIGS. 9A and 9B are schematic illustrations explaining measurements of a conformal amorphous hydrogenated carbon layer formed on a convex surface according to an embodiment of the present invention.
  • FIGS. 10A to 10D are schematic illustrations showing processes of double pattering using an amorphous hydrogenated carbon layer according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Embodiments will be explained below. The embodiments are not intended to limit the present invention. Additionally, elements used in an embodiment can be used in another embodiment in combination with other elements or can replace another element used in another embodiment unless special conditions are attached.
  • For double patterning of contact array with a carbon layer, for example, it is important to form a conformal film having a conformal ratio of substantially or nearly one so as to produce projections having a side wall substantially or nearly perpendicular to a reference surface. In an embodiment, it can be realized by controlling the deposition of the conformal ratio of the depositing conformal amorphous hydrogenated carbon layer. The controlling step may comprise adjusting a step coverage of the conformal amorphous hydrogenated carbon layer to about 30% or higher (in another embodiment, about 50% or higher) as a function of substrate temperature, and adjusting a conformal ratio of the conformal amorphous hydrogenated carbon layer to about 0.9 to about 1.1 (in another embodiment, substantially or nearly one) as a function of RF power and/or argon gas flow rate,
  • An embodiment may be applied to improve a method of depositing a conformal amorphous hydrogenated carbon layer on an irregular surface of a substrate by plasma CVD at a substrate temperature of A (0° C.), an RF power of B (W/cm2), and an argon flow rate of C (slm), said conformal amorphous hydrogenated carbon having a conformal ratio of about 1.5 or higher, said irregular surface being constituted by a top surface and multiple recesses, each recess having a side wall and a bottom surface, said side wall being substantially or nearly perpendicular to the top surface, said bottom surface being substantially or nearly parallel to the top surface. In an embodiment, the improvement may comprise changing the substrate temperature to a value lower than A (° C.), preferably 50° C. or lower, as a primary parameter, so as to reduce the conformal ratio to about 1.2 to about 1.4, and changing the RF power to a value lower than B (W/cm2), as a secondary parameter, so as to further reduce the conformal ratio to about 1.0 to about 1.1, and adjusting the Ar gas flow to a value lower than C (slm) so as to further reduce the conformal ratio or a value higher than C (slm) so as to increase the conformal ratio, as an adjustment parameter, thereby obtaining a desired conformal ratio in the range of about 0.80 to about 1.05.
  • In an embodiment, while controlling a substrate temperature approximately at A (0° C.), an RF power approximately at B (W) and a flow rate of the argon gas approximately at C (slm), the following relations may be satisfied: A≦50, and 100≦BxC≦300, thereby adjusting a step coverage of the layer at 30% or higher, and a conformal ratio of the layer at about 0.9 to about 1.1.
  • In an embodiment, the hydrocarbon-containing precursor may have a carbon/hydrogen ratio (C/H) of 0.55 or higher. The hydrocarbon-containing precursor may be cyclic. In an embodiment, the hydrocarbon-containing precursor may be cyclopenten. Alternatively, the hydrocarbon-containing precursor may be non-cyclic. In an embodiment, the hydrocarbon-containing precursor may be isoprene.
  • In an embodiment, the additional gases may be helium/argon or helium/krypton. In an embodiment, the helium/argon or the helium/krypton may be maintained at a ratio of the molar flow rate of about 5/1 to about 10/1, wherein seal gas is not included in the calculation of the ratio.
  • In an embodiment, the forming of an amorphous hydrogenated carbon layer on the semiconductor substrate by plasma polymerization may comprise applying RF power of between approximately 10 Watt and approximately 1000 Watt; and setting the reaction chamber pressure at between approximately 0.1 Torr and approximately 10 Torr. In the above, the values in Watt are values suitable for processing a 200-mm wafer or the like, and if a 300-mm wafer is processed, the values may be increased. The values can be expressed using W/cm3 (Watt per unit area of a 200-mm wafer). However, because a value suitable for a 300-mm wafer need not proportionally be 1.5 times higher than that for a 200-mm wafer, the values based on W/cm3 needs to be adjusted by ±0.3 W/cm3 depending on the apparatus type, reactor size, wafer size, etc.
  • In an embodiment, the amorphous hydrogenated carbon layer formed on the substrate may have a density of more than 0.9 g/cm3 and a compressive stress of 0˜100 MPa.
  • In the disclosure, the irregular surface may be constituted by a top surface and multiple recesses, each recess having a side wall and a bottom surface, said side wall being substantially or nearly perpendicular to the top surface, said bottom surface being substantially or nearly parallel to the top surface, and the step coverage may typically be defined as a ratio of an average thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited on the side wall of the recess to an average thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited on a top surface, and the conformal ratio may typically be defined as a ratio of a thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited at a top of the side wall of the recess to a thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited at a midpoint of the side wall of the recess or at a lowest point along the side wall of the recess if the lowest point is higher than the midpoint. Even if the top surface is not flat but rounded and the conformal layer is thick and significantly fills the recess, the above definition can be applied as shown in FIG. 9A.
  • In FIG. 9A, the irregular surface is constituted by a top surface (B) and multiple recesses (94), each recess has a side wall (93) and a bottom surface (92), the side wall (93) is substantially or nearly perpendicular to the top surface (B), and the bottom surface (92) is substantially or nearly parallel to the top surface (B). The top of the substantially or nearly vertical side wall (93) is located at point C. The lowest point along the side wall (93) is located at point D which is higher than a midpoint (E) of the side wall (93). Thus, in this configuration, the step coverage can be defined as a ratio of an average thickness (c) of a portion of the conformal amorphous hydrogenated carbon layer (91) deposited on the side wall (93) of the recess to an average thickness (a=A−B) of a portion of the conformal amorphous hydrogenated carbon layer deposited on a top surface (B), and the conformal ratio is defined as a ratio of a thickness (d) of a portion of the conformal amorphous hydrogenated carbon layer deposited at a top of the side wall (C) of the recess to a thickness (b) of a portion of the conformal amorphous hydrogenated carbon layer deposited at a lowest point along the side wall of the recess (D) where the lowest point (D) is higher than the midpoint (E).
  • In FIG. 9B, the step coverage can be defined as a ratio of an average thickness (c′) of a portion of the conformal amorphous hydrogenated carbon layer (91′) deposited on the side wall of the recess (94′) to an average thickness (a′=A′−B′) of a portion of the conformal amorphous hydrogenated carbon layer deposited on the top surface (B′), and the conformal ratio can be defined as a ratio of a thickness (d′) of a portion of the conformal amorphous hydrogenated carbon layer deposited at a top of the side wall (B′) of the recess to a thickness (b′) of a portion of the conformal amorphous hydrogenated carbon layer deposited at a midpoint of the side wall (E′) of the recess where the lowest point (D′) of the side wall is lower than the midpoint (E′).
  • FIG. 1 shows a SEM cross-sectional view showing a substrate with a conventional non-conformal amorphous carbon layer formed under the following conditions.
  • Isoprene: 100-120 sccm
  • Argon: 2.5 slm
  • Nitrogen: None
  • Process helium: 0.7 slm
  • Seal helium: 50 sccm
  • Carrier helium: 300 sccm
  • Pressure: 5-6 Torr
  • RF power: 2.5 W/cm2
  • Deposition time: 2 min 10 sec
  • Substrate temp.: 340° C.
  • Because the conventional non-conformal amorphous carbon layer does not completely cover the sidewalls of feature, subsequent etching processes may result in unwanted erosion of sidewalls. The lack of complete coverage of sidewalls due to the non-conformal amorphous carbon layer may also lead to photoresist poisoning of the material, which is known to cause damage to electronic devices.
  • In an embodiment, in order to form a substantially complete conformal layer, the substrate temperature is reduced from 340° C. to lower than 200° C. (preferably 0° C. to 50° C.), the Ar gas flow is about ¼ of the above, the He gas flow is about 4 times greater than the above, the PR power is about ½ of the above, and nitrogen gas is introduced.
  • Embodiments of the present invention provide a method of forming a conformal hydrocarbon-containing polymer layer on a semiconductor substrate by a capacitively-coupled plasma CVD, which comprise; vaporizing a hydrocarbon-containing precursor (CαHβXγ, where α and β are natural number, γ is an integer including zero; X is O, N or F); introducing said vaporized gas and additional gases into a CVD reaction chamber inside which a semiconductor substrate is placed; semiconductor substrate temperature maintaining between approximately 0° C. to 200° C.; and forming a hydrocarbon-containing polymer film on said semiconductor substrate by plasma polymerization of said gas.
  • In the above embodiment, the liquid monomer may be cyclic or non-cyclic, such as cyclopentene, isoprene. In any of the foregoing embodiments, the liquid monomer may have a carbon/hydrogen ratio (C/H) of 0.55 or higher, preferably 0.6 or higher.
  • In any of the aforesaid embodiments, only said liquid monomer may be used as a reaction gas.
  • In any of the aforesaid embodiments, the liquid monomer may be introduced into a vaporizer disposed upstream of said reaction chamber and vaporized. In the above, the liquid monomer may be flow-controlled upstream of said vaporizer by a valve, and its introduction into said vaporizer may be blocked, except when a film is being deposited, by a shutoff valve disposed between said flow control valve and said vaporizer and kept approximately at 5° C. or lower.
  • A flow rate of hydrocarbon precursor is not particularly restricted, but as a flow rate introduced into a reaction chamber after vaporization, in an embodiment, it is approximately 20 sccm to approximately 1000 sccm.
  • Additionally, an additive gas can be introduced into a reaction chamber. For example, the additional gas can be one of or a combination of two or more of He, Ar, Ne, Kr, Xe, and N2; preferably He or/and Ar. A flow rate of an additional gas introduced into a reaction chamber is approximately 30 sccm to 3000 sccm.
  • A plasma polymerization can be preformed in an embodiment under the conditions: a substrate temperature of approximately 0° C. to 200° C. (including a range of 0° C.-70° C. and a range of 50° C.-150° C.), a reaction pressure of approximately 0.1 Torr to 10 Torr (including a range of 1 Torr-8 Torr, a range of 3 Torr-6 Torr, and a range of 5 Torr-6 Torr in embodiments). As to RF power density, a plasma polymerization step is preformed under the conditions of: RF power density of approximately 0.02 W/cm2 to 7 W/cm2 per substrate area (including a range of 0.05˜5 W/cm2, and a range of 0.5˜3 W/cm2 in embodiments). Additionally, a plasma polymerization step can be performed using a frequency exceeding 5 MHz, e.g., any on of high RF frequency power of 13.56 MHz, 27 MHz or 60 MHz, in an embodiment; further, one of the foregoing high RF frequency power and low RF power of 5 MHz or less can be combined.
  • In the present disclosure where conditions and/or structures are not specified, the skilled artisan in the art can readily provide such conditions and/or structures, in view of the present disclosure, as a matter of routine experimentation.
  • Example Substantially Complete Conformal Layer
  • One of advantages of the disclosed embodiments is the significant enhancement of conformality as compared with other amorphous carbon deposition processes. For forming a conformal amorphous carbon layer on a semiconductor substrate, deposition conditions in embodiments may be as follows:
  • Isoprene: 10˜300 sccm
  • Argon: 0˜3000 sccm
  • Nitrogen: 0˜1000 sccm
  • Process helium: 3000 sccm
  • Sealed helium: 50 sccm
  • Carrier helium: 300 sccm
  • Substrate temperature: 0˜300° C.
  • RF power: 0.02 W/cm2˜7 W/cm2
  • Pressure: 0.1˜10 Torr
  • Deposition time: 30 sec.
  • FIG. 2 shows step coverage and conformal ratio changes of a deposited amorphous carbon layer with reference to substrate temperature, which layer was formed under the following conditions:
  • Isoprene: 115 sccm
  • Argon: 800 sccm
  • Nitrogen: 0 sccm
  • RF power: 2.55 W/cm2 (800 W)
  • Pressure: 5.5 Torr
  • In this figure, the step coverage and conformal ratio can be defined as described earlier or the step coverage or conformality may be defined as the ratio percent of the average thickness of amorphous carbon layer deposited on the sidewalls to the average thickness of amorphous carbon layer on upper surface of substrate, and the conformal ratio may be defined as the ratio of the thickness of amorphous carbon layer deposited on the sidewall at top to the thickness of amorphous carbon layer deposited on the sidewall at half height (e.g., conformal ratio(CR)>1 means an over-hang manner, CR<1 means a flowable manner, and CR=1 means a well conformal manner). As shown FIG. 2, the conformality increase to approximately 37% as the substrate temperature decreases. Also CR is nearing 1 as the substrate temperature decreases.
  • In this example, the substrate temperature is a primary parameter for reducing the conformal ratio and especially for increasing the step coverage. By reducing the substrate temperature, both the step coverage and conformal ratio can effectively and remarkably be controlled. The step coverage can be increased to about 30% or higher, and the conformal ratio can be reduced to a range of about 1.2 to about 1.4.
  • FIG. 7 shows the relationship between step coverage and substrate temperature according to another embodiment where an amorphous hydrogenated carbon layer was formed under the following conditions:
  • Isoprene: 115 sccm
  • Argon: 600 sccm.
  • Nitrogen: 800 sccm
  • P-He: 3000 sccm
  • Seal-He: 50 sccm
  • C-He: 300 sccm
  • Substrate temperature: 0-350° C.
  • RF power: 1.3 W/cm2 (400 W)
  • Pressure: 733 Pa (5.5 Torr)
  • In this example, the step coverage can be reduced to about 50% or higher by reducing the substrate temperature. When the substrate temperature was 50° C. or lower, the step coverage became higher than about 60%. The amorphous hydrogenated carbon layer had a conformal ratio of about 1.0.
  • FIG. 3 shows the conformal ratio changes of a deposited amorphous carbon layer with reference to RF power which changes from 100 W to 800 W (0.3 W/cm2 to 2.6 W/cm2) at a point shown in FIG. 2 where the substrate temperature is about 0° C.-25° C. The amorphous hydrogenated carbon layer was formed under the following conditions.
  • Isoprene: 115 sccm
  • Argon: 800 sccm
  • Nitrogen: 0 sccm
  • Substrate temperature: 0-25° C.
  • Pressure: 5.5 Torr
  • In this example, the RF power is a secondary parameter for reducing the conformal ratio. By reducing the RF power, the conformal ratio can effectively and remarkably be controlled. The conformal ratio can be reduced to a range of about 1.1 to about 1.0 when the RF power is controlled in a range of about 150 W to about 450 W.
  • The conformal ratio is increased to approximately 1.4 with increasing RF power. A conformal ratio of 1.4 means, for example, if thickness on the sidewall at half height is 30 nm, thickness on the sidewall at top is 42 nm (over-hang deposition).
  • FIG. 4 also shows the step coverage and conformal ratio changes of a deposited amorphous carbon layer with reference to argon flow which changes from 0.6 slm to zero slm at a point shown in FIG. 3 where the RF power is about 400 W (the point where the argon flow was 0.8 slm was omitted). The amorphous hydrogenated carbon layer was formed under the following conditions.
  • Isoprene: 115 sccm
  • Nitrogen: 0 sccm
  • Substrate temperature: 0-25° C.
  • RF power: 1.8 W/cm2 (400 W)
  • Pressure: 5.5 Torr
  • In this example, the Ar gas flow is an adjustment parameter for reducing the conformal ratio. By adjusting the Ar gas flow in a range of zero to 0.6 slm, the conformal ratio can effectively and remarkably be adjusted in a range of about 0.80 to about 1.05, and the step coverage can effectively and remarkably be adjusted in a range of about 38% to about 29%. Unlike the substrate temperature, when the Ar gas flow changes, both the conformal ratio and step coverage change in the same direction, i.e., when the conformal ratio decreases, the step coverage also decreases. In contrast, when the substrate temperature changes, the conformal ratio and step coverage change in different directions, i.e., when the conformal ratio decreases, the step coverage increases as shown in FIG. 2. Also in view of the above, the substrate temperature rather than the Ar gas flow is preferably used as a primary parameter.
  • Above factors, e.g., substrate temperature, RF power, additional gases, in conjunction with the higher sticking probability of —CHx radicals on the substrate surface result in the improvement in conformality depicted in FIGS. 5A and 5B. Qualitatively, the amorphous carbon layer is highly conformal and completely covers sidewalls. The amorphous carbon layer may have a step coverage or conformality of approximately 45%˜65%. The layer was formed under the following conditions:
  • Isoprene: 115 sccm
  • Argon: 600 sccm
  • Nitrogen: 800 sccm
  • Substrate temperature: 0-25° C.
  • RF power: 1.3 W/cm2
  • Pressure: . . . 5.5 Torr
  • Nitrogen flow can be used as a fine adjustment parameter for adjusting the conformal ratio. The effect of nitrogen gas is not as significant as Ar gas, and thus, is suitable as a fine adjustment parameter.
  • FIGS. 8A to 8E show SEM photographs of cross sectional views of conformal amorphous hydrogenated carbon layers formed according to the conditions corresponding to those used in relation to FIGS. 2 and 4, wherein the substrate temperature were 340° C. (FIG. 8A), 140° C. (FIG. 8B), and 0° C. (FIG. 8C), and the Ar gas flow were 800 sccm (FIG. 8D) and 0 sccm (FIG. 8E). As shown in FIGS. 8A to 8C, by reducing the substrate temperature, the step coverage can increase and the conformal ratio can decrease, and at about 0° C., the conformal ratio became about one. As shown in FIGS. 8D and 8E, when the Ar gas flow exceeds 600 sccm, the conformal ratio became high and the step coverage became low.
  • Example Resist Pattern for Double Patterning
  • Another advantage of an conformal amorphous carbon deposition process is that a lower temperature process may be used as sacrificial layer on a resist pattern for double patterning technology.
  • For forming conformal amorphous carbon layer on a resist pattern, deposition conditions in embodiments may be as follows:
  • Isoprene: 10˜300 sccm (preferably 100˜120 sccm)
  • Argon: 0˜3000 sccm (preferably 400˜600 sccm)
  • Nitrogen: 0˜1000 sccm (preferably 400˜600 sccm)
  • Process helium: 3000 sccm
  • Sealed helium: 50 sccm
  • Carrier helium: 300 sccm
  • Substrate temperature: 0˜150° C. (preferably 0˜50° C.)
  • RF power: 0.02 W/cm2˜7 W/cm2 (including a range of 0.05˜5 W/cm2, and a range of 0.5˜3 W/cm2 in embodiments).
  • Pressure: 0.1˜10 Torr (preferably 5˜6 Torr)
  • Deposition time: 30 sec.
  • The obtained amorphous carbon film differ, depending on the process conditions, in an embodiments, shows a step coverage of more than 50%, a modulus in the range of approximately 6.5˜7.5 GPa. and hardness in the range of approximately 0.3˜0.5 GPa. Additionally, in another embodiment, the density of the amorphous carbon film may be more than 0.9 g/cm3. Further, in anther embodiment, RI(n)@633 nm is in the range of approximately 1.57˜1.60. FIG. 6 illustrates a cross-sectional view of a conformal amorphous carbon layer 64 formed on a photoresist pattern 63 for lithography under the following conditions.
  • Isoprene: 100˜120 sccm
  • Argon: 600 sccm
  • Nitrogen: 800 sccm
  • Process helium: 3.0 slm
  • Seal helium: 50 sccm
  • Carrier helium: 300 sccm
  • Pressure: 733 Pa
  • RF power: 1.27 W/cm2 (400 W)
  • Deposition time: 30 sec
  • Substrate temp.: 0-25° C.
  • In FIG. 6, the photoresist pattern 63 is formed on a BARC layer 62 formed on a SiON layer 61. The photoresist pattern had a width of 30 nm, a height of 60 nm to 90 nm, and an interval of 90 nm. The conformal amorphous hydrogenated carbon layer was formed on a side wall at a thickness of 30 nm. The conformal ratio of the layer was about one and the step coverage was about 50%.
  • As illustrated in FIG. 6, amorphous carbon layer can be deposited highly conformal and completely covers sidewalls of the photoresist patterns, further, forming a gap between respective facing portions of the amorphous carbon layer on the sidewalls of the photoresist patterns.
  • FIG. 10 shows double patterning processes using a conformal amorphous hydrogenated carbon layer according to an embodiment. On a substrate 104, a BARC is formed. A photoresist 102 is formed by photolithography. A conformal amorphous hydrogenated carbon layer 102 is then deposited and covers all exposes surfaces as shown in FIG. 10A, according to any of the disclosed embodiments. The structures are subject to dry etching, thereby etching a top layer 105 and a bottom layer 106 and leaving a side wall 107 as shown in FIG. 10B. Activated ions etch predominantly the top layer 105 and the bottom layer 106 due to their vertical directionality of reaction. The photoresist 102 is then etched, thereby leaving the side walls 107 arranged at constant intervals as shown in FIG. 10C. BARC etching is then conducted so as to produce contact array comprising protrusions 107, 108.
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention.

Claims (17)

1. A method of forming a conformal amorphous hydrogenated carbon layer on an irregular surface of a semiconductor substrate by plasma CVD, said irregular surface being constituted by a top surface and multiple recesses, each recess having a side wall and a bottom surface, said side wall being substantially or nearly perpendicular to the top surface, said bottom surface being substantially or nearly parallel to the top surface, said method comprising:
vaporizing a hydrocarbon-containing precursor;
introducing said vaporized precursor and an argon gas into a CVD reaction chamber inside which the semiconductor substrate is placed;
depositing a conformal amorphous hydrogenated carbon layer on the irregular surface of the semiconductor substrate by plasma polymerization of the precursor; and
controlling the deposition of the conformal ratio of the depositing conformal amorphous hydrogenated carbon layer, said controlling comprising (a) adjusting a step coverage of the conformal amorphous hydrogenated carbon layer to about 30% or higher as a function of substrate temperature, and (b) adjusting a conformal ratio of the conformal amorphous hydrogenated carbon layer to about 0.9 to about 1.1 as a function of RF power and/or argon gas flow rate,
said step coverage being defined as a ratio of an average thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited on the side wall of the recess to an average thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited on the top surface, said conformal ratio being defined as a ratio of a thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited at a top of the side wall of the recess to a thickness of a portion of the conformal amorphous hydrogenated carbon layer deposited at a midpoint of the side wall of the recess or at a lowest point along the side wall of the recess if the lowest point is higher than the midpoint.
2. The method according to claim 1, wherein the adjusting of the step coverage is performed at a substrate temperature of about 50° C. or lower.
3. The method according to claim 1, wherein the adjusting of the conformal ratio is performed at an RF power of about 450 W or lower.
4. The method according to claim 1, wherein the adjusting of the conformal ratio is performed at an argon gas flow rate of about 0.1 slm to about 0.8 slm.
5. The method according to claim 1, wherein the recesses are formed by photo resist patterns each having a width of 10 nm to 100 nm and a height of 10 nm to 100 nm, and arranged at intervals each being about three times the width, wherein the conformal amorphous hydrogenated carbon layer is deposited on the side wall of the recesses at a thickness which is about the same as the width of the photo resist pattern, thereby arranging the conformal amorphous hydrogenated carbon layer deposited on the side wall of the recesses at intervals each being about the same as the width of the photo resist pattern.
6. The method according to claim 1, wherein the conformal amorphous hydrogenated carbon layer is constituted by carbon and hydrogen without fluorine.
7. The method according to claim 1, wherein the conformal amorphous hydrogenated carbon layer is constituted by carbon and hydrogen without dopants.
8. The method according to claim 1, wherein the hydrocarbon-containing precursor has a carbon/hydrogen ratio (C/H) of 0.55 or higher.
9. The method according to claim 1, wherein the hydrocarbon-containing precursor is cyclic.
10. The method according to claim 9, wherein the hydrocarbon-containing precursor is cyclopenten.
11. The method according to claim 1, wherein the hydrocarbon-containing precursor is non-cyclic.
12. The method according to claim 11, wherein the hydrocarbon-containing precursor is isoprene.
13. The method according to claim 1, wherein the additional gases are helium/argon or helium/krypton.
14. The method according to claim 14, wherein the helium/argon or helium/krypton is maintained at a ratio of the molar flow rate of approximately 5:1 to 10:1.
15. The method according to claim 1, wherein the deposition of the amorphous hydrogenated carbon layer on the semiconductor substrate by plasma polymerization is conducted at an RF power of between approximately 10 Watt and approximately 1000 Watt, and at a reaction chamber pressure of between approximately 0.1 Torr and approximately 10 Torr.
16. The method according to claim 1, wherein the amorphous hydrogenated carbon layer depositing on the substrate has a density of more than 0.9 g/cm3.
17. The method according to claim 16, wherein the amorphous hydrogenated carbon layer depositing on the substrate has a compressive stress of between 0 and 100 MPa.
US12/467,017 2009-05-15 2009-05-15 Method of forming highly conformal amorphous carbon layer Active 2029-08-01 US7842622B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/467,017 US7842622B1 (en) 2009-05-15 2009-05-15 Method of forming highly conformal amorphous carbon layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/467,017 US7842622B1 (en) 2009-05-15 2009-05-15 Method of forming highly conformal amorphous carbon layer

Publications (2)

Publication Number Publication Date
US20100291713A1 true US20100291713A1 (en) 2010-11-18
US7842622B1 US7842622B1 (en) 2010-11-30

Family

ID=43068835

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/467,017 Active 2029-08-01 US7842622B1 (en) 2009-05-15 2009-05-15 Method of forming highly conformal amorphous carbon layer

Country Status (1)

Country Link
US (1) US7842622B1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100093187A1 (en) * 2008-10-14 2010-04-15 Applied Materials, Inc. Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)
JP2014167142A (en) * 2013-02-28 2014-09-11 Tokyo Electron Ltd Carbon film formation method and carbon film
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
WO2016025114A1 (en) * 2014-08-14 2016-02-18 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
WO2016154305A1 (en) * 2015-03-23 2016-09-29 Applied Materials, Inc. Defect planarization
US9570303B2 (en) 2012-01-19 2017-02-14 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10128337B2 (en) * 2016-06-03 2018-11-13 Applied Materials, Inc. Methods for forming fin structures with desired profile for 3D structure semiconductor applications
CN109637926A (en) * 2015-10-09 2019-04-16 应用材料公司 The boron-carbon hard mask film of ultra high modulus and etching selectivity
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
CN111910175A (en) * 2019-05-07 2020-11-10 Asm Ip私人控股有限公司 Method for reforming amorphous carbon polymer film
WO2020231612A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Bevel peeling and defectivity solution for substrate processing
US11195923B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9171749B2 (en) 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US20050059262A1 (en) * 2003-09-12 2005-03-17 Zhiping Yin Transparent amorphous carbon structure in semiconductor devices
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20050142361A1 (en) * 2003-12-04 2005-06-30 Kabushiki Kaisha Toyota Chuo Kenkyusho Amorphous carbon, amorphous-carbon coated member, and process for forming amorphous carbon film
US20050199013A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050287771A1 (en) * 2004-03-05 2005-12-29 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20060014397A1 (en) * 2004-07-13 2006-01-19 Seamons Martin J Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7109098B1 (en) * 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060264060A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US20060263540A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20070032054A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US20070032082A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US20070032095A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20070032004A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US20070062453A1 (en) * 2005-06-15 2007-03-22 Tokyo Electron Limited Substrate processing method, computer readable recording medium and substrate processing apparatus
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20100093187A1 (en) * 2008-10-14 2010-04-15 Applied Materials, Inc. Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20070128538A1 (en) * 2000-02-17 2007-06-07 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US20050059262A1 (en) * 2003-09-12 2005-03-17 Zhiping Yin Transparent amorphous carbon structure in semiconductor devices
US20050142361A1 (en) * 2003-12-04 2005-06-30 Kabushiki Kaisha Toyota Chuo Kenkyusho Amorphous carbon, amorphous-carbon coated member, and process for forming amorphous carbon film
US20050287771A1 (en) * 2004-03-05 2005-12-29 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20050199013A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20060014397A1 (en) * 2004-07-13 2006-01-19 Seamons Martin J Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7109098B1 (en) * 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US20060263540A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060264060A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature plasma deposition process for carbon layer deposition
US20070062453A1 (en) * 2005-06-15 2007-03-22 Tokyo Electron Limited Substrate processing method, computer readable recording medium and substrate processing apparatus
US20070032095A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20070032004A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US20070032082A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20070032054A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20100093187A1 (en) * 2008-10-14 2010-04-15 Applied Materials, Inc. Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US20100093187A1 (en) * 2008-10-14 2010-04-15 Applied Materials, Inc. Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)
US9570303B2 (en) 2012-01-19 2017-02-14 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US10236182B2 (en) 2012-01-19 2019-03-19 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
JP2014167142A (en) * 2013-02-28 2014-09-11 Tokyo Electron Ltd Carbon film formation method and carbon film
US20160017484A1 (en) * 2013-02-28 2016-01-21 Tohoku University Carbon film formation method, and carbon film
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
KR20150131165A (en) * 2013-03-15 2015-11-24 어플라이드 머티어리얼스, 인코포레이티드 Layer-by-layer deposition of carbon-doped oxide films
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
US10074534B2 (en) 2013-03-15 2018-09-11 Applied Materials, Inc. Ultra-conformal carbon film deposition
KR102151611B1 (en) 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 Ultra-conformal carbon film deposition
US9337051B2 (en) 2014-08-14 2016-05-10 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
WO2016025114A1 (en) * 2014-08-14 2016-02-18 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
WO2016154305A1 (en) * 2015-03-23 2016-09-29 Applied Materials, Inc. Defect planarization
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
KR102599830B1 (en) 2015-03-23 2023-11-09 어플라이드 머티어리얼스, 인코포레이티드 Defect smoothing
KR20170129234A (en) * 2015-03-23 2017-11-24 어플라이드 머티어리얼스, 인코포레이티드 Defect flattening
US10014174B2 (en) 2015-06-11 2018-07-03 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
CN109637926A (en) * 2015-10-09 2019-04-16 应用材料公司 The boron-carbon hard mask film of ultra high modulus and etching selectivity
US11728168B2 (en) 2015-10-09 2023-08-15 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10128337B2 (en) * 2016-06-03 2018-11-13 Applied Materials, Inc. Methods for forming fin structures with desired profile for 3D structure semiconductor applications
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11469097B2 (en) 2018-04-09 2022-10-11 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11784042B2 (en) 2018-04-09 2023-10-10 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11195923B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
CN111910175A (en) * 2019-05-07 2020-11-10 Asm Ip私人控股有限公司 Method for reforming amorphous carbon polymer film
WO2020231612A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Bevel peeling and defectivity solution for substrate processing

Also Published As

Publication number Publication date
US7842622B1 (en) 2010-11-30

Similar Documents

Publication Publication Date Title
US7842622B1 (en) Method of forming highly conformal amorphous carbon layer
JP7266068B2 (en) Hybrid carbon hardmask for lateral hardmask recess reduction
US7470606B2 (en) Masking methods
US7235478B2 (en) Polymer spacer formation
CN111799167A (en) Method for manufacturing semiconductor device
US7056830B2 (en) Method for plasma etching a dielectric layer
KR20060063714A (en) Dielectric etch method with high source and low bombardment plasma providing high etch rates
JP4008352B2 (en) Insulating film etching method
KR100856005B1 (en) Method of etching carbon-containing silicon oxide films
US20020155726A1 (en) Method of removing silicon nitride film
US4784719A (en) Dry etching procedure
US7067429B2 (en) Processing method of forming MRAM circuitry
US7910487B2 (en) Reverse masking profile improvements in high aspect ratio etch
US7550390B2 (en) Method and apparatus for dielectric etching during integrated circuit fabrication
WO1987000345A1 (en) Procedure for fabricating devices involving dry etching
WO2002049089A1 (en) Method of etching porous insulating film, dual damascene process, and semiconductor device
KR100995829B1 (en) Semiconductor Device and Method for manufacturing the device
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
US20230360925A1 (en) Method For Etching High Aspect Ratio Features Within A Dielectric Using A Hard Mask Stack Having Multiple Hard Mask Layers
CN110010447A (en) Semiconductor devices and forming method thereof
US11495454B2 (en) Deposition of low-stress boron-containing layers
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US20230245890A1 (en) Pitch Scaling in Microfabrication
WO2020170865A1 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM JAPAN K.K., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, WOO-JIN;FUKAZAWA, ATSUKI;REEL/FRAME:022692/0848

Effective date: 20090515

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12