TWI554634B - 超高選擇性之可灰化硬遮罩膜 - Google Patents

超高選擇性之可灰化硬遮罩膜 Download PDF

Info

Publication number
TWI554634B
TWI554634B TW100115149A TW100115149A TWI554634B TW I554634 B TWI554634 B TW I554634B TW 100115149 A TW100115149 A TW 100115149A TW 100115149 A TW100115149 A TW 100115149A TW I554634 B TWI554634 B TW I554634B
Authority
TW
Taiwan
Prior art keywords
substrate
amorphous carbon
processing chamber
carbon layer
film
Prior art date
Application number
TW100115149A
Other languages
English (en)
Other versions
TW201204862A (en
Inventor
李光德道格拉斯
西蒙斯馬丁傑
拉提蘇哈
程秋
林麥可H
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201204862A publication Critical patent/TW201204862A/zh
Application granted granted Critical
Publication of TWI554634B publication Critical patent/TWI554634B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)

Description

超高選擇性之可灰化硬遮罩膜
本發明實施例大致關於積體電路的製造,更明確地,本發明實施例係關於非晶碳層的沉積,且該非晶碳層具有高膜密度與高蝕刻選擇性。
積體電路已經發展成可在單一晶片上包括數百萬個電晶體、電容器與電阻器的複雜元件。晶片設計的發展持續需要更快的電路與更高的電路密度。更快電路與更高電路密度的需求對用於製造上述積體電路的材料施加相應的需求。明確地說,隨著積體電路部件尺寸降低至次微米尺寸,不僅必須利用低電阻率導電材料(例如,銅)來改善元件的電性能,且亦必須利用低介電常數材料(通常稱為低k材料)。低k材料的介電常數通常小於4.0。
製造具有低k材料的元件,且該元件具有些微表面缺陷或特徵變形或不具有表面缺陷或特徵變形,上述製造係有困難的。低k介電材料通常為多孔的,且低k介電材料在後續處理步驟中容易刮到或受到傷害,因此提高在基板表面上形成缺陷的可能性。低k材料通常易碎,且低k材料可能在傳統研磨處理(例如,化學機械研磨(CMP))下變形。有一種限制或降低低k材料的表面缺陷與變形的方案,該方案在圖案化與蝕刻之前沉積硬遮罩 (例如,氮化鈦硬遮罩)覆蓋暴露的低k材料。硬遮罩避免低k材料的傷害與變形。此外,硬遮罩層可作為搭配傳統光微影技術的蝕刻遮罩,以避免在蝕刻過程中移除低k材料。
一般而言,硬遮罩係中間的氧化物層(諸如,二氧化矽或氮化矽)。然而,某些元件結構已經包括有二氧化矽與/或氮化矽層,舉例而言,上述元件結構為鑲嵌結構。因此,無法利用二氧化矽或氮化矽硬遮罩作為蝕刻遮罩來圖案化上述元件結構,因為在硬遮罩與其下方材料之間的蝕刻選擇性極小,或者硬遮罩與其下方材料之間不具蝕刻選擇性,也就是說,移除硬遮罩將對下方層造成無法接受的傷害。為了作為氧化物層(諸如,二氧化矽或氮化矽)的蝕刻遮罩,材料必須具有相對這些氧化物層的良好蝕刻選擇性。
已經證實非晶氫化碳(亦稱為非晶碳且標示成a-C:H)係硬遮罩的有效材料,該硬遮罩係用於氧化物、氮化物、多晶-Si或金屬(例如,Al)材料。非晶氫化碳基本上為不具有長程結晶次序的碳材料,該碳材料可包含實質的氫含量,舉例而言,氫含量約為10至45原子%。由於a-C:H的化學惰性、光學透明度與良好機械性質,在半導體應用中將a-C:H作為硬遮罩材料。雖然可透過不同技術沉積a-C:H膜,但因為成本效益與膜性質的可調整性,廣泛地應用電漿增強化學氣相沉積(PECVD)來沉積a-C:H膜。
為了確保所欲之非晶碳膜可在乾燥蝕刻過程中適當地保護下方材料層,非晶碳膜相對於其下方材料層具有相當高的蝕刻選擇性或移除速率比例這件事係相當重要的。一般而言,乾燥蝕刻處理過程中,樂見非晶碳膜與材料層之間的蝕刻選擇性至少為約3:1或更高(例如,10:1),也就是說,比非晶碳膜快上數十倍地蝕刻材料層。此方式中,當透過乾燥蝕刻處理形成孔於下方材料層中時,非晶碳形成之硬遮罩膜保護下方材料層不受到蝕刻或傷害。
已經知道可隨著較高膜密度而提高蝕刻選擇性。然而,對於非晶碳硬遮罩而言,在高膜密度與硬遮罩可灰化能力之間通常有所折衷。因此,需要具有較高膜密度(與隨之而來的蝕刻選擇性)的非晶碳硬遮罩,同時維持硬遮罩的適當可灰化能力。
本發明實施例提供在基板上沉積非晶碳層的方法,而基板係位在基板處理腔室中。一實施例中,方法包括將烴源導入處理腔室;將電漿-起始氣體導入處理腔室,而電漿-起始氣體係選自氦、氫、氬、氮與上述之組合所構成的群組;將稀釋氣體導入處理腔室,而稀釋氣體係由氬組成,其中氬的體積流率與烴源的體積流率的比例係約20:1或更高;在約1托耳至10托耳之實質較低壓力 下,於處理腔室中產生電漿;及形成共形非晶碳層於基板上。一態樣中,將額外稀釋氣體導入處理腔室以提高非晶碳層的膜密度高達1.9克/立方公分,而額外稀釋氣體係由氫所組成。
本發明實施例亦提供在處理腔室中形成半導體元件的方法。一實施例中,方法包括藉由將氣體混合物導入處理腔室來形成非晶碳層於基板上,其中氣體混合物包括烴源、氦、氬與氫,其中烴源、氦、氬與氫的莫耳流率比例係分別為1:0.5:20:1.4;在處理腔室中自氣體混合物產生電漿,以便形成非晶碳層於基板上;在非晶碳層的至少一區域中界定圖案;並利用非晶碳層作為遮罩,將界定於非晶碳層的至少一區域中的圖案轉移至基板中。
本發明實施例大致提供具有高膜密度、高蝕刻選擇性與適當可灰化能力的硬遮罩的形成方法,同時仍維持次45nm元件的優良線路完整性。高蝕刻選擇性能應用較薄的硬遮罩,而較薄的硬遮罩改善蝕刻邊界並可控制較小特徵結構的蝕刻,且較薄的硬遮罩不具有與線路擺動及線路彎曲相關的傳統問題。
示範性硬體
第1圖係基板處理系統1000的示意圖,基板處理系統 1000可用於根據本發明實施例的特徵結構與/或非晶碳層的沉積。適當系統的實例包括CENTURA®系統,CENTURA®系統可利用DxZTM處理腔室;PRECISION 5000®系統;PRODUCERTM系統,例如PRODUCER SETM處理腔室與PRODUCER GTTM處理腔室;上述所有系統均可自Applied Materials,Inc.(Santa Clara,Calif)購買。
系統1000包括處理腔室1025、氣體面板1030、控制單元1010與其他硬體部件,其他硬體部件諸如電源與真空泵。一用於本發明的系統實施例的細節描述於共同受讓的美國專利,該美國專利為2002年4月2日核發且專利號為6,364,954的「High Temperature Chemical Vapor Deposition Chamber」,將該美國專利以參考資料併入本文中。
處理腔室1025通常包括支撐基座1050,支撐基座1050係用來支撐基板,而基板係例如半導體基板1090。此基座1050利用位移機構(未顯示)在處理腔室1025內部的垂直方向中移動,而位移機構係耦接至軸1060。取決於處理,可在處理之前將基板1090加熱至所欲溫度。藉由嵌入式加熱元件1070來加熱基板支撐基座1050。舉例而言,藉由自AC電源1006施加電流至加熱元件1070來電阻式地加熱基座1050。接著,藉由基座1050來加熱基板1090。亦將溫度感測器1072嵌於基板支撐基座1050中,以監控基座1050的溫度,溫度感測器1072係例如熱電偶。將測量到的溫度用於回饋迴路,以控制用 於加熱元件1070的AC電源1006。可針對特定處理應用選擇一溫度,並將基板溫度維持或控制在該溫度下。
真空泵1002係用於排空處理腔室1025,且真空泵1002係用於維持處理腔室1025內部的適當氣流與壓力。透過噴頭1020將處理氣體導入處理腔室1025,而噴頭1020係位於基板支撐基座1050上方,且噴頭1020係適以提供均勻分佈的處理氣體進入處理腔室1025。噴頭1020係連接至氣體面板1030,氣體面板1030可控制並供應用於處理次序不同步驟的不同處理氣體。處理氣體可包括烴源與電漿-起始氣體,且搭配下方示範性氬-稀釋沉積處理的描述而更詳細地描述處理氣體。
氣體面板1030亦可用於控制且供應不同蒸發的液體前驅物。舉例而言,雖然未顯示,但可藉由液體注入蒸發器來蒸發來自液體前驅物供應器的液體前驅物,並在載氣存在下將蒸發的液體前驅物輸送至處理腔室1025。一般而言,載氣係惰性氣體,惰性氣體係例如氮;或者載氣係貴族氣體,貴族氣體係諸如氬或氦。或者,可藉由熱與/或真空增加蒸發處理自安瓿蒸發液體前驅物。
噴頭1020與基板支撐基座1050亦可形成一對分隔的電極。在這些電極之間產生電場時,將導入腔室1025的處理器體點燃成電漿1092。一般而言,將基板支撐基座1050透過匹配網路(未顯示)連接至單頻或雙頻射頻(RF)功率源(未顯示)來產生電場。或者,RF功率源與匹配網路可耦接至噴頭1020,或者,RF功率源與匹配網路可耦 接至噴頭1020與基板支撐基座1050兩者。
PECVD技術藉由施加電場至基板表面附近的反應區域,來促進反應氣體的激發與/或解離,而產生反應物種的電漿。電漿中的物種的反應性降低化學反應發生所需的能量,這可有效地降低上述PECVD處理的所需溫度。
氣體與液體流過氣體面板1030,藉由質流控制器(未顯示)與控制單元1010(例如,電腦)來執行氣體流與液體流的適當控制與調節。噴頭1020可讓來自氣體面板1030的處理氣體均勻地分散且導入處理腔室1025。示範性的控制單元1010包括中央處理單元(CPU)1012、支援電路1014與記憶體,而記憶體包含相關控制軟體1016。此控制單元1010負責基板處理所需的多個步驟的自動控制,多個步驟係諸如基板傳送、氣體流量控制、液體流量控制、溫度控制、腔室排空等等。當處理氣體混合物離開噴頭1020時,電漿在加熱基板1090的表面1091處增強烴化合物熱分解的發生,而造成非晶碳層沉積於基板1090上。
包括a-C層作為硬遮罩的示範性製造次序
第2A-2E圖描繪基板100的示意橫剖面圖,第2A-2E圖分別為包括a-C:H層作為硬遮罩的積體電路製造次序的不同階段。基板結構150代表基板100以及形成於基板100上的其他材料層。第2A圖描繪基板結構150的橫剖面圖,且基板結構150具有已經傳統地形成於基板100上的材料層102。材料層102可為低k材料與/或氧化物, 氧化物係例如SiO2
第2B圖描繪非晶碳層104,非晶碳層104係沉積於第2A圖的基板結構150上。藉由傳統手段將非晶碳層104形成於基板結構150上,傳統手段係例如透過PECVD。取決於處理的特定階段,非晶碳層104的厚度係可變的。一般而言,非晶碳層104的厚度範圍係約500埃至約10000埃。取決於製造次序中所用的能源敏感阻劑材料108的蝕刻化學,可在形成能源敏感阻劑材料108之前,形成選擇性覆蓋層(未顯示)於非晶碳層104上。當將圖案轉移於非晶碳層104中時,選擇性覆蓋層用以作為非晶碳層104的遮罩並保護非晶碳層104免於能源敏感阻劑材料108。
如第2B圖所示,將能源敏感阻劑材料108形成於非晶碳層104上。可在基板上旋塗能源敏感阻劑材料108的層,層的厚度可在約2000埃至約6000埃範圍之中。大部份的能源敏感阻劑材料對紫外線(UV)輻射敏感,而紫外線(UV)輻射的波長低於約450奈米,而某些應用中,紫外線(UV)輻射的波長係245奈米或193奈米。
藉由透過圖案化元件(例如,遮罩110)將能源敏感阻劑材料108暴露於UV輻射130,並接著在適當顯影液中顯影能源敏感阻劑材料108,而將圖案導入能源敏感阻劑材料108的層。在已經顯影能源敏感阻劑材料108之後,由開口140所構成之所欲圖案將存在於能源敏感阻劑材料108中,如第2C圖所示。
隨後,參照第2D圖,利用能源敏感阻劑材料108作為遮罩,將界定於能源敏感阻劑材料108中的圖案轉移通過非晶碳層104。應用適當的化學蝕刻劑,該適當的化學蝕刻劑選擇性蝕刻非晶碳層104多於蝕刻能源敏感阻劑材料108與材料層102,而將開口140延伸至材料層102的表面。適當的化學蝕刻劑包括臭氧、氧、或氨電漿。
參照第2E圖,隨後利用非晶碳層104作為硬遮罩將圖案轉移通過材料層102。此處理步驟中,蝕刻劑係用於選擇性移除材料層102多於移除非晶碳層104,此處理步驟係例如乾燥蝕刻,即非反應性電漿蝕刻。在圖案化材料層102之後,可自基板100選擇性地剝除非晶碳層104。製造次序的特定實例中,將界定於a-C:H硬遮罩中的圖案併入積體電路的結構中,該結構係例如鑲嵌結構,鑲嵌結構一般用於在積體電路上形成金屬互連。
沉積處理
如前所述,由於非晶氫化碳的高化學惰性、光學透明度與容易移除,非晶氫化碳在半導體應用中係作為蝕刻硬遮罩的材料。已經發現可藉由提高膜密度來達成a-C:H膜的所欲蝕刻選擇性。本發明人已經證實下述的本發明態樣能夠產生具有高膜密度的a-C:H膜,而具有高膜密度也因此具有較高的蝕刻選擇性,同時仍維持硬遮罩的有效可灰化能力。高蝕刻選擇性能夠利用較薄的硬遮 罩,而較薄的硬遮罩改善蝕刻邊界並可控制較小特徵結構的蝕刻,藉此得到次45nm下元件的優良線路完整性,且不具有與線路擺動及線路彎曲相關的傳統問題。
根據本發明的一沉積處理態樣中,藉由將烴源、電漿-起始氣體與稀釋氣體導入處理腔室來形成a-C:H膜,處理腔室係例如參照第1圖描述於上的處理腔室1025。接著在腔室中引起電漿以產生激發的CH-基團。基板係位於腔室中,而激發的CH-基團化學鍵結至基板的表面,而於基板的表面上形成所欲的a-C:H膜。烴源可為一或更多烴化合物的混合物。烴源可包括氣相烴化合物與/或包括液相烴化合物的蒸氣與載氣的氣體混合物。一實施例中,烴化合物係C2H2。然則,取決於所欲膜,可應用其他烴化合物,其他烴化合物包括夾帶於載氣中一或更多蒸發的液相烴化合物。由於氦容易被離子化,電漿-起始氣體可為氦;然而,電漿-起始氣體亦可利用其他氣體(例如,氬)。稀釋氣體可為容易離子化、相當大量且化學惰性的氣體,諸如氬、氪、氙。本發明一實施例中,可導入額外的氫稀釋氣體以進一步提高膜密度,這將描述於下。
利用部分或完全摻雜的烴化合物衍生物形成的非晶碳膜亦可受惠於本方法。衍生物包括烴化合物的含氮、含氟、含氧、含羥基與含硼衍生物。烴化合物可包含氮,或者烴化合物可與含氮氣體(例如,氨)一起沉積,或者烴化合物可具有諸如氟與氧的取代基。針對本發明方法 沉積的未參雜a-C:H膜描述密度、沉積速率與共形性的改善,而任何這些處理可受惠所述的改善。可用於自本發明態樣受惠之處理中的烴化合物或烴化合物的摻雜衍生物的更詳細描述可見於下方文獻,2005年2月24日核發的共同受讓美國專利7,407,893號「Liquid Precursors for the CVD deposition of Amorphous Carbon films」,與共同受讓的美國專利公開案2010/0093187「Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition(PECVD)」,將上述文獻中與所請發明不一致的部分以參考資料併入本文中。
一般而言,可包含於烴源中的烴化合物或烴化合物衍生物可描述成式CxHy,其中x的範圍係在1與20之間,而y的範圍係在1與20之間。另一實施例中,可包含於烴源中的烴化合物或烴化合物衍生物可描述成式CxHyFz,其中x的範圍係在1與24之間,y的範圍係在0與50之間,而z的範圍係在0至50之間,而x比上y+c的比例係1:2或更大。又另一實施例中,烴源可描述成式CaHbOcFdNe,該式為氧與/或氮取代之化合物,其中a的範圍係在1與24之間,b的範圍係在0與50之間,c的範圍係在0至10之間,d的範圍係在0與50之間,e的範圍係在0至10之間,而a比上b+c+d+e的比例係1:2或更大。
適當的烴化合物包括一或更多下列化合物,舉例而 言,烷烴:甲烷(CH4);乙烷(C2H6);丙烷(C3H8);丁烷(C4H10)與丁烷異構物,丁烷異構物為異丁烷;戊烷(C5H12)與戊烷異構物,戊烷異構物為異戊烷與新戊烷;己烷(C6H14)與己烷異構物,己烷異構物為2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷與2,2-二甲基丁烷等等。額外適當烴化合物可包括烯烴,烯烴諸如乙烯、丙烯、丁烯與丁烯異構物、戊烯與戊烯異構物等等;二烯烴,二烯烴諸如丁二烯、異戊二烯、戊二烯、己二烯等等;及鹵化烯烴,鹵化烯烴包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等等。再者,炔烴可用來作為碳前驅物,炔烴諸如乙炔(C2H2)、丙炔(C3H4)、乙烯基乙炔與上述之衍生物。此外,可應用芳香族烴化合物,芳香族烴化合物諸如苯、苯乙烯、甲苯、二甲苯、乙基苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、酚、甲酚、呋喃等等、α-萜品烯、甲異丙苯(cymene)、1,1,3,3,-四甲基丁基苯、t-丁基醚、t-丁基乙烯、甲基丙烯酸甲酯與t-丁基呋喃醚、具有式C3H2與C5H4的化合物、鹵化芳香族化合物,鹵化芳香族化合物包括單氟苯、二氟苯、四氟苯、六氟苯等等。
適當烴化合物衍生物的實例可包括(但不限於)氟化烷烴、鹵化烷烴與鹵化芳香族化合物。氟化烷烴可包括(但不限於)單氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、單氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、單氟丙烷、三氟丙烷、五氟丙烷、全氟丙烷、單氟丁烷、三氟丁烷、 四氟丁烷、八氟丁烷、二氟丁烷、單氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、單氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷等等。鹵化烯烴可包括(但不限於)單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等等。鹵化芳香族化合物可包括(但不限於)單氟苯、二氟苯、四氟苯、六氟苯等等。本說明書通篇所述的a-C:H膜可為自Applied Materials,Inc.(Santa Clara,California)購買的Advanced Patterning FilmTM( APF )材料。
可將基板溫度維持在約0℃與約800℃間而自處理氣體沉積a-C:H膜,以最小化生成膜的吸收係數,基板溫度係例如約100℃至約650℃,例如約200℃至約480℃。在不進行處理時,將處理腔室維持在約1毫托耳約5毫托耳的輕度真空下。可藉由將腔室壓力維持在約1托耳至約5托耳下或更高而自處理氣體沉積a-C:H膜,腔室壓力係例如約2托耳至約10托耳或更高,或例如約20托耳。一實施例中,將腔室壓力維持在約7托耳或更高,舉例而言,壓力係約8托耳至約9托耳。
將烴源、電漿-起始氣體與稀釋氣體導入腔室,並引發電漿而開始沉積。較佳地,電漿-起始氣體為氦或另一容易離子化的氣體,且在導入烴源與稀釋氣體之前將電漿-起始氣體導入腔室,這可形成穩定的電漿並降低電弧的發生機會。一實施例中,由於乙炔(C2H2)可形成更穩定 的中間物種,烴源為乙炔(C2H2),而穩定的中間物種可允許更多的表面移動性,雖然如上所述,可根據所欲膜來應用其他的烴化合物,其他的烴化合物包括一或更多夾帶於載氣中的液相烴化合物。稀釋氣體可為任何的貴族氣體且至少與氬、氪或氙一樣大量,然而,因為烴濟因素,氬係較佳的。通常認為原子量輕於氬的氣體非為較佳的氣體,因為這些氣體無法達成有利的沉積速率與膜密度。然而,本發明人驚喜且非預期地發現額外的氫稀釋亦可提高膜密度高達1.98克/立方公分且帶有-1000Mpa應力,這密度比現行可取得的最好可灰化應遮罩的密度高上50%-80%,詳細內容則描述於下。
雙頻RF系統可用來產生電漿。由於一般認為離子撞擊膜表面的能量影響膜密度,一般認為雙頻RF功率應用可提供獨立控制的流動與離子能量。一般認為高頻率電漿控制電漿密度,而低頻率電漿控制離子撞擊基板表面的動能。雙頻混合RF功率源提供約10MHz至約30MHz的高頻功率(例如,約13.56MHz)以及約10KHz至約1MHz的低頻功率(例如,約350KHz)。當應用雙頻RF系統來沉積a-C:H膜時,第二RF功率比上總體混合頻率功率的比例可低於約0.6:1.0(0.6:1)。根據應用的基板尺寸與設備,施加的RF功率與應用的一或更多頻率可有所變化。若想要的話,可應用單一頻率的RF功率應用,一般而言,單一頻率的RF功率應用為本文所述的高頻率功率應用。
可在約0.01瓦/平方公分至約5瓦/平方公分的功率密度與基板表面積比例下施加RF功率來產生電漿,功率密度與基板表面積比例係例如約0.01至約1瓦/平方公分。一實施例中,用於沉積a-C:H膜的單一頻率RF功率係在約500瓦與約3000瓦之間,單一頻率RF功率係例如1400瓦。電極間距(即,基板與噴頭間之距離)可為約200密爾至約1000密爾,電極間距係例如約280密爾至約300密爾。
為了最大化氬與氫稀釋沉積方法的優點,重要的是相對於烴化合物數量將大量的稀釋劑導入PECVD腔室。然而,同樣重要的是稀釋劑導入腔室的流率不要太高。提高稀釋劑流率會形成較高密度的a-C:H層、產生較高蝕刻選擇性的a-C:H膜,然則較高密度亦會導致較高的膜應力。a-C:H膜中非常高的膜應力會造成嚴重問題,嚴重問題係諸如a-C:H膜與基板表面的黏著性差與/或a-C:H膜的斷裂。因此,相對烴化合物添加氬與氫超過某一莫耳比例會有害地影響膜的性質。因此,取決於沉積膜的所欲性質有一處理窗口,其中進入PECVD腔室的稀釋氣體莫耳流率與烴化合物莫耳流率的比例係在約2:1與約40:1之間。
用於處理300mm圓形基板的示範性沉積處理應用氦作為電漿-起始氣體,該示範性沉積處理應用C2H2或C3H6作為烴源,且示範性沉積處理應用氬與氫作為稀釋氣體。氦的示範流率係在約200sccm與約1000sccm之 間,C2H2或C3H6的流率係在約200sccm與1000sccm之間,氬的流率係在約1000sccm與約20000sccm之間,而氫的流率係在約500sccm與約5000sccm之間。一實例中,氬的流率係在約10000sccm至約14000sccm之間,而氫的流率係在約800sccm至約1000sccm之間。單一頻率RF功率係在約800瓦與約1600瓦之間。此處理的集約參數(intensive parameters)(即,腔室壓力、基板溫度等)係描述於上。這些處理參數提供a-C:H層的沉積速率係在約900埃/分至約1200埃/分之範圍中,這些處理參數提供a-C:H層的密度係約1.90克/立方公分,且這些處理參數提供a-C:H層對633nm輻射的的吸收係數係約0.58。一實施例中,烴源與氬的莫耳流率比例係約1:10或更高,烴源與氬的莫耳流率比例係例如約1:20。一實施例中,乙炔(C2H2)、氦氣、氬氣與氫氣分別的莫耳流率比例係1:0.5:20:1.4。預期熟悉技術人士在閱讀本文揭露後能夠計算適當處理參數或比例,以產生具有與本文所述不同的密度、吸收係數或沉積速率的a-C:H膜。
表1概述三個a-C:H膜的比較,這三個a-C:H膜分別沉積於三個300mm圓形基板上。利用本發明一態樣以C2H2或C3H6作為烴源來沉積膜1~3。利用傳統氦-基沉積處理以C3H6作為烴源來沉積膜4,當前認為傳統氦-基沉積處理為半導體工業的標準處理。
表1
參照表1,在比膜4實質較低壓力下沉積膜1~3,且膜1~3的烴化合物流率比膜4的烴化合物流率小1/2。明確地說,利用大量氬與氫的稀釋氣體來沉積膜1~3。表1描述膜1~3的性質優於膜4的性質,即大幅改善的膜密度。即便僅比較膜3與膜4,可發現膜3提供增加的膜密度,膜3與膜4具有相似的處理條件,除了膜3中的低壓以及相當大的氬與氫稀釋劑流率的添加以外。上表1顯示利用本文所述的本發明方法,可形成較高膜密度 的非晶碳膜,因為較高膜密度之故,非晶碳膜具有優良的蝕刻選擇性。
根據本發明實施例,此方法的一重要優點為提高a-C:H膜的膜密度並因此提高a-C:H膜的乾燥蝕刻選擇性的能力。注意到本發明方法的態樣亦預期使用實質高於PECVD腔室中起始電漿或作為液相前驅物化學物的載氣所需流率的氬。舉例而言,當氬作為液相前驅物的載氣時,進入300mm PECVD腔室的氬流率通常為約2000sccm或更少的等級。一般而言,進入上述腔室的氦流率更少。相對地,用於提高非晶碳膜密度作為稀釋氣體的所欲氬流率係更高,即高於約7000sccm。
已經發現氬離子在膜生成過程中更有效地撞擊基板的表面。並非意圖受限於任何特定理論,但咸信沉積過程中氬離子的更強烈撞擊可產生更多懸垂鍵與化學活性位置,而電漿中的CH-基團可黏附至懸垂鍵與化學活性位置因而形成更密集的膜。此外,大流率的容易離子化的氣體(例如,氬)能夠引起較高的電漿密度,並因此可在氣相中產生更多的-CHx基團。與氬稀釋相關的有更具反應性的電漿與更具反應性的膜表面,而更具反應性的電漿與更具反應性的膜表面一起導致高沉積速率與高膜密度的優點組合。動量與較輕離子的較低質量相關,由於較輕的離子(例如,氦離子)缺少動量,因此較輕的離子無法產生相似結果。然而,意外且非預期地發現額外的H2稀釋能夠提高膜密度,如先前參照表1所述。第3 圖係描述額外氫稀釋氣體在a-C:H膜密度上效應的圖式。在沉積過程中將氫流率由0sccm提高至3000sccm,並顯示出膜密度與氫流處理過程中應用的較高氫流率實質成比例地增加。這顯示出可藉由添加氫稀釋劑來進一步提高非晶碳膜的膜密度。雖然不認為受限於任何特定理論,但咸信額外氫稀釋(即,H2的增加使用)降低a-C:H膜的沉積速率,這接著讓來自CVD電漿的離子撞擊更有效地密實生成膜,藉此提供a-C:H膜的額外緊密化。第3圖亦顯示太高的H2稀釋(例如,超過2000sccm)反而會降低膜密度。此外,當氫濃度太高時,會劣化沉積的a:C-H膜的共形性。
亦已經確定其他因素可有利地提高a-C:H膜的沉積膜密度,以藉此提高乾燥蝕刻選擇性。舉例而言,這些因素包括減少烴源的流率以及降低處理壓力。如上表1所述,已經發現降低的腔室壓力與/或減少的烴源流率亦可降低a-C:H膜的沉積速率,並藉此讓來自CVD電漿的離子撞擊更有效地密實生成膜,離子撞擊更有效地密實生成膜接著可提高a-C:H膜的膜密度且因此提高蝕刻選擇性。由於電漿中的離子能量與鞘區電壓成正比,且橫跨基板的鞘區電壓會隨著壓力降低而提高,因此腔室壓力在膜密度上有實質效應,預期膜密度會隨著壓力降低而增加。然而,由於在較低壓力電漿中發現更具能量的離子,膜密度會隨著處理壓力的提高而降低。
上述的本發明方法可達成a-C:H膜的高密度膜與相當 高沉積速率兩者特徵。相較於標準氦-基PECVD處理,當大量應用氬與氫作為稀釋氣體時,可將a-C:H膜的膜密度由1.40克/立方公分大幅提高至約1.90克/立方公分。a-C:H膜的提高密度造成硬遮罩膜的較高蝕刻選擇性,而藉此提供良好的線路邊緣粗糙度、線路寬度粗糙度與間隔寬度粗糙度,且不具有線路擺動及線路彎曲問題,通常在利用傳統非晶碳硬遮罩的次45nm元件上會看到線路擺動及線路彎曲問題。
雖然上述係針對本發明之實施例,但可在不悖離本發明之基本範圍下設計出本發明之其他與更多實施例,而本發明之範圍係隨附之申請專利範圍所確定。
100、1090‧‧‧基板
102‧‧‧材料層
104‧‧‧非晶碳層
108‧‧‧能源敏感阻劑材料
110‧‧‧遮罩
130‧‧‧UV輻射
140‧‧‧開口
150‧‧‧基板結構
1000‧‧‧系統
1002‧‧‧真空泵
1006‧‧‧AC電源
1010‧‧‧控制單元
1012‧‧‧中央處理單元
1014‧‧‧支援電路
1016‧‧‧相關控制軟體
1020‧‧‧噴頭
1025‧‧‧處理腔室
1030‧‧‧氣體面板
1050‧‧‧基座
1060‧‧‧軸
1070‧‧‧加熱元件
1072‧‧‧溫度感測器
1091‧‧‧表面
1092‧‧‧電漿
為了更詳細地了解本發明之上述特徵,可參照實施例(某些描繪於附圖中)來理解本發明簡短概述於上之特定描述。然而,需注意附圖僅描繪本發明之典型實施例而因此不被視為本發明之範圍的限制因素,因為本發明可允許其他等效實施例。
第1圖係基板處理系統的示意圖,該基板處理系統可用於執行根據本發明實施例的非晶碳層沉積;第2A-2E圖(先前技術)描繪積體電路製造次序不同階段的基板的示意橫剖面圖,積體電路製造次序包括非晶碳層作為硬遮罩;及 第3圖係顯示額外氫稀釋氣體在a-C:H膜密度上效應的曲線圖。

Claims (16)

  1. 一種在一基板上形成一非晶碳層的方法,該基板係位於一基板處理腔室中,而該方法包括:將一烴源導入該處理腔室;將氬、氦、氫與氮導入該處理腔室,其中該氬的一體積流率與該烴源的一體積流率的比例係約10:1至約20:1,且該氫氣的體積流率與該烴源的體積流率的比例係約1.4:1至約6:1;在一約1托耳至10托耳的壓力下,於該處理腔室中產生一電漿;及形成一共形非晶碳層於該基板上,其中該非晶碳層的一膜密度為約1.98克/立方公分且帶有-1000Mpa應力。
  2. 如申請專利範圍第1項所述的方法,其中該處理腔室具有一氣體分散器,在該非晶碳層形成過程中,該氣體分散器配置在與一基板表面相隔約200密爾與約1000密爾之間的距離處。
  3. 如申請專利範圍第1項所述的方法,其中該烴源包括一或更多具有通式CxHy的烴化合物,其中x的一範圍係在1與20之間,而y的一範圍係在1與20之間。
  4. 如申請專利範圍第3項所述的方法,其中該一或更多烴化合物係選自下列所構成之群組:乙炔(C2H2)、乙烯(C2H4)、乙烷(C2H6)、丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)與上述之組合。
  5. 如申請專利範圍第1項所述的方法,其中該烴源係乙炔(C2H2),而該乙炔:氦:氬:氫的一莫耳流率比例係1:0.5:20:1.4。
  6. 如申請專利範圍第1項所述的方法,其中在該非晶碳層形成過程中將該基板加熱至一約100℃至約480℃的溫度。
  7. 一種在一處理腔室中形成一半導體元件的方法,該方法包括:藉由將一氣體混合物導入該處理腔室而形成一非晶碳層於一基板上,其中該氣體混合物包括一烴源、氦、氬與氫,其中該烴源、氦、氬與氫的莫耳流率比例分別為1:0.5:20:1.4;在該處理腔室中自該氣體混合物產生一電漿,以形成該非晶碳層於該基板上;在該非晶碳層的至少一區域中界定一圖案;及利用該非晶碳層作為一遮罩,將界定於該非晶碳層的 至少一區域中的該圖案轉移進入該基板。
  8. 如申請專利範圍第7項所述的方法,其中該電漿係在一約1托耳至約10托耳的壓力下於該處理腔室中被產生。
  9. 如申請專利範圍第7項所述的方法,其中該非晶碳層的一膜密度係在約1.9克/立方公分與約2.5克/立方公分之間。
  10. 如申請專利範圍第7項所述的方法,其中該氣體混合物係藉由一氣體分散器而被導入該處理腔室,該氣體分散器係與一基板表面相隔約200密爾與約1000密爾之間而配置。
  11. 如申請專利範圍第7項所述的方法,其中該烴源包括一或更多具有通式CxHy的烴化合物,其中x的一範圍係在1與20之間,而y的一範圍係在1與20之間。
  12. 如申請專利範圍第11項所述的方法,其中該一或更多烴化合物係選自下列所構成之群組:乙炔(C2H2)、乙烯(C2H4)、乙烷(C2H6)、丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)與上述之組合。
  13. 如申請專利範圍第7項所述的方法,其中該烴源包括乙炔(C2H2)。
  14. 如申請專利範圍第7項所述的方法,其中在該非晶碳層形成過程中將該基板加熱至一約100℃至約480℃的溫度。
  15. 如申請專利範圍第7項所述的方法,其中在該非晶碳層形成過程中施加一單頻RF功率,該單頻RF功率係在約500瓦與約3000瓦之間。
  16. 一種在一基板上形成一非晶碳層的方法,該基板係位於一基板處理腔室中,而該方法包括:將一烴源導入該處理腔室,其中該烴源包括乙炔(C2H2);將氬、氦、氫與氮導入該處理腔室,其中該乙炔:氫的一莫耳流率比例係約1:1.4至約1:6;在一約1托耳至10托耳的壓力下,於該處理腔室中產生一電漿;及形成一共形非晶碳層於該基板上,其中該非晶碳層的一膜密度為約1.90克/立方公分且帶有-1000Mpa應力。
TW100115149A 2010-05-20 2011-04-29 超高選擇性之可灰化硬遮罩膜 TWI554634B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/784,341 US8361906B2 (en) 2010-05-20 2010-05-20 Ultra high selectivity ashable hard mask film

Publications (2)

Publication Number Publication Date
TW201204862A TW201204862A (en) 2012-02-01
TWI554634B true TWI554634B (zh) 2016-10-21

Family

ID=44972835

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100115149A TWI554634B (zh) 2010-05-20 2011-04-29 超高選擇性之可灰化硬遮罩膜

Country Status (6)

Country Link
US (1) US8361906B2 (zh)
JP (1) JP2013526783A (zh)
KR (1) KR20130113958A (zh)
CN (1) CN102934209A (zh)
TW (1) TWI554634B (zh)
WO (1) WO2011146212A2 (zh)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN102969624A (zh) * 2012-11-12 2013-03-13 华为技术有限公司 一种连接器及电子设备
US9160116B2 (en) 2012-11-12 2015-10-13 Huawei Technologies Co., Ltd. Connector and electronic device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
JP6163820B2 (ja) * 2013-03-27 2017-07-19 日本ゼオン株式会社 エッチング方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
JP2017507477A (ja) * 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
KR20160119849A (ko) * 2014-02-11 2016-10-14 어플라이드 머티어리얼스, 인코포레이티드 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371861A1 (en) * 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102171265B1 (ko) 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11043372B2 (en) 2017-06-08 2021-06-22 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
KR20200130490A (ko) * 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TWI764002B (zh) 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11842897B2 (en) 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20210132731A (ko) * 2019-03-25 2021-11-04 램 리써치 코포레이션 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
JP2022534885A (ja) 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ
WO2020243342A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
CN115485811A (zh) * 2020-05-05 2022-12-16 朗姆研究公司 用于提高硬掩模的选择性的惰性气体注入
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11404263B2 (en) 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230397416A1 (en) * 2022-06-03 2023-12-07 Tokyo Electron Limited Metal Hardmasks
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200949909A (en) * 2008-03-05 2009-12-01 Applied Materials Inc Method for depositing an amorphous carbon film with improved density and step coverage

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4719968A (en) * 1981-01-15 1988-01-19 Speros Phillip C Heat exchanger
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7037830B1 (en) * 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7579228B2 (en) * 2007-07-10 2009-08-25 Freescale Semiconductor, Inc. Disposable organic spacers
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200949909A (en) * 2008-03-05 2009-12-01 Applied Materials Inc Method for depositing an amorphous carbon film with improved density and step coverage

Also Published As

Publication number Publication date
KR20130113958A (ko) 2013-10-16
CN102934209A (zh) 2013-02-13
TW201204862A (en) 2012-02-01
WO2011146212A2 (en) 2011-11-24
US20110287633A1 (en) 2011-11-24
JP2013526783A (ja) 2013-06-24
WO2011146212A3 (en) 2012-03-01
US8361906B2 (en) 2013-01-29

Similar Documents

Publication Publication Date Title
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
TWI702654B (zh) 超高模量與蝕刻選擇性的硼-碳硬遮罩膜
TWI426545B (zh) 沉積具有改進密度與階梯覆蓋率之非晶系碳膜的方法
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
JP4879159B2 (ja) アモルファス炭素膜堆積のためのcvdプロセス
JP2013524508A (ja) 窒素ドープされたアモルファスカーボンハードマスク
JP2013527621A (ja) パターン密度およびアスペクト比を増加させるための平坦化エッチングハードマスク
JP2013526061A (ja) スタック欠陥率を改善するアモルファスカーボン堆積法
JP2013540359A (ja) 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
US20070286954A1 (en) Methods for low temperature deposition of an amorphous carbon layer
TW201346990A (zh) 具有高膜密度與高蝕刻選擇性的非晶碳層之沉積
CN113424297A (zh) 处理基板的方法
JP2023532335A (ja) ハードマスク及びその他のパターニング応用のための高密度炭素膜を製造するための方法