KR20210132731A - 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크 - Google Patents

고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크 Download PDF

Info

Publication number
KR20210132731A
KR20210132731A KR1020217034243A KR20217034243A KR20210132731A KR 20210132731 A KR20210132731 A KR 20210132731A KR 1020217034243 A KR1020217034243 A KR 1020217034243A KR 20217034243 A KR20217034243 A KR 20217034243A KR 20210132731 A KR20210132731 A KR 20210132731A
Authority
KR
South Korea
Prior art keywords
power level
range
substrate
power
supplying
Prior art date
Application number
KR1020217034243A
Other languages
English (en)
Inventor
준 수에
마리 안네 매넘필
쉬-케드 리
사만다 시암화 탄
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210132731A publication Critical patent/KR20210132731A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

기판 상에 탄소 애시 가능 하드 마스크 층을 증착하는 방법은 a) 프로세싱 챔버 내에 기판을 배치하는 단계; b) 미리 결정된 압력 범위로 챔버 압력을 설정하는 단계; c) -20 ℃ 내지 200 ℃의 미리 결정된 온도 범위로 기판 온도를 설정하는 단계; d) 탄화수소 전구체 및 하나 이상의 다른 가스들을 포함하는 가스 혼합물을 공급하는 단계; 및 e) 기판 상에 탄소 애시 가능 하드 마스크 층을 증착하기 위해 제 1 미리 결정된 기간 동안 RF 플라즈마 전력을 공급함으로써 플라즈마를 스트라이킹하는 단계를 포함한다.

Description

고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
본 개시는 일반적으로 기판 프로세싱 시스템들, 보다 구체적으로 애시 가능 탄소 하드 마스크들을 증착하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들 상에서 처리들을 수행한다. 기판 처리들의 예들은 증착, 애싱 (ashing), 에칭, 세정 및/또는 다른 프로세스들을 포함한다. 에칭은 보통 습식 화학적 에칭 또는 건식 에칭을 포함한다. 건식 에칭은 유도 결합 플라즈마 (Inductively-Coupled Plasma; ICP) 또는 용량 결합 플라즈마 (Capacitively Coupled Plasma; CCP) 에 의해 생성된 플라즈마를 사용하여 수행될 수도 있다.
ICP 시스템들은 유전체 윈도우에 인접한 프로세싱 챔버 외부에 배치된 코일들에 RF 플라즈마 전력을 공급함으로써 플라즈마를 생성한다. 프로세싱 챔버 내부를 흐르는 프로세스 가스 혼합물들은 플라즈마를 생성하도록 자기장들에 의해 점화된다.
CCP 시스템들은 프로세싱 챔버 내에 배치된 전극들을 사용하여 플라즈마를 생성한다. 예를 들어, 일 전극은 기판 아래 기판 지지부 내에 배치될 수도 있고, 샤워헤드와 같은 또 다른 전극은 기판 위에 배치된다. RF 플라즈마 전력은 전극들 사이에 위치된 가스를 점화하도록 전극들에 걸쳐 공급된다.
관련 출원들에 대한 교차 참조
본 개시 (disclosure) 는 2019년 3월 25일에 출원된 미국 특허 출원 번호 제 62/823,211 호의 PCT 국제 출원이다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
기판 상에 탄소 애시 가능 (ashable) 하드 마스크 층을 증착하는 방법은 a) 프로세싱 챔버 내에 기판을 배치하는 단계; b) 미리 결정된 압력 범위로 챔버 압력을 설정하는 단계; c) -20 ℃ 내지 200 ℃의 미리 결정된 온도 범위로 기판 온도를 설정하는 단계; d) 탄화수소 전구체 및 하나 이상의 다른 가스들을 포함하는 가스 혼합물을 공급하는 단계; 및 e) 기판 상에 탄소 애시 가능 하드 마스크 층을 증착하기 위해 제 1 미리 결정된 기간 동안 RF 플라즈마 전력을 공급함으로써 플라즈마를 스트라이킹하는 단계를 포함한다.
다른 특징들에서, 프로세싱 챔버는 유도 결합 플라즈마 챔버이다. 단계 e) 에서 RF 플라즈마 전력은 30 W 내지 3000 W 범위의 제 1 전력 레벨로 전달된다. 방법은 0 W보다 큰 범위로부터 1000 W까지의 제 2 전력 레벨에서 제 1 미리 결정된 기간 동안 RF 바이어스 전력을 공급하는 단계를 포함한다.
다른 특징들에서, 방법은 f) 제 1 미리 결정된 기간 후에, 탄화수소 전구체의 플로우를 중단하는 단계; 및 g) 응력을 감소시키기 위해 기판에 대해 기판 처리를 수행하는 단계를 포함한다. 방법은 탄소 애시 가능 하드 마스크의 증착 및 기판 처리를 1 회 이상 부가적으로 수행하는 단계를 포함한다.
다른 특징들에서, 탄소 애시 가능 하드 마스크의 증착은 증착/처리 기간의 30 % 내지 95 %를 포함하고, 기판 처리는 증착/처리 기간의 70 % 내지 5 %를 포함한다. 탄소 애시 가능 하드 마스크의 증착 및 기판 처리는 0.05 ㎐ 내지 1000 ㎐ 범위의 주파수에서 반복된다.
다른 특징들에서, 단계 g) 는: g1) 불활성 가스 혼합물을 공급하는 단계; g2) 제 1 전력 레벨보다 낮은 제 3 전력 레벨로 RF 플라즈마 전력을 공급하는 단계; 및 g3) 제 2 전력 레벨보다 낮은 제 4 전력 레벨로 RF 바이어스 전력을 공급하는 단계를 포함한다.
다른 특징들에서, 단계 g) 는 g4) 제 4 전력 레벨에서의 미리 결정된 제 2 기간 후에, 제 3 미리 결정된 기간 동안 제 4 전력 레벨보다 큰 제 5 전력 레벨로 RF 바이어스 전력을 공급하는 단계; 및 g5) 제 3 미리 결정된 기간 후, 제 4 미리 결정된 기간 동안 제 4 전력 레벨보다 작은 제 6 전력 레벨로 RF 바이어스 전력을 공급하는 단계를 더 포함한다.
다른 특징들에서, 방법은 단계 c) 내지 단계 g5) 를 1 회 이상 반복하는 단계를 포함한다. 제 3 전력 레벨은 0 W 내지 500 W의 범위 내이다. 제 4 전력 레벨은 30 W 내지 1000 W의 범위 내이다. 제 5 전력 레벨은 100 W 내지 1500 W의 범위 내이다. 제 6 전력 레벨은 30 W 내지 1000 W의 범위 내이다.
다른 특징들에서, 미리 결정된 온도 범위는 0 ℃ 내지 80 ℃이다. 미리 결정된 압력 범위는 5 mT 내지 450 mT이다. 미리 결정된 압력 범위는 5 mT 내지 35 mT이다. 프로세싱 챔버는 용량 결합 플라즈마 챔버이다.
기판 상에 탄소 애시 가능 하드 마스크 층을 증착하는 방법은 a) 프로세싱 챔버 내에 기판을 배치하는 단계; b) 미리 결정된 압력 범위로 챔버 압력을 설정하는 단계; c) 미리 결정된 온도 범위로 기판 온도를 설정하는 단계; d) 탄화수소 전구체 및 하나 이상의 가스들을 포함하는 가스 혼합물을 공급하는 단계; e) 탄소 애시 가능 하드 마스크 층을 증착하기 위해 제 1 미리 결정된 기간 동안 RF 플라즈마 전력을 공급함으로써 플라즈마를 스트라이킹하는 단계; f) 제 1 미리 결정된 기간 후, 탄화수소 전구체의 플로우를 중단하는 단계; 및 g) 응력을 감소시키기 위해 기판에 대해 기판 처리를 수행하는 단계를 포함한다.
다른 특징들에서, 방법은 탄소 애시 가능 하드 마스크의 증착 및 기판 처리를 1 회 이상 부가적으로 수행하는 단계를 포함한다. 탄소 애시 가능 하드 마스크의 증착은 증착/처리 기간의 30 % 내지 95 % 동안 수행되고, 기판 처리는 증착/처리 기간의 70 % 내지 5 % 동안 수행된다. 탄소 애시 가능 하드 마스크의 증착 및 기판 처리는 0.05 ㎐ 내지 1000 ㎐ 범위의 주파수에서 반복된다.
다른 특징들에서, 단계 g) 는: g1) 불활성 가스 혼합물을 공급하는 단계; g2) 제 1 전력 레벨보다 낮은 제 3 전력 레벨로 RF 플라즈마 전력을 공급하는 단계; 및 g3) 제 2 전력 레벨보다 낮은 제 4 전력 레벨로 RF 바이어스 전력을 공급하는 단계를 포함한다.
다른 특징들에서, 단계 g) 는: g4) 제 4 전력 레벨에서의 미리 결정된 제 2 기간 후에, 제 3 미리 결정된 기간 동안 제 4 전력 레벨보다 큰 제 5 전력 레벨로 RF 바이어스 전력을 공급하는 단계; 및 g5) 제 3 미리 결정된 기간 후, 제 4 미리 결정된 기간 동안 제 4 전력 레벨보다 작은 제 6 전력 레벨로 RF 바이어스 전력을 공급하는 단계를 포함한다. 단계 e) 에서 RF 플라즈마 전력은 30 W 내지 3000 W 범위의 제 1 전력 레벨로 전달되고, 0 W 내지 1000 W보다 큰 범위의 제 2 전력 레벨로 제 1 미리 결정된 기간 동안 RF 바이어스 전력을 공급하는 단계를 더 포함한다.
다른 특징들에서, 방법은 단계 c) 내지 단계 g5) 를 1 회 이상 반복하는 단계를 포함한다. 제 3 전력 레벨은 0 W 내지 500 W의 범위 내이다. 제 4 전력 레벨은 30 W 내지 1000 W의 범위 내에 있고, 제 5 전력 레벨은 100 W 내지 1500 W의 범위 내에 있고, 그리고 제 6 전력 레벨은 30 W 내지 1000 W의 범위 내에 있다.
다른 특징들에서, 미리 결정된 온도 범위는 0 ℃ 내지 80 ℃이다. 미리 결정된 압력 범위는 5 mT 내지 450 mT이다. 미리 결정된 압력 범위는 5 mT 내지 35 mT이다. 프로세싱 챔버는 용량 결합 플라즈마 챔버이다.
본 개시의 추가 적용 가능성의 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 애시 가능 하드 마스크를 증착하기 위한 유도 결합 플라즈마 (Inductively Coupled Plasma; ICP) 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 본 개시에 따른 RF 플라즈마 전력, RF 바이어스 전력, 및 가스 플로우들의 타이밍의 예를 예시하는 그래프이다.
도 3은 본 개시에 따른 다양한 막들의 sp3/sp2 비들의 예를 예시하는 그래프이다.
도 4는 처리 전력의 함수로서 막 응력의 예를 예시하는 그래프이다.
도 5는 본 개시에 따른 다양한 AHM 막들의 에칭 레이트들의 예를 예시하는 그래프이다.
도 6은 본 개시에 따른 탄소 AHM 막을 증착하는 방법의 예의 플로우 차트이다.
도 7은 본 개시에 따른 애시 가능 하드 마스크를 증착하는 용량 결합 플라즈마 (Capacitively Coupled Plasma; CCP) 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
반도체 메모리와 같은 기판들은 메모리 용량을 개선하기 위해 보다 많은 수의 메모리 셀들의 쌍들을 필요로 한다. 메모리 쌍들을 증가시키기 위해, 고 종횡비 (High Aspect Ratio; HAR) 에칭이 수행된다. 다른 노출된 재료들을 에칭하는 동안 일부 노출된 재료들의 에칭을 방지하도록 에칭 동안 하드 마스크들이 사용된다. HAR 에칭을 가능하게 하기 (enable) 위해 고 선택도 및 저 응력 애시 가능 하드 마스크들 (Ashable Hard Masks; AHMs) 이 필요하다.
후보 AHM 막들은 HST (High Selectivity Transparent) 막, DLC (Diamond-Like Carbon) 막, 및 ACE (Ashable Carbon Extension) 막을 포함한다. 그러나, 이들 막들 각각은 단점들을 갖는다. 이들 막들은 저 밀도, 고 수소 (H) 함량 및/또는 고 응력을 갖는다. 저 밀도 및 고 H는 에칭 저항률을 감소시킨다. 고 응력은 막 박리 (delamination) 를 유도한다.
본 개시에 따른 탄소-기반 AHM 막은 저 응력과 함께 상대적으로 고 에칭 저항률을 갖는다. 탄소-기반 AHM 막은 상대적으로 저온에서 탄화수소 전구체 및 하나 이상의 다른 가스들을 사용하여 증착된다. 저온에서 수행된 증착은 sp3 결합들을 보존한다. 플라즈마 처리는 응력을 감소시키고 H 조성을 최소화하도록 증착 후에 수행될 수 있다.
예를 들어, 탄화수소 전구체는 CxHy를 포함하고, 여기서 x는 1 내지 10의 정수이고 y는 2 내지 24의 정수이다. 예를 들어, 탄화수소 전구체는 메탄 (CH4), 아세틸렌 (C2H2) 또는 다른 탄화수소 가스를 포함할 수 있다. 하나 이상의 다른 가스들은 헬륨 (He), 아르곤 (Ar), 크립톤 (Kr), 네온 (Ne), 분자 질소 (N2), 및 분자 수소 (H2) 로 구성된 그룹으로부터 선택된다.
일부 예들에서, 증착 및 처리는 목표된 막 두께에 도달할 때까지 수행된다. 일부 예들에서, 순환 증착 또는 펄싱 증착이 수행된다. 증착 레이트가 낮다면, 순환 증착 모드가 사용된다. 증착 레이트가 보다 높다면, 펄싱 증착 모드가 사용된다. 일부 예들에서, 처리는 증착된 막을 처리하기 위해 불활성 플라즈마 가스 혼합물의 사용을 포함하여 막 응력을 감소시키고 H 조성을 최소화한다. 일부 예들에서, 불활성 플라즈마 가스 혼합물은 헬륨 (He), 아르곤 (Ar), 크립톤 (Kr), 및 네온 (Ne) 으로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다.
이제 도 1을 참조하면, 본 개시에 따른 기판 프로세싱 시스템 (110) 의 예가 도시된다. 기판 프로세싱 시스템 (110) 은 코일 구동 회로 (111) 를 포함한다. 일부 예들에서, 코일 구동 회로 (111) 는 RF 소스 (112), 펄싱 회로 (114), 및 튜닝 회로 (113) 를 포함한다. 펄싱 회로 (114) 는 RF 신호의 TCP 엔벨로프를 제어하고, 동작 동안 1 % 내지 99 %의 TCP 엔벨로프의 듀티 사이클을 가변시킨다. 인식될 수 있는 바와 같이, 펄싱 회로 (114) 및 RF 소스 (112) 는 결합되거나 분리될 수 있다.
튜닝 회로 (113) 는 하나 이상의 유도 코일들 (116) 에 직접 연결될 수도 있다. 튜닝 회로 (113) 는 RF 소스 (112) 의 출력을 목표된 주파수 및/또는 목표된 위상으로 튜닝하고, 코일들 (116) 의 임피던스를 매칭시키고 그리고/또는 코일들 (116) 사이에 전력을 분할한다. 복수의 코일들을 포함하는 예들이 도시되지만, 단일 전도체 또는 복수의 전도체들을 포함하는 단일 코일이 사용될 수 있다.
유전체 윈도우 (124) 가 프로세싱 챔버 (128) 의 일 측면을 따라 배치된다. 프로세싱 챔버 (128) 는 기판 (134) 을 지지하기 위한 기판 지지부 (또는 페데스탈) (132) 를 더 포함한다. 기판 지지부 (132) 는 정전 척 (electrostatic chuck; ESC), 기계적 척 또는 다른 타입의 척을 포함할 수도 있다. 프로세스 가스가 프로세싱 챔버 (128) 로 공급되고, 플라즈마 (140) 가 프로세싱 챔버 (128) 내부에서 생성된다. RF 바이어스 구동 회로 (152) 가 이온 에너지를 제어하기 위한 동작 동안 기판 지지부 (132) 에 RF 바이어스를 공급하도록 사용될 수도 있다. RF 바이어스 구동 회로 (152) 는 RF 소스 및 임피던스 매칭 회로 (미도시) 를 포함할 수도 있다.
가스 전달 시스템 (156) 이 프로세싱 챔버 (128) 로 프로세스 가스 혼합물을 공급하도록 사용될 수도 있다. 가스 전달 시스템 (156) 은 가스 소스들 (157) (예를 들어, 전구체, 증기, 하나 이상의 다른 가스들, 불활성 가스들), 밸브들 및 질량 유량 제어기들과 같은 가스 계량 시스템 (158), 및 매니폴드 (159) 를 포함할 수도 있다. 가스 주입기 (미도시) 가 유전체 윈도우 (124) 의 중심 (또는 다른 위치) 에 배치될 수도 있고, 가스 전달 시스템 (156) 으로부터 프로세싱 챔버 (128) 내로 가스 혼합물들을 주입하기 위해 사용된다.
가열기/냉각기 (164) 가 기판 지지부 (132) 를 미리 결정된 온도로 가열/냉각하도록 사용될 수도 있다. 배기 시스템 (165) 이 프로세싱 챔버 내의 압력을 제어하고 그리고/또는 퍼지 또는 배기에 의해 프로세싱 챔버 (128) 로부터 반응 물질들을 제거하기 위한 밸브 (166) 및 펌프 (167) 를 포함한다.
제어기 (154) 가 프로세스를 제어하도록 사용될 수도 있다. 제어기 (154) 는 시스템 파라미터들을 모니터링하고, 가스 혼합물들의 전달, 플라즈마의 스트라이킹, 유지, 및 소화, 반응 물질들의 제거, 냉각 가스의 공급, 등을 제어한다.
이제 도 2를 참조하면, 가스 혼합물 (탄화수소 전구체 및 하나 이상의 다른 가스들을 포함함), 불활성 가스 혼합물, RF 플라즈마 전력 및 RF 바이어스 전력의 전달을 위한 타이밍도들의 예들이 도시된다. 탄소 애시 가능 하드 마스크는 가스 혼합물을 공급함으로써 그리고 RF 플라즈마 전력 및/또는 RF 바이어스 전력을 공급함으로써 증착된다. 일부 예들에서, 증착 단계들 중 일부 또는 전부는 (전구체 없이) 불활성 플라즈마 가스 혼합물을 사용한 플라즈마 처리로 이어진다. 일부 예들에서, 반응 물질들은 증착 후 그리고 처리 전에 챔버로부터 퍼지되거나 배기된다. 다른 예들에서, 전구체의 플로우는 퍼지 또는 배기 없이 처리 단계 전에 중단된다.
일부 예들에서, 증착 및 처리 단계들은 0.05 ㎐ 내지 1000 ㎐ 범위의 주파수에서 수행된다. 일부 예들에서, 증착 및 처리 단계들은 0.1 ㎐ 내지 200 ㎐ 범위의 주파수에서 수행된다. 증착은 기간 또는 사이클 각각의 30 % 내지 95 %를 구성할 수도 있고, 처리는 기간 또는 사이클 각각의 70 % 내지 5 %를 구성할 수도 있다. 일 예에서, 증착은 12 초 (s) 기간 중 10 초 동안 수행되고, 처리는 12 초 기간 또는 사이클 중 2 초 동안 수행되지만, 다른 길이 기간들 또는 사이클들이 사용될 수도 있다.
기간 또는 사이클의 증착 단계 동안, 탄화수소 전구체 가스 및 하나 이상의 다른 가스들을 포함하는 가스 혼합물이 프로세싱 챔버에 공급된다. RF 플라즈마 전력은 제 1 전력 레벨로 전달되고, RF 바이어스 전력은 제 2 전력 레벨로 전달된다. 일부 예들에서, 제 1 전력 레벨은 30 W 내지 3000 W의 범위 내에 있고, 제 2 전력 레벨은 0 W 내지 1000 W의 범위 내에 있다.
기간 또는 사이클의 처리 단계 동안, 탄화수소 전구체의 전달이 중단되고, (불활성 가스 혼합물이 선행하는 증착 단계에서 사용되었다면) 불활성 가스 혼합물의 전달이 시작되거나 계속된다. 계속된다면, 불활성 가스 혼합물의 플로우 레이트는 상승되거나, 감소되거나 또는 변화 없이 계속될 수 있다. 플라즈마는 유지되거나 소화될 수도 있다. 처리 동안, RF 플라즈마 전력은 제 1 전력 레벨보다 낮은 제 3 전력 레벨로 전달된다. 일부 예들에서, 제 3 전력 레벨은 0 W 내지 500 W의 범위 내에 있다.
RF 바이어스 전력은 증착 및 처리 동안 가변할 수도 있다. 예를 들어, RF 바이어스는 처음에 제 4 전력 레벨로 전달되고, 제 2 전력 레벨 이상의 제 5 전력 레벨로 상승되고, 이어서 (제 2 전력 레벨보다 작고 제 4 레벨과 동일하거나 상이할 수도 있는) 제 6 전력 레벨로 돌아간다. 일부 예들에서, RF 바이어스 전력은 감소되고, 이어서 증착 동안 RF 바이어스 전력 이상으로 펄싱되고, 이어서 증착 동안 RF 바이어스 전력 아래로 리턴된다. 일부 예들에서, 제 4 전력 레벨은 30 W 내지 1000 W의 범위 내에 있고, 제 5 전력 레벨은 100 W 내지 1500 W의 범위 내에 있고, 그리고 제 6 전력 레벨은 30 W 내지 1000 W의 범위 내에 있다. 특정한 RF 바이어스 프로파일들의 예들이 예시를 위해 도시되지만, 다른 RF 바이어스 프로파일들이 사용될 수 있다.
일부 예들에서, 증착 및 처리는 -20 ℃ 내지 200 ℃ 범위의 온도에서 수행된다. 다른 예들에서, 증착 및 처리는 -20 ℃ 내지 100 ℃ 범위의 온도에서 수행된다. 또 다른 예들에서, 증착 및 처리는 0 ℃ 내지 80 ℃ 범위의 온도에서 수행된다.
일부 예들에서, 증착 및 처리는 5 mT 내지 450 mT 범위의 압력에서 수행된다. 다른 예들에서, 증착 및 처리는 5 mT 내지 150 mT 범위의 압력에서 수행된다. 또 다른 예들에서, 증착 및 처리는 5 mT 내지 35 mT 범위의 압력에서 수행된다.
이제 도 3을 참조하면, 다양한 상이한 하드 마스크들에 대한 sp3/sp2 비가 도시된다. 예들은 HST, (처리 없이) 20 ℃에서 증착된 탄소 AHM, (처리 없이) 80 ℃에서 증착된 탄소 AHM 및 (처리 수행) 20 ℃에서 증착된 탄소 AHM을 포함한다. 이하에 더 기술될 바와 같이, (처리 수행) 20 ℃에서 증착된 탄소 AHM은 저 막 응력으로 저온에서 생성된다.
이제 도 4를 참조하면, 탄소 AHM의 응력의 튜닝은 기간 또는 사이클 각각의 처리 부분 동안 전력을 조정함으로써 수행될 수 있다. 알 수 있는 바와 같이, 막 응력은 전력이 상승함에 따라 감소된다. 탄소 AHM의 응력은 처리 없이 약 -2350 MPa에서 가장 높고, 응력은 처리가 수행되면 감소한다.
이제 도 5를 참조하면, 실리콘 나이트라이드 (SixNy), 실리콘 다이옥사이드 (SiO2), 실리콘 (Si) 및 텅스텐 (W) 을 포함하는 막의 다양한 타입들의 에칭 동안의 다양한 하드 마스크들 (HST, 처리 수행된 CH4-기반 AHM, 및 처리 수행된 C2H2-기반 AHM) 의 에칭 레이트들이 도시된다. 이 예에서, C2H2-기반 AHM은 HST와 비교하여 약간 보다 낮은 에칭 레이트들을 갖는다. 이 예에서, CH4-기반 AHM은 HST와 비교하여 약간 보다 높은 에칭 레이트들을 갖는다.
이제 도 6을 참조하면, 탄소 AHM을 증착하는 방법 (600) 이 도시된다. 610에서, 기판이 도 1의 프로세싱 챔버들과 같은 프로세싱 챔버 내에 배치된다. 614에서, 챔버 압력 및 기판 온도는 미리 결정된 압력 및 온도 범위들로 조정된다. 618에서, 탄화수소 전구체 및 하나 이상의 다른 가스들을 포함하는 가스 혼합물이 프로세싱 챔버에 공급된다. 622에서, 플라즈마는 제 1 전력 레벨로 RF 플라즈마 전력을 공급함으로써 프로세싱 챔버 내에서 스트라이킹된다. 628에서, RF 바이어스 전력은 제 2 전력 레벨로 공급된다.
632에서, 방법은 미리 결정된 기간이 종료되었는지 여부를 결정한다. 632가 거짓이면, 방법은 618로 돌아간다. 그렇지 않으면, 방법은 640에서 계속되고, 탄화수소 전구체의 공급을 중단하고 불활성 가스 혼합물의 공급을 시작하거나 계속한다.
644에서, RF 플라즈마 전력은 제 3 전력 레벨로 공급된다. 648에서, RF 바이어스 전력은 제 4 전력 레벨로 공급된다. 일부 예들에서, RF 바이어스 전력은 처리 기간 동안 제 4 전력 레벨에서 계속된다.
다른 예들에서, RF 바이어스 전력은 처리 기간 동안 잠시 펄싱된다. 예를 들어, RF 바이어스 전력은 제 1 미리 결정된 기간 동안 제 4 전력 레벨로 계속된다. 652에서, RF 바이어스 전력은 제 2 미리 결정된 기간 동안 제 5 전력 레벨로 공급된다. 656에서, RF 바이어스 전력은 제 3 미리 결정된 기간 동안 제 6 전력 레벨로 공급된다. 일부 예들에서, 제 5 전력 레벨은 제 2 전력 레벨, 제 4 전력 레벨 및 제 6 전력 레벨보다 크다. 일부 예들에서, 제 4 전력 레벨 및 제 6 전력 레벨은 동일하다.
660에서, 방법은 부가적인 기간들 또는 사이클들이 수행되어야 하는지 여부를 결정한다. 660이 참이면, 방법은 618로 계속된다. 그렇지 않으면, 방법은 종료된다.
이제 도 7을 참조하면, 본 개시에 따른 기판 프로세싱 시스템 (720) 의 또 다른 예가 도시된다. 기판 프로세싱 시스템 (720) 은 기판 프로세싱 시스템 (720) 의 다른 컴포넌트들을 둘러싸고 (사용된다면) RF 플라즈마를 담는 프로세싱 챔버 (722) 를 포함한다. 기판 프로세싱 시스템 (720) 은 상부 전극 (724) 및 정전 척 (ESC) 과 같은 기판 지지부 (726) 를 포함한다. 동작 동안, 기판 (728) 이 기판 지지부 (726) 상에 배치된다.
단지 예를 들면, 상부 전극 (724) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드와 같은 가스 분배 디바이스 (729) 를 포함할 수도 있다. 가스 분배 디바이스 (729) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상으로 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면, 또는 대면 플레이트가 복수의 홀들을 포함하고, 이를 통해 전구체, 반응 물질들, 에칭 가스들, 불활성 가스들, 캐리어 가스들, 다른 프로세스 가스들 또는 퍼지 가스가 흐른다. 대안적으로, 상부 전극 (724) 은 전도성 플레이트를 포함할 수도 있고, 프로세스 가스들이 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (726) 는 하부 전극으로서 작용하는 베이스플레이트 (730) 를 포함한다. 베이스플레이트 (730) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는, 가열 플레이트 (732) 를 지지한다. 내열 층 (734) 이 가열 플레이트 (732) 와 베이스플레이트 (730) 사이에 배치될 수도 있다. 베이스플레이트 (730) 는 베이스플레이트 (730) 를 통해 냉각제를 흘리기 위한 하나 이상의 채널들 (736) 을 포함할 수도 있다.
RF 생성 시스템 (740) 이 RF 전압을 생성하고 상부 전극 (724) 및 하부 전극 (예를 들어, ESC (726) 의 베이스플레이트 (730)) 중 하나로 출력한다. 상부 전극 (724) 및 베이스플레이트 (730) 중 다른 하나는 DC 접지되거나, AC 접지되거나, 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (740) 은 매칭 및 분배 네트워크 (744) 에 의해 상부 전극 (724) 또는 베이스플레이트 (730) 에 피딩되는 RF 플라즈마 전력을 생성하는 RF 생성기 (742) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다.
가스 전달 시스템 (750) 은 하나 이상의 가스 소스들 (752-1, 752-2, …, 및 752-N) (집합적으로 가스 소스들 (752)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들 (752) 은 밸브들 (754-1, 754-2, …, 및 754-N) (집합적으로 밸브들 (754)) 및 MFC들 (756-1, 756-2, …, 및 756-N) (집합적으로 MFC들 (756)) 에 의해 매니폴드 (760) 에 연결된다. 2 차 밸브들이 MFC들 (756) 과 매니폴드 (760) 사이에 사용될 수도 있다. 단일 가스 전달 시스템 (750) 이 도시되지만, 2 개 이상의 가스 전달 시스템들이 사용될 수 있다.
온도 제어기 (763) 가 가열 플레이트 (732) 에 배치된 복수의 TCE들 (Thermal Control Elements) (764) 에 연결될 수도 있다. 온도 제어기 (763) 는 기판 지지부 (726) 및 기판 (728) 의 온도를 제어하기 위해 복수의 TCE들 (764) 을 제어하도록 사용될 수도 있다. 온도 제어기 (763) 는 채널들 (736) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (766) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (766) 는 냉각제 펌프, 저장부 및/또는 하나 이상의 온도 센서들을 포함할 수도 있다. 온도 제어기 (763) 는 기판 지지부 (726) 를 냉각하기 위해 채널들 (736) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (766) 를 동작시킨다. 밸브 (770) 및 펌프 (772) 가 프로세싱 챔버 (722) 로부터 반응 물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (780) 가 기판 프로세싱 시스템 (720) 의 컴포넌트들을 제어하도록 사용될 수도 있다.
일부 예들에서, RF 생성기 (742) 는 고 주파수 (HF) 소스 (784) 및 저 주파수 (LF) 소스 (786) 를 포함한다. HF 소스 (784) 는 13 ㎒ 내지 800 ㎒의 주파수 범위에서 동작한다. 예를 들어, HF 소스 (784) 는 27 ㎒ 또는 60 ㎒에서 동작한다. 일부 예들에서, HF 소스 (784) 는 50 W 내지 3000 W 범위의 전력을 출력한다. LF 소스 (786) 는 200 ㎑ 내지 13 ㎒의 주파수 범위에서 동작한다. 예를 들어, LF 소스 (786) 는 400 ㎑, 2 ㎒ 또는 12.5 ㎒에서 동작한다. 일부 예들에서, LF 소스 (786) 는 100 W 내지 3000 W 범위의 전력을 출력한다. 인식될 수 있는 바와 같이, 증착은 HF 및 LF, HF 또는 LF의 RF 전력으로 수행될 수 있다.
일부 예들에서, RF 전력은 연속적이거나 하나 이상의 레벨들 사이에서 펄싱될 수 있다. 펄싱된 동작이 사용된다면, 펄싱은 1 ㎐ 내지 1 ㎒ 범위의 주파수에서 수행될 수 있다. 일부 예들에서, 챔버 압력은 5 mT 내지 450 mT 범위의 미리 결정된 압력으로 유지된다. 다른 예들에서, 증착 및 처리는 5 mT 내지 150 mT 범위의 압력에서 수행된다. 또 다른 예들에서, 증착 및 처리는 5 mT 내지 35 mT 범위의 압력에서 수행된다. 상기 기술된 바와 같이, 불활성 가스를 사용한 플라즈마 처리는 막 응력을 감소시키기 위해 상기 기술된 바와 같이 수행될 수 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시 예들의 피처들에서 그리고/또는 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (28)

  1. 기판 상에 탄소 애시 가능 (ashable) 하드 마스크 층을 증착하는 방법에 있어서,
    a) 프로세싱 챔버 내에 기판을 배치하는 단계;
    b) 미리 결정된 압력 범위로 챔버 압력을 설정하는 단계;
    c) -20 ℃ 내지 200 ℃의 미리 결정된 온도 범위로 기판 온도를 설정하는 단계;
    d) 탄화수소 전구체 및 하나 이상의 다른 가스들을 포함하는 가스 혼합물을 공급하는 단계; 및
    e) 상기 기판 상에 탄소 애시 가능 하드 마스크 층을 증착하기 위해 제 1 미리 결정된 기간 동안 RF 플라즈마 전력을 공급함으로써 플라즈마를 스트라이킹하는 단계를 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 챔버는 유도 결합 플라즈마 챔버인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  3. 제 2 항에 있어서,
    상기 단계 e) 에서 상기 RF 플라즈마 전력은 30 W 내지 3000 W 범위의 제 1 전력 레벨로 전달되고, 0 W 내지 1000 W보다 큰 범위의 제 2 전력 레벨로 상기 제 1 미리 결정된 기간 동안 RF 바이어스 전력을 공급하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  4. 제 1 항에 있어서,
    f) 상기 제 1 미리 결정된 기간 후, 상기 탄화수소 전구체의 플로우를 중단하는 단계; 및
    g) 응력을 감소시키기 위해 상기 기판에 대해 기판 처리를 수행하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  5. 제 4 항에 있어서,
    상기 탄소 애시 가능 하드 마스크의 상기 증착 및 상기 기판 처리를 1 회 이상 부가적으로 수행하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  6. 제 4 항에 있어서,
    상기 탄소 애시 가능 하드 마스크의 상기 증착은 증착/처리 기간의 30 % 내지 95 %를 포함하고, 상기 기판 처리는 상기 증착/처리 기간의 70 % 내지 5 %를 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  7. 제 4 항에 있어서,
    상기 탄소 애시 가능 하드 마스크의 상기 증착 및 상기 기판 처리는 0.05 ㎐ 내지 1000 ㎐ 범위의 주파수에서 반복되는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  8. 제 4 항에 있어서,
    상기 단계 g) 는,
    g1) 불활성 가스 혼합물을 공급하는 단계;
    g2) 상기 제 1 전력 레벨보다 낮은 제 3 전력 레벨로 상기 RF 플라즈마 전력을 공급하는 단계; 및
    g3) 상기 제 2 전력 레벨보다 낮은 제 4 전력 레벨로 상기 RF 바이어스 전력을 공급하는 단계를 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  9. 제 8 항에 있어서,
    상기 단계 g) 는,
    g4) 상기 제 4 전력 레벨에서의 제 2 미리 결정된 기간 후, 제 3 미리 결정된 기간 동안 상기 제 4 전력 레벨보다 큰 제 5 전력 레벨로 상기 RF 바이어스 전력을 공급하는 단계; 및
    g5) 상기 제 3 미리 결정된 기간 후, 제 4 미리 결정된 기간 동안 상기 제 4 전력 레벨보다 작은 제 6 전력 레벨로 상기 RF 바이어스 전력을 공급하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  10. 제 9 항에 있어서,
    상기 단계 c) 내지 상기 단계 g5) 를 1 회 이상 반복하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  11. 제 10 항에 있어서,
    상기 제 3 전력 레벨은 0 W 내지 500 W의 범위 내에 있고,
    상기 제 4 전력 레벨은 30 W 내지 1000 W의 범위 내에 있고,
    상기 제 5 전력 레벨은 100 W 내지 1500 W의 범위 내에 있고, 그리고
    상기 제 6 전력 레벨은 30 W 내지 1000 W의 범위 내에 있는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  12. 제 1 항에 있어서,
    상기 미리 결정된 온도는 0 ℃ 내지 80 ℃의 범위인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  13. 제 1 항에 있어서,
    상기 미리 결정된 압력 범위는 5 mT 내지 450 mT인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  14. 제 1 항에 있어서,
    상기 미리 결정된 압력 범위는 5 mT 내지 35 mT인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  15. 제 1 항에 있어서,
    상기 프로세싱 챔버는 용량 결합 플라즈마 챔버인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  16. 기판 상에 탄소 애시 가능 하드 마스크 층을 증착하는 방법에 있어서,
    a) 프로세싱 챔버 내에 기판을 배치하는 단계;
    b) 미리 결정된 압력 범위로 챔버 압력을 설정하는 단계;
    c) 미리 결정된 온도 범위로 기판 온도를 설정하는 단계;
    d) 탄화수소 전구체 및 하나 이상의 가스들을 포함하는 가스 혼합물을 공급하는 단계;
    e) 탄소 애시 가능 하드 마스크 층을 증착하기 위해 제 1 미리 결정된 기간 동안 RF 플라즈마 전력을 공급함으로써 플라즈마를 스트라이킹하는 단계;
    f) 상기 제 1 미리 결정된 기간 후, 상기 탄화수소 전구체의 플로우를 중단하는 단계; 및
    g) 응력을 감소시키기 위해 상기 기판에 대해 기판 처리를 수행하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  17. 제 16 항에 있어서,
    상기 탄소 애시 가능 하드 마스크의 상기 증착 및 상기 기판 처리를 1 회 이상 부가적으로 수행하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  18. 제 17 항에 있어서,
    상기 탄소 애시 가능 하드 마스크의 상기 증착은 증착/처리 기간의 30 % 내지 95 % 동안 수행되고, 상기 기판 처리는 상기 증착/처리 기간의 70 % 내지 5 % 동안 수행되는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  19. 제 17 항에 있어서,
    상기 탄소 애시 가능 하드 마스크의 상기 증착 및 상기 기판 처리는 0.05 ㎐ 내지 1000 ㎐ 범위의 주파수에서 반복되는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  20. 제 16 항에 있어서,
    상기 단계 g) 는,
    g1) 불활성 가스 혼합물을 공급하는 단계;
    g2) 상기 제 1 전력 레벨보다 낮은 제 3 전력 레벨로 상기 RF 플라즈마 전력을 공급하는 단계; 및
    g3) 상기 제 2 전력 레벨보다 낮은 제 4 전력 레벨로 상기 RF 바이어스 전력을 공급하는 단계를 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  21. 제 20 항에 있어서,
    상기 단계 g) 는,
    g4) 상기 제 4 전력 레벨에서의 제 2 미리 결정된 기간 후, 제 3 미리 결정된 기간 동안 상기 제 4 전력 레벨보다 큰 제 5 전력 레벨로 상기 RF 바이어스 전력을 공급하는 단계; 및
    g5) 상기 제 3 미리 결정된 기간 후, 제 4 미리 결정된 기간 동안 상기 제 4 전력 레벨보다 작은 제 6 전력 레벨로 상기 RF 바이어스 전력을 공급하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  22. 제 21 항에 있어서,
    상기 단계 e) 에서 상기 RF 플라즈마 전력은 30 W 내지 3000 W 범위의 제 1 전력 레벨로 전달되고, 0 W 내지 1000 W보다 큰 범위의 제 2 전력 레벨로 상기 제 1 미리 결정된 기간 동안 RF 바이어스 전력을 공급하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  23. 제 21 항에 있어서,
    상기 단계 c) 내지 상기 단계 g5) 를 1 회 이상 반복하는 단계를 더 포함하는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  24. 제 21 항에 있어서,
    상기 제 3 전력 레벨은 0 W 내지 500 W의 범위 내에 있고,
    상기 제 4 전력 레벨은 30 W 내지 1000 W의 범위 내에 있고,
    상기 제 5 전력 레벨은 100 W 내지 1500 W의 범위 내에 있고, 그리고
    상기 제 6 전력 레벨은 30 W 내지 1000 W의 범위 내에 있는, 탄소 애시 가능 하드 마스크 층 증착 방법.
  25. 제 16 항에 있어서,
    상기 미리 결정된 온도는 0 ℃ 내지 80 ℃의 범위인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  26. 제 16 항에 있어서,
    상기 미리 결정된 압력 범위는 5 mT 내지 450 mT인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  27. 제 16 항에 있어서,
    상기 미리 결정된 압력 범위는 5 mT 내지 35 mT인, 탄소 애시 가능 하드 마스크 층 증착 방법.
  28. 제 16 항에 있어서,
    상기 프로세싱 챔버는 용량 결합 플라즈마 챔버인, 탄소 애시 가능 하드 마스크 층 증착 방법.
KR1020217034243A 2019-03-25 2020-03-18 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크 KR20210132731A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962823211P 2019-03-25 2019-03-25
US62/823,211 2019-03-25
PCT/US2020/023239 WO2020197866A1 (en) 2019-03-25 2020-03-18 High etch selectivity, low stress ashable carbon hard mask

Publications (1)

Publication Number Publication Date
KR20210132731A true KR20210132731A (ko) 2021-11-04

Family

ID=72611097

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217034243A KR20210132731A (ko) 2019-03-25 2020-03-18 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크

Country Status (6)

Country Link
US (1) US20220181147A1 (ko)
JP (1) JP2022527460A (ko)
KR (1) KR20210132731A (ko)
CN (1) CN113710829A (ko)
TW (1) TW202104643A (ko)
WO (1) WO2020197866A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220002748A (ko) * 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
JP2022128270A (ja) * 2021-02-22 2022-09-01 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
GB2342660B (en) * 1998-10-12 2000-09-27 Univ Houston Process for producing a carbon film on a substrate
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Also Published As

Publication number Publication date
US20220181147A1 (en) 2022-06-09
JP2022527460A (ja) 2022-06-02
CN113710829A (zh) 2021-11-26
TW202104643A (zh) 2021-02-01
WO2020197866A1 (en) 2020-10-01

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US9928994B2 (en) Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US20220205096A1 (en) Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
US20220235464A1 (en) Selective carbon deposition
US20200098562A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability