CN102934209A - 超高选择性的可灰化硬模膜 - Google Patents

超高选择性的可灰化硬模膜 Download PDF

Info

Publication number
CN102934209A
CN102934209A CN2011800278168A CN201180027816A CN102934209A CN 102934209 A CN102934209 A CN 102934209A CN 2011800278168 A CN2011800278168 A CN 2011800278168A CN 201180027816 A CN201180027816 A CN 201180027816A CN 102934209 A CN102934209 A CN 102934209A
Authority
CN
China
Prior art keywords
approximately
amorphous carbon
gas
flow rate
carbon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800278168A
Other languages
English (en)
Inventor
K·D·李
M·J·西蒙斯
S·拉蒂
C·陈
M·H·林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102934209A publication Critical patent/CN102934209A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供一种在基板上形成非晶碳层的方法,基板位于基板处理腔室中,所述方法包括:将烃源引入处理腔室;单独或与氦、氢、氮以及上述气体的组合相结合地将氩引入处理腔室,其中氩具有的体积流率与烃源的体积流率的比例为约10:1至约20:1;在约2托耳至10托耳的低得多的压力下,在处理腔室中产生等离子体;及在基板上形成共形的非晶碳层。

Description

超高选择性的可灰化硬模膜
技术领域
本发明的实施例大致关于集成电路的制造,更具体地,本发明的实施例关于非晶碳层的沉积,所述非晶碳层具有高膜密度与高蚀刻选择性。
背景技术
集成电路已经发展成可在单一芯片上包括数百万个晶体管、电容器与电阻器的复杂器件。芯片设计的发展持续需要更快的电路与更大的电路密度。对具有更大电路密度的更快电路的需求对用于制造这种集成电路的材料产生相应的需求。具体地说,随着集成电路部件的尺寸减小至亚微米尺寸,不仅必须利用低电阻率导电材料(诸如,铜)来改善器件的电性能,还必须利用低介电常数绝缘材料(通常称为低k材料)。低k材料具有的介电常数通常小于4.0。
生产具有低k材料、且有很少或没有表面缺陷或特征变形的器件是有困难的。低k介电材料通常为多孔的,且在后续处理步骤期间容易被刮到或被损坏,由此提高了在基板表面上形成缺陷的可能性。低k材料通常易碎,且可能在传统研磨处理(诸如,化学机械研磨(C M P))下变形。一种限制或减少低k材料的表面缺陷与变形的方案为,在图案化与蚀刻之前在暴露的低k材料上沉积硬模(例如,氮化钛硬模)。所述硬模防止易损的低k材料受到损伤与变形。此外,硬模层可作为搭配传统光刻技术的蚀刻掩模,以防止在蚀刻过程中移除低k材料。
典型地,硬模是中间的氧化物层(例如,二氧化硅或氮化硅)。然而,某些器件结构已经包括有二氧化硅与/或氮化硅层,举例而言,镶嵌结构。因此,无法利用二氧化硅或氮化硅硬模作为蚀刻掩模来图案化这种器件结构,因为在硬模与硬模下方的材料之间将有很小的蚀刻选择性或没有蚀刻选择性,也就是说,移除硬模将对下方的层造成无法接受的损伤。为了作为氧化物层(诸如,二氧化硅或氮化硅)的蚀刻掩模,材料相对这些氧化物层必须具有良好的蚀刻选择性。
已经证实,非晶氢化碳(也称为非晶碳并表示成a-C:H)是用作用于氧化物、氮化物、多晶-Si或金属(例如,Al)材料的硬模的有效材料。非晶氢化碳基本上为不具有长程晶序的碳材料,所述碳材料可包含丰富的氢含量,举例而言,约为10至45原子%的数量级。由于a-C:H的化学惰性、光学透明度与良好的机械性质,在半导体应用中将a-C:H用作硬模材料。虽然可通过各种技术沉积a-C:H膜,但因为成本效率与膜性质的可调谐性,广泛地应用等离子体增强化学气相沉积(PECVD)。
为了确保所希望的非晶碳膜在干燥蚀刻过程中充分保护下方的材料层,重要的是,非晶碳膜相对于在所述非晶碳膜下方的材料层拥有相当高的蚀刻选择性或移除速率比。一般而言,干燥蚀刻处理过程中,非晶碳膜与材料层之间具有至少为约3:1或更高(诸如,10:1)的蚀刻选择性是理想的,也就是说,材料层的蚀刻比非晶碳膜快十倍。在此方式中,在通过干燥蚀刻处理在下方材料层中形成孔的同时,由非晶碳形成的硬模膜保护下方材料层的区域不受到蚀刻或损伤。
已经知道,可随着较高膜密度而提高蚀刻选择性。然而,对于非晶碳硬模而言,在高膜密度与硬模可灰化能力之间通常有所折衷。因此,需要一种非晶碳硬模,所述非晶碳硬模在维持硬模的适当可灰化能力的同时具有较高的膜密度(且因此具有较高的蚀刻选择性)。
发明内容
本发明的实施例提供一种在基板上沉积非晶碳层的方法,所述基板位于基板处理腔室中。在一个实施例中,所述方法包括:将烃源引入处理腔室;将等离子体-发起气体引入处理腔室,所述等离子体-发起气体选自氦、氢、氩、氮与这些气体的组合所构成的群组;将稀释气体引入处理腔室,所述稀释气体由氩组成,其中氩的体积流率与烃源的体积流率的比例约为20:1或更高;在约1托耳至10托耳的低得多的压力下,在处理腔室中产生等离子体;及在所述基板上形成共形非晶碳层。在一个方面中,将由氢所组成的额外稀释气体引入处理腔室以提高非晶碳层的膜密度达到1.9克/立方公分。
本发明的实施例还提供一种在处理腔室中形成半导体器件的方法。在一个实施例中,所述方法包括:通过将气体混合物引入处理腔室来在基板上形成非晶碳层,其中所述气体混合物包括烃源、等离子体-发起气体与稀释气体,所述稀释气体由氩与氢组成,其中氩和氢的体积流率与烃源的体积流率的比例约为20:1.4:1;在处理腔室中产生等离子体以分解气体混合物中的烃源,以便在基板上形成非晶碳层;在非晶碳层的至少一个区域中界定图案;以及利用非晶碳层作为掩模,将界定于非晶碳层的至少一个区域中的图案转移至基板中。
附图说明
为了详细地了解本发明的上述特征,可参照实施例(某些描绘于附图中)来对如上面所简要概括的本发明作更具体的描述。然而,需注意,附图仅描绘本发明的典型实施例,而因此不被视为限制本发明的范围,因为本发明可允许其它等效的实施例。
图1是基板处理系统的示意图,所述基板处理系统可用于执行根据本发明实施例的非晶碳层沉积;
图2A-2E(现有技术)描绘集成电路制造工序不同阶段的基板的示意剖面图,集成电路制造工序包括非晶碳层作为硬模;及
图3是展示额外氢稀释气体对a-C:H膜密度作用的曲线图。
具体实施方式
本发明的实施例大致提供一种具有高膜密度、高蚀刻选择性与适当可灰化能力的硬模的形成方法,同时仍维持亚45nm器件的优良线路完整性。高蚀刻选择性能应用较薄的硬模,而较薄的硬模改善蚀刻边界并可控制较小特征结构的蚀刻,且较薄的硬模不具有与线路摆动及线路弯曲相关的传统问题。
示范性硬件
图1是基板处理系统1000的示意图,基板处理系统1000可用于根据本发明实施例的特征结构与/或非晶碳层的沉积。合适系统的实例包括:
Figure BDA00002529405900041
系统,
Figure BDA00002529405900042
系统可利用DxZTM处理腔室;PRECISION
Figure BDA00002529405900043
系统;PRODUCERTM系统,诸如P RODUCER SETM处理腔室与PRODUCER GTTM处理腔室,上述所有系统均可从Applied Materials,Inc.(应用材料公司)(Santa Clara,Calif)获得。
系统1000包括处理腔室1025、气体面板1030、控制单元1010与其它硬件部件,其它硬件部件诸如电源与真空泵。一个用于本发明的系统的实施例的细节描述于共同受让的美国专利中,所述美国专利为2002年4月2日出版且专利号为6,364,954的“High Temperature Chemical Vapor Deposition Chamber(高温化学气相沉积腔室)”,将所述美国专利以参考的方式并入本文中。
处理腔室1025通常包括支撑基座1050,支撑基座1050用来支撑基板,基板诸如半导体基板1090。此基座1050利用耦接至轴1060的位移机构(未显示)在处理腔室1025内部的垂直方向上移动。根据处理,可在处理之前将基板1090加热至所期望的温度。通过嵌入式加热器元件1070来加热基板支撑基座1050。举例而言,通过将来自AC电源1006的电流施加至加热器元件1070,可电阻式地加热基座1050。接着,通过基座1050来加热基板1090。还将温度传感器1072(诸如热电偶)嵌于基板支撑基座1050中,以监控基座1050的温度。将测量到的温度用于反馈回路,以控制用于加热器元件1070的AC  电源1006。针对特定处理应用选择一温度,并将基板温度维持或控制在所述温度下。
真空泵1002用于排空处理腔室1025,且真空泵1002用于维持处理腔室1025内部的适当气流与压力。通过喷头1020将处理气体引入处理腔室1025,喷头1020位于基板支撑基座1050上方且喷头1020适以提供均匀分布的处理气体进入处理腔室1025。喷头1020连接至气体面板1030,气体面板1030控制并供应用于处理工序的不同步骤中的不同处理气体。处理气体可包括烃源与等离子体-发起气体,且以下结合示范性氩-稀释沉积处理的描述而更详细地描述处理气体。
气体面板1030还可用于控制且供应不同的蒸发的液体前驱物。举例而言,虽然未显示,但可通过液体注入蒸发器来蒸发来自液体前驱物供应的液体前驱物,并在载气存在下将蒸发的液体前驱物输送至处理腔室1025。典型地,载气是非反应性气体(诸如氮)或者惰性气体(诸如氩或氦)。或者,可通过热与/或真空增强的蒸发处理从安瓿蒸发液体前驱物。
喷头1020与基板支撑基座1050也可形成一对隔开的电极。当在这些电极之间产生电场时,将引入腔室1025的处理器体点燃成等离子体1092。典型地,将基板支撑基座1050通过匹配网络(未显示)连接至单频或双频射频(RF)功率(未显示),从而产生电场。或者,RF功率源与匹配网络可耦接至喷头1020,或RF功率源与匹配网络可耦接至喷头1020与基板支撑基座1050两者。
PECVD技术通过施加电场至基板表面附近的反应区域,来促进反应气体的激发与/或离解,从而产生反应物种的等离子体。等离子体中的物种的反应性降低化学反应发生所需的能量,这有效地降低了这种PECVD处理的所需温度。
通过质流控制器(未显示)与控制单元1010(诸如,计算机)来执行对经过气体面板1030的气体流与液体流的适当控制与调节。喷头1020可让来自气体面板1030的处理气体均匀地散布且被引入处理腔室1025。示例性地,控制单元1010包括中央处理单元(CPU)1012、支持电路1014与存储器,而存储器包含相关的控制软件1016。此控制单元1010负责基板处理所需的数个步骤的自动控制,数个步骤诸如基板传送、气体流控制、液体流控制、温度控制、腔室排空等等。当处理气体混合物离开喷头1020时,在加热的基板1090的表面1091处发生烃化合物的等离子体增强热分解,从而造成非晶碳层沉积于基板1090上。
包括a-C层作为硬模的示范性制造工序
图2A-2E描绘基板100的示意剖面图,图2A-2E分别为包括a-C:H层作为硬模的集成电路制造工序的不同阶段。基板结构150代表基板100以及形成于基板100上的其它材料层。图2A描绘基板结构150的剖面图,基板结构150具有已经传统地形成于基板结构150上的材料层102。材料层102可为低k材料与/或氧化物,例如SiO2
图2B描绘非晶碳层104,非晶碳层104沉积于图2A的基板结构150上。通过传统手段将非晶碳层104形成于基板结构150上,传统手段诸如通过PECVD。根据处理的特定阶段,非晶碳层104的厚度是可变的。典型地,非晶碳层104具有的厚度范围为约500埃至约10000埃。根据制造工序中所用的能源敏感阻剂材料108的蚀刻化学性,可在形成能源敏感阻剂材料108之前,在非晶碳层104上形成选择性封顶层(未显示)。当将图案转移至非晶碳层104中时,选择性封顶层用以作为非晶碳层104的掩模并保护非晶碳层104免受能源敏感阻剂材料108影响。
如图2B所描绘地,在非晶碳层104上形成能源敏感阻剂材料108。可在基板上旋涂能源敏感阻剂材料108的层达到约2000埃至约6000埃范围中的厚度。大部分的能源敏感阻剂材料对紫外线(UV)辐射敏感,紫外线(UV)辐射的波长低于约450纳米,而对于某些应用,紫外线(UV)辐射的波长为245纳米或193纳米。
通过经图案化器件(例如,屏蔽110)将能源敏感阻剂材料108暴露于UV辐射130,并接着在适当的显影液中显影能源敏感阻剂材料108,将图案引入能源敏感阻剂材料108的层。在已经显影能源敏感阻剂材料108之后,由开口140构成的所期望的图案出现在能源敏感阻剂材料108中,如图2C所示。
随后,参照图2D,利用能源敏感阻剂材料108作为掩模,将界定于能源敏感阻剂材料108中的图案转移通过非晶碳层104。应用适当的化学蚀刻剂,所述适当的化学蚀刻剂选择性蚀刻非晶碳层104多于蚀刻能源敏感阻剂材料108与材料层102,从而将开口140延伸至材料层102的表面。适当的化学蚀刻剂包括臭氧、氧、或氨等离子体。
参照图2E,随后利用非晶碳层104作为硬模,将图案转移通过材料层102。在此处理步骤中,使用蚀刻剂来选择性地移除材料层102多于移除非晶碳层104,此处理步骤例如干燥蚀刻,即,非反应性等离子体蚀刻。在图案化材料层102之后,可从基板100选择性地剥除非晶碳层104。在制造工序的特定实例中,将界定于a-C:H硬模中的图案并入集成电路的结构中,所述结构诸如镶嵌结构,镶嵌结构一般用于在集成电路上形成金属互连。
沉积处理
如前所述,由于非晶氢化碳的高化学惰性、光学透明度与容易移除,非晶氢化碳为可在半导体应用中用作蚀刻硬模的材料。已经知道,可通过提高膜密度来实现a-C:H膜的所期望蚀刻选择性。本发明人已经证实,下述的本发明的方面能够产生具有高膜密度且因此具有更高蚀刻选择性的a-C:H膜,同时仍维持硬模的有效可灰化能力。高蚀刻选择性能够实现更加薄的硬模,所述更加薄的硬模改善了蚀刻边界并可控制较小特征结构的蚀刻,由此得到亚45nm下器件的优良线路完整性,而不具有与线路摆动及线路弯曲相关的传统问题。
在根据本发明的一个沉积处理的方面中,通过将烃源、等离子体-发起气体与稀释气体引入处理腔室来形成a-C:H膜,所述处理腔室诸如结合图1在上面描述的处理腔室1025。接着在腔室中起燃等离子体以产生激发的CH-基团。激发的CH-基团化学键合至位于腔室中的基板的表面,从而在基板的表面上形成所期望的a-C:H膜。烃源可为一个或更多烃化合物的混合物。烃源可包括气相烃化合物与/或包括液相烃化合物的蒸气与载气的气体混合物。在一个实施例中,烃化合物是C2H2。然而,根据所期望的膜可应用其它烃化合物,其它烃化合物包括夹带于载气中的一个或多个蒸发的液相烃化合物。由于氦容易被离子化,等离子体-发起气体可为氦;然而,等离子体-发起气体也可利用其它气体(诸如,氩)。稀释气体可为容易离子化、相当大量且化学惰性的气体,诸如氩、氪、氙。在本发明的一个实施例中,可引入额外的氢稀释气体以进一步提高膜密度,这将在稍后描述。
利用部分或完全掺杂的烃化合物的衍生物形成的非晶碳膜也可受益于本发明的方法。衍生物包括烃化合物的含氮、含氟、含氧、含羟基与含硼衍生物。烃化合物可包含氮或者与含氮气体(诸如,氨)一起沉积,或者烃化合物可具有诸如氟与氧的取代基。任何这些处理都可受益于针对用本发明的方法沉积的未掺杂a-C:H膜展示的密度、沉积速率与共形性的改善。对可用于从本发明的方面受益的处理中的烃化合物或烃化合物的掺杂衍生物的更详细描述可在以下文献中找到:2005年2月24日提交的共同受让的美国专利7,407,893号,题为“LiquidPrecursors for the CVD deposition of Amorphous Carbon Films(用于非晶碳膜的CVD沉积的液体前驱物)”;以及共同受让的美国专利公开案2010/0093187,题为“Method for DepositingConformal Amorphous Carbon Film by Plasma-EnhancedChemical Vapor Deposition(PECVD)(通过等离子体增强化学气相沉积(PECVD)对共形非晶碳膜进行沉积的方法)”,通过全文参考的方式将上述文献以与所请求发明不会不一致的程度并入本文中。
一般而言,可包含于烃源中的烃化合物或烃化合物的衍生物可由化学式CxHy描述,其中x的范围在1与20之间,而y的范围在1与20之间。在另一个实施例中,可包含于烃源中的烃化合物或烃化合物的衍生物可由化学式CxHyFz描述,其中x的范围在1与24之间,y的范围在0与50之间,而z的范围在0至50之间,而x与y+c的比例为1:2或更大。在又一个实施例中,烃源可由氧与/或氮取代的化合物的化学式CaHbOcFdNe描述,其中a的范围在1与24之间,b的范围在0与50之间,c的范围在0至10,d的范围在0至50,e的范围在0至10,而a与b+c+d+e的比例为1:2或更大。
适当的烃化合物包括一个或多个下列化合物,举例而言,甲烷(CH4);乙烷(C2H6);丙烷(C3H8);丁烷(C4H10)与丁烷的异构物异丁烷;戊烷(C5H12)与戊烷的异构物异戊烷和新戊烷;己烷(C6H14)与己烷的异构物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷与2,2-二甲基丁烷等等。额外适当的烃可包括:烯烃,烯烃诸如乙烯、丙烯、丁烯与丁烯的异构物、戊烯与戊烯的异构物等等;二烯烃,二烯烃诸如丁二烯、异戊二烯、戊二烯、己二烯等等;及卤化烯烃,卤化烯烃包括单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等等。再者,炔烃可用来作为碳前驱物,炔烃诸如乙炔(C2H2)、丙炔(C3H4)、丁烯(C4H8)、乙烯基乙炔与上述物质的衍生物。此外,可应用芳香族烃化合物、α-萜品烯、甲异丙苯(cymene)、1,1,3,3,-四甲基丁基苯、t-丁基醚、t-丁基乙烯、甲基丙烯酸甲酯与t-丁基呋喃醚、具有化学式C3H2与C5H4的化合物和卤化芳香族化合物,芳香族烃化合物诸如苯、苯乙烯、甲苯、二甲苯、乙基苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、酚、甲酚、呋喃等等,卤化芳香族化合物包括单氟苯、二氟苯、四氟苯、六氟苯等等。
合适烃化合物的衍生物的实例可包括(但不限于)氟化烷烃、卤化烷烃与卤化芳香族化合物。氟化烷烃可包括(但不限于)单氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、单氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、单氟丙烷、三氟丙烷、五氟丙烷、全氟丙烷、单氟丁烷、三氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、单氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、单氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷等等。卤化烯烃可包括(但不限于)单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等等。卤化芳香族化合物可包括(但不限于)单氟苯、二氟苯、四氟苯、六氟苯等等。本说明书通篇所述的a-C:H膜可为可从Applied Materials,Inc.(应用材料公司)(Santa Clara,California)获得的Advanced Patterning Film(先进图案化膜)TM(APF)材料。
可通过将基板温度维持在约0°C与约800°C间而从处理气体沉积a-C:H膜,以将所得到膜的吸收系数最小化,基板温度诸如维持在约100°C至约650°C,例如约200°C至约480°C。在不进行处理时,将处理腔室维持在约1毫托耳至约5毫托耳的轻度真空下。可通过将腔室压力维持在约1托耳至约5托耳下或更高来从处理气体沉积a-C:H膜,腔室压力诸如约2托耳至约10托耳或更高,诸如约20托耳。在一个实施例中,将腔室压力维持在约7托耳或更高,举例而言,约8托耳至约9托耳。
将烃源、等离子体-发起气体与稀释气体引入腔室,并起燃等离子体而开始沉积。较佳地,等离子体-发起气体为氦或另一容易离子化的气体,且在引入烃源与稀释气体之前将等离子体-发起气体引入腔室,这可形成稳定的等离子体并降低电弧的发生几率。在一个实施例中,由于乙炔(C2H2)可形成更稳定的中间物种,而更稳定的中间物种允许更多的表面移动性,因此烃源为乙炔(C2H2),虽然如上所述,可根据所期望的膜来应用其它的烃化合物,其它的烃化合物包括一个或多个夹带于载气中的蒸发的液相烃化合物。稀释气体可为任何的惰性气体,至少与氩、氪或氙一样大量,虽然因为经济原因,氩是较佳的。通常认为原子量轻于氩的气体不是较佳的气体,因为这些气体无法实现有益的沉积速率与膜密度。然而,本发明人惊喜且非预期地发现:额外的氢稀释也可提高膜密度达到-1000Mpa应力下的1.98克/立方公分,这个密度比当前可取得的现有最好的可灰化硬模的密度高几乎50%-80%,详细内容在下面描述。
双频RF系统可用来产生等离子体。由于一般认为离子撞击膜表面的能量影响膜密度,所以一般认为双频RF功率应用可提供对流量与离子能量的独立控制。一般认为高频等离子体控制等离子体密度,而低频等离子体控制离子撞击基板表面的动能。混合RF功率的双频源提供在约10MHz至约30MHz范围内的高频功率(例如,约13.56MHz)以及在约10KHz至约1MHz范围内的低频功率(例如,约350KHz)。当应用双频RF系统来沉积a-C:H膜时,第二RF功率与总体混合频率功率的比例可低于约0.6到1.0(0.6:1)。根据应用的基板尺寸与设备,施加的RF功率与对一个或多个频率的使用可有所变化。若希望的话,可应用单频的RF功率应用,典型地,单频的RF功率应用为本文所述的高频功率应用。
可在约0.01瓦/平方公分至约5瓦/平方公分(诸如约0.01至约1瓦/平方公分)的功率密度与基板表面积的比例下通过施加RF功率来产生等离子体。在一个实施例中,用于沉积a-C:H膜的单频RF功率在约500瓦与约3000瓦之间,例如1400瓦。电极间距(即,基板与喷头间的距离)可为约200密尔至约1000密尔,例如,约280密尔至约300密尔。
为了将氩与氢稀释沉积方法的益处最大化,重要的是,相对于烃化合物的量将大量的稀释剂引入PECVD腔室。然而,同样重要的是,稀释剂被引入腔室的流率不要太高。提高稀释剂流率会形成较高密度的a-C:H层,为a-C:H膜产生更加高的蚀刻选择性,但是较高密度也会导致较高的膜应力。a-C:H膜中非常高的膜应力会造成严重问题,所述严重问题诸如a-C:H膜与基板表面的差的粘附性与/或a-C:H膜的破裂。因此,添加氩与氢超过相对烃化合物的某一摩尔比将有害地影响膜的性质。因此,有一处理窗口,其中进入PECVD腔室的稀释气体摩尔流率与烃化合物摩尔流率的比例根据沉积膜的所期望性质维持在约2:1与约40:1之间。
用于处理300mm圆形基板的示范性沉积处理应用氦作为等离子体-发起气体,应用C2H2或C3H6作为烃源,并应用氩与氢作为稀释气体。氦的示范性流率在约200sccm与约1000sccm之间,C2H2或C3H6的流率在约200sccm与1000sccm之间,氩的流率在约1000sccm与约20000sccm之间,而氢的流率在约500sccm与约5000sccm之间。在一个实例中,氩的流率在约10000sccm至约14000sccm之间,而氢的流率在约800sccm至约1000sccm之间。单频RF功率在约800瓦与约1600瓦之间。此处理的强度参数(intensive parameters)(即,腔室压力、基板温度等)如上面所描述的。这些处理参数为a-C:H层提供在约900埃/分至约1200埃/分范围中的沉积速率,密度约为1.90克/立方公分,且吸收系数对于633nm辐射约为0.58。在一个实施例中,烃源与氩的摩尔流率的比例约为1:10或更高,例如,约1:20。在一个实施例中,乙炔(C2H2)、氦气、氩气与氢气各自的摩尔流率的比例为1:0.5:20:1.4。预期本领域技术人员在阅读了本文所披露的内容后能够计算适当的处理参数或比例,以产生具有与本文所述不同的密度、吸收系数或沉积速率的a-C:H膜。
表1概括了对分别沉积于三个300mm圆形基板上的三个a-C:H膜的比较。利用本发明的一个方面以C2H2或C3H6作为烃源来沉积膜1~3。利用传统氦-基沉积处理以C3H6作为烃源来沉积膜4,当前认为传统氦-基沉积处理为半导体工业的标准处理。
表1
Figure BDA00002529405900131
参照表1,在比膜4低很多的压力下、且以膜4的烃化合物的流率的1/2沉积膜1~3。具体地,利用大量氩与氢的稀释气体来沉积膜1~3。表1示出了:膜1~3的性质优于膜4,即,大幅改善的膜密度。即便仅比较膜3与膜4(膜3与膜4具有相似的处理条件,除了膜3中的低压以及相当大的氩与氢稀释剂流率的添加以外),也可发现膜3提供增大的膜密度。上面的表1显示利用本文所述的本发明的方法,可以较高膜密度形成非晶碳膜,且由此非晶碳膜具有优良的蚀刻选择性。
根据本发明的实施例,此方法的一个重要益处为提高a-C:H膜的膜密度并因此提高a-C:H膜的干燥蚀刻选择性的能力。注意到,本发明方法的方面还预期使用比PECVD腔室中等离子体的起燃或用作液相前驱物化学的载气所需流率要高得多的氩的流率。举例而言,当氩用作液相前驱物的载气时,进入300mmPECVD腔室的氩的典型流率为约2000sccm或更少的数量级。进入这种腔室的氦的流率大体上更加少。相反,用于提高非晶碳膜密度作为稀释气体的所期望的氩流率高得多,即,高于约7000sccm。
已经发现,氩离子在膜生长过程中在轰击基板的表面上要有效得多。并非希望要受限于任何特定的理论,但相信,沉积过程中氩离子的更强烈轰击可产生多得多的悬空键与化学活性位置,其中等离子体中的CH-基团可黏附至所述悬空键与化学活性位置,从而形成更密集的膜。此外,大流率的容易离子化的气体(例如,氩)可以引起较高的等离子体密度,并因此可在气相中产生更多的–CHx基团。与氩稀释相关的更具反应性的等离子体与更具反应性的膜表面一起导致高沉积速率与高膜密度的有益组合。由于缺少与较轻离子的较低质量相关的动量,因此较轻的离子(诸如,氦离子)无法产生相似结果。然而,意外且非预期地发现,额外的H2稀释能够提高膜密度,如先前结合表1所述地。图3是展示额外氢稀释气体对a-C:H膜密度的作用的图表。在沉积过程中的氢流率从0sccm提高至3000sccm,并显示出膜密度与氢流处理过程中应用的较高氢流率基本成比例地增大。这说明,可通过添加氢稀释剂来进一步提高非晶碳膜的膜密度。虽然不认为受限于任何特定理论,但相信,额外的氢稀释(即,H2的增大使用)降低a-C:H膜的沉积速率,这随即让来自CVD等离子体的离子轰击更有效地压实生长的膜,由此提供a-C:H膜的额外密实化。图3还显示,太高的H2稀释(例如,超过2000sccm)反而会减小膜密度。此外,当氢的浓度太高时,会劣化沉积的a:C-H膜的共形性。
还已经确定,其它因素可有利地提高a-C:H膜的沉积膜密度,从而提高干燥蚀刻选择性。举例而言,这些因素包括减小烃源的流率以及降低处理压力。如上面的表1所示,已经发现,降低的腔室压力与/或减小的烃源流率也可减小a-C:H膜的沉积速率,并由此让来自CVD等离子体的离子轰击更有效地压实生长的膜,随即可提高a-C:H膜的膜密度并因此提高蚀刻选择性。由于等离子体中的离子能量与外壳电压直接成正比,且横跨基板的外壳电压会随着压力降低而提高,因此预期膜密度会随着压力降低而增大,所以腔室压力在膜密度上有相当大的影响。然而,由于在较低压力的等离子体中发现更具能量的离子,膜密度会随着处理压力的增大而减小。
上述的本发明的方法可实现a-C:H膜的高密度膜与相对高的沉积速率两者特征。相比于标准的氦-基PECVD处理,当大量应用氩与氢作为稀释气体时,可将a-C:H膜的膜密度由1.40克/立方公分大幅提高至约1.90克/立方公分。a-C:H膜的提高的密度得到硬模膜的较高的蚀刻选择性,由此提供良好的线路边缘粗糙度、线路宽度粗糙度与间隔宽度粗糙度,而不具有线路摆动及线路弯曲问题,通常在利用传统非晶碳硬模的亚45nm器件上会看到线路摆动及线路弯曲问题。
虽然上述针对本发明的实施例,但可在不悖离本发明的基本范围下设计出本发明的其它与更多实施例,而本发明的范围由随附的权利要求书确定。

Claims (15)

1.一种在基板处理腔室中的基板上形成非晶碳层的方法,包括:
将烃源引入所述处理腔室;
将氩单独或与氦、氢、氮以及这些气体的组合相结合地引入所述处理腔室,其中所述氩具有的体积流率与所述烃源的体积流率的比例为约10:1至约20:1;
在约1托耳至10托耳的低得多的压力下,在所述处理腔室中产生等离子体;及
在所述基板上形成共形的非晶碳层。
2.如权利要求1所述的方法,其特征在于,所述气体组合包括氩与氢,且氢具有的体积流率与烃源的体积流率的比例为约1:1至约8:1。
3.如权利要求2所述的方法,其特征在于,所述氢气具有的体积流率与烃源的体积流率的比例为约1.4:1至约6:1。
4.如权利要求2所述的方法,其特征在于,所述非晶碳层具有的膜密度在约1.9克/立方公分与约2.5克/立方公分之间。
5.如权利要求1所述的方法,其特征在于,所述气体通过气体分配器被引入所述处理腔室,所述气体分配器位于与基板表面相隔约200密尔与约1000密尔之间的位置处。
6.如权利要求1所述的方法,其特征在于,所述烃源包括一个或多个具有通式CxHy的烃化合物,其中x具有的范围在1与20之间,而y具有的范围在1与20之间。
7.如权利要求6所述的方法,其特征在于,所述烃源是乙炔(C2H2),所述气体组合包括氦、氩与氢,而所述乙炔:氦:氩:氢的摩尔流率的比例是1:0.5:20:1.4。
8.如权利要求1所述的方法,其特征在于,在所述非晶碳层形成的过程中,将所述基板加热至约100°C至约480°C的温度。
9.一种在处理腔室中形成半导体器件的方法,包括:
通过将气体混合物引入所述处理腔室而在基板上形成非晶碳层,其中所述气体混合物包括烃源、等离子体-发起气体与稀释气体,所述稀释气体由进入所述处理腔室的氩与氢组成,其中所述氩与氢具有的体积流率与所述烃源的体积流率的比例为约20:1.4:1;
在所述处理腔室中产生等离子体以分解所述气体混合物中的所述烃源,从而在所述基板上形成所述非晶碳层;
在所述非晶碳层的至少一个区域中界定图案;及
利用所述非晶碳层作为掩模,将界定于所述非晶碳层的至少一个区域中的所述图案转移进入所述基板。
10.如权利要求9所述的方法,其特征在于,所述等离子体在约1托耳至约10托耳的压力下在所述处理腔室中产生。
11.如权利要求9所述的方法,其特征在于,所述氩的流率在约1000sccm与约20000sccm之间,且其中所述氢的流率在约500sccm与约5000sccm之间。
12.如权利要求9所述的方法,其特征在于,所述非晶碳层具有的膜密度在约1.9克/立方公分与约2.5克/立方公分之间。
13.如权利要求9所述的方法,其特征在于,所述烃源、所述等离子体发起气体与所述稀释气体通过气体分配器被引入所述处理腔室,所述气体分配器位于与基板表面相隔约200密尔与约1000密尔之间的位置处。
14.如权利要求9所述的方法,其特征在于,所述烃源选自下列所构成的群组:烷烃类甲烷(CH4);乙烷(C2H6);丙烷(C3H8);丁烷(C4H10)与丁烷的异构物异丁烷;戊烷(C5H12)与戊烷的异构物异戊烷和新戊烷;己烷(C6H14)与己烷的异构物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷与2,2-二甲基丁烷;乙烯;丙烯;丁烯与丁烯的异构物;戊烯与戊烯的异构物等等;丁二烯;异戊二烯;戊二烯;己二烯等等;卤化烯烃,卤化烯烃包括单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等等;乙炔(C2H2);丙炔(C3H4);丁烯(C4H8);乙烯基乙炔与上述物质的衍生物;苯;苯乙烯;甲苯;二甲苯;乙基苯;苯乙酮;苯甲酸甲酯;乙酸苯酯;酚;甲酚;呋喃等等;α-萜品烯;甲异丙苯(cymene);1,1,3,3,-四甲基丁基苯;t-丁基醚;t-丁基乙烯;甲基丙烯酸甲酯与t-丁基呋喃醚;C3H2与C5H4;卤化芳香族化合物,卤化芳香族化合物包括单氟苯、二氟苯、四氟苯、六氟苯。
15.如权利要求9所述的方法,其特征在于,在所述非晶碳层的形成过程中,将所述基板加热至约100°C至约480°C的温度。
CN2011800278168A 2010-05-20 2011-04-27 超高选择性的可灰化硬模膜 Pending CN102934209A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/784,341 2010-05-20
US12/784,341 US8361906B2 (en) 2010-05-20 2010-05-20 Ultra high selectivity ashable hard mask film
PCT/US2011/034185 WO2011146212A2 (en) 2010-05-20 2011-04-27 Ultra high selectivity ashable hard mask film

Publications (1)

Publication Number Publication Date
CN102934209A true CN102934209A (zh) 2013-02-13

Family

ID=44972835

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800278168A Pending CN102934209A (zh) 2010-05-20 2011-04-27 超高选择性的可灰化硬模膜

Country Status (6)

Country Link
US (1) US8361906B2 (zh)
JP (1) JP2013526783A (zh)
KR (1) KR20130113958A (zh)
CN (1) CN102934209A (zh)
TW (1) TWI554634B (zh)
WO (1) WO2011146212A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111954921A (zh) * 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
CN112437971A (zh) * 2018-07-19 2021-03-02 应用材料公司 低温高品质的介电膜

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9160116B2 (en) 2012-11-12 2015-10-13 Huawei Technologies Co., Ltd. Connector and electronic device
CN102969624A (zh) * 2012-11-12 2013-03-13 华为技术有限公司 一种连接器及电子设备
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
JP6163820B2 (ja) * 2013-03-27 2017-07-19 日本ゼオン株式会社 エッチング方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
WO2015105651A1 (en) * 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
KR20160119849A (ko) * 2014-02-11 2016-10-14 어플라이드 머티어리얼스, 인코포레이티드 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371861A1 (en) * 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
KR102171265B1 (ko) 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN113936997A (zh) 2017-06-08 2022-01-14 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN111587474A (zh) 2017-12-01 2020-08-25 应用材料公司 高蚀刻选择性的非晶碳膜
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2021523558A (ja) 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TWI764002B (zh) 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
WO2020086241A1 (en) 2018-10-26 2020-04-30 Applied Materials, Inc. High density carbon films for patterning applications
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US12062537B2 (en) 2019-03-25 2024-08-13 Lam Research Corporation High etch selectivity, low stress ashable carbon hard mask
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
CN113891954A (zh) * 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
CN115485811A (zh) * 2020-05-05 2022-12-16 朗姆研究公司 用于提高硬掩模的选择性的惰性气体注入
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230397416A1 (en) * 2022-06-03 2023-12-07 Tokyo Electron Limited Metal Hardmasks
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12111341B2 (en) 2022-10-05 2024-10-08 Applied Materials, Inc. In-situ electric field detection method and apparatus

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7037803B2 (en) * 2002-11-29 2006-05-02 Fujitsu Limited Manufacture of semiconductor device having STI and semiconductor device manufactured
CN101122011A (zh) * 2006-06-13 2008-02-13 应用材料公司 无定型碳层的低温沉积方法
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
CN101285174A (zh) * 2007-04-10 2008-10-15 应用材料股份有限公司 用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制
US20090017587A1 (en) * 2007-07-10 2009-01-15 Freescale Semiconductor, Inc. Disposable organic spacers
CN101407909A (zh) * 2007-10-08 2009-04-15 应用材料股份有限公司 高温沉积非晶碳层的方法
US20100093187A1 (en) * 2008-10-14 2010-04-15 Applied Materials, Inc. Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4719968A (en) * 1981-01-15 1988-01-19 Speros Phillip C Heat exchanger
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7037830B1 (en) * 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7037803B2 (en) * 2002-11-29 2006-05-02 Fujitsu Limited Manufacture of semiconductor device having STI and semiconductor device manufactured
CN101122011A (zh) * 2006-06-13 2008-02-13 应用材料公司 无定型碳层的低温沉积方法
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
CN101285174A (zh) * 2007-04-10 2008-10-15 应用材料股份有限公司 用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制
US20090017587A1 (en) * 2007-07-10 2009-01-15 Freescale Semiconductor, Inc. Disposable organic spacers
CN101407909A (zh) * 2007-10-08 2009-04-15 应用材料股份有限公司 高温沉积非晶碳层的方法
US20100093187A1 (en) * 2008-10-14 2010-04-15 Applied Materials, Inc. Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111954921A (zh) * 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
CN111954921B (zh) * 2018-04-09 2024-05-31 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
CN112437971A (zh) * 2018-07-19 2021-03-02 应用材料公司 低温高品质的介电膜

Also Published As

Publication number Publication date
WO2011146212A3 (en) 2012-03-01
JP2013526783A (ja) 2013-06-24
TW201204862A (en) 2012-02-01
US8361906B2 (en) 2013-01-29
US20110287633A1 (en) 2011-11-24
KR20130113958A (ko) 2013-10-16
TWI554634B (zh) 2016-10-21
WO2011146212A2 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
CN102934209A (zh) 超高选择性的可灰化硬模膜
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
CN101981659B (zh) 沉积具有改进密度与阶梯覆盖的非晶碳膜的方法
TWI702654B (zh) 超高模量與蝕刻選擇性的硼-碳硬遮罩膜
CN101480110B (zh) 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法
CN101595559B (zh) 形成镶嵌结构的方法
KR102066524B1 (ko) 높은 필름 밀도 및 높은 에칭 선택비를 갖는 비정질 탄소 층의 증착
JP2013527621A (ja) パターン密度およびアスペクト比を増加させるための平坦化エッチングハードマスク
KR20130062924A (ko) 질소 도핑된 비정질 탄소 하드마스크
JP2007531987A (ja) アモルファス炭素膜のcvd堆積用の液体前駆体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130213