CN101407909A - 高温沉积非晶碳层的方法 - Google Patents
高温沉积非晶碳层的方法 Download PDFInfo
- Publication number
- CN101407909A CN101407909A CNA200810211588XA CN200810211588A CN101407909A CN 101407909 A CN101407909 A CN 101407909A CN A200810211588X A CNA200810211588X A CN A200810211588XA CN 200810211588 A CN200810211588 A CN 200810211588A CN 101407909 A CN101407909 A CN 101407909A
- Authority
- CN
- China
- Prior art keywords
- substrate
- amorphous carbon
- film
- mpa
- rare gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 60
- 229910003481 amorphous carbon Inorganic materials 0.000 title claims abstract description 30
- 230000008021 deposition Effects 0.000 title claims abstract description 13
- 239000000758 substrate Substances 0.000 claims abstract description 68
- 239000007789 gas Substances 0.000 claims abstract description 49
- 150000002430 hydrocarbons Chemical class 0.000 claims abstract description 27
- 239000008246 gaseous mixture Substances 0.000 claims description 34
- 229930195733 hydrocarbon Natural products 0.000 claims description 27
- 239000004215 Carbon black (E152) Substances 0.000 claims description 24
- 229920000642 polymer Polymers 0.000 claims description 24
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 claims description 21
- 238000012545 processing Methods 0.000 claims description 19
- 238000003475 lamination Methods 0.000 claims description 17
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 claims description 15
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 claims description 15
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 claims description 14
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 12
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 claims description 7
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 claims description 7
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 claims description 7
- 229910052734 helium Inorganic materials 0.000 claims description 7
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims description 6
- 229930007927 cymene Natural products 0.000 claims description 6
- AQEFLFZSWDEAIP-UHFFFAOYSA-N di-tert-butyl ether Chemical compound CC(C)(C)OC(C)(C)C AQEFLFZSWDEAIP-UHFFFAOYSA-N 0.000 claims description 6
- 239000001307 helium Substances 0.000 claims description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 6
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 claims description 6
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 claims description 6
- HFPZCAJZSCWRBC-UHFFFAOYSA-N p-cymene Chemical compound CC(C)C1=CC=C(C)C=C1 HFPZCAJZSCWRBC-UHFFFAOYSA-N 0.000 claims description 6
- -1 tetramethylene, pentamethylene Chemical group 0.000 claims description 6
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052751 metal Inorganic materials 0.000 claims description 5
- 239000002184 metal Substances 0.000 claims description 5
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 claims description 4
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical group C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 claims description 4
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 claims description 4
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Chemical compound CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 claims description 4
- IMNFDUFMRHMDMM-UHFFFAOYSA-N N-Heptane Chemical compound CCCCCCC IMNFDUFMRHMDMM-UHFFFAOYSA-N 0.000 claims description 4
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 claims description 4
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 4
- 238000002955 isolation Methods 0.000 claims description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 4
- OCKPCBLVNKHBMX-UHFFFAOYSA-N n-butyl-benzene Natural products CCCCC1=CC=CC=C1 OCKPCBLVNKHBMX-UHFFFAOYSA-N 0.000 claims description 4
- BKIMMITUMNQMOS-UHFFFAOYSA-N nonane Chemical compound CCCCCCCCC BKIMMITUMNQMOS-UHFFFAOYSA-N 0.000 claims description 4
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 claims description 4
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 claims description 3
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 3
- VWWMOACCGFHMEV-UHFFFAOYSA-N dicarbide(2-) Chemical compound [C-]#[C-] VWWMOACCGFHMEV-UHFFFAOYSA-N 0.000 claims description 3
- 238000012423 maintenance Methods 0.000 claims description 3
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 claims description 3
- PMJHHCWVYXUKFD-SNAWJCMRSA-N (E)-1,3-pentadiene Chemical compound C\C=C\C=C PMJHHCWVYXUKFD-SNAWJCMRSA-N 0.000 claims description 2
- UROUUEDWXIQAAY-UHFFFAOYSA-N 2-[(2-methylpropan-2-yl)oxymethyl]furan Chemical compound CC(C)(C)OCC1=CC=CO1 UROUUEDWXIQAAY-UHFFFAOYSA-N 0.000 claims description 2
- BKOOMYPCSUNDGP-UHFFFAOYSA-N 2-methylbut-2-ene Chemical group CC=C(C)C BKOOMYPCSUNDGP-UHFFFAOYSA-N 0.000 claims description 2
- VCQJVYAKUUDOLB-UHFFFAOYSA-N 3,3-dimethylbut-1-ene Chemical compound CC(C)(C)C=C.CC(C)(C)C=C VCQJVYAKUUDOLB-UHFFFAOYSA-N 0.000 claims description 2
- PKXHXOTZMFCXSH-UHFFFAOYSA-N 3,3-dimethylbut-1-ene Chemical group CC(C)(C)C=C PKXHXOTZMFCXSH-UHFFFAOYSA-N 0.000 claims description 2
- LVZWSLJZHVFIQJ-UHFFFAOYSA-N Cyclopropane Chemical compound C1CC1 LVZWSLJZHVFIQJ-UHFFFAOYSA-N 0.000 claims description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 claims description 2
- 244000287680 Garcinia dulcis Species 0.000 claims description 2
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 claims description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 claims description 2
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 claims description 2
- IPBVNPXQWQGGJP-UHFFFAOYSA-N acetic acid phenyl ester Natural products CC(=O)OC1=CC=CC=C1 IPBVNPXQWQGGJP-UHFFFAOYSA-N 0.000 claims description 2
- 239000002253 acid Substances 0.000 claims description 2
- 239000001273 butane Substances 0.000 claims description 2
- WFYPICNXBKQZGB-UHFFFAOYSA-N butenyne Chemical group C=CC#C WFYPICNXBKQZGB-UHFFFAOYSA-N 0.000 claims description 2
- 150000001896 cresols Chemical class 0.000 claims description 2
- 150000001941 cyclopentenes Chemical class 0.000 claims description 2
- 150000002240 furans Chemical class 0.000 claims description 2
- AHAREKHAZNPPMI-UHFFFAOYSA-N hexa-1,3-diene Chemical compound CCC=CC=C AHAREKHAZNPPMI-UHFFFAOYSA-N 0.000 claims description 2
- 229940102838 methylmethacrylate Drugs 0.000 claims description 2
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 claims description 2
- TVMXDCGIABBOFY-UHFFFAOYSA-N octane Chemical compound CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 claims description 2
- 229940049953 phenylacetate Drugs 0.000 claims description 2
- WLJVXDMOQOGPHL-UHFFFAOYSA-N phenylacetic acid Chemical compound OC(=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-UHFFFAOYSA-N 0.000 claims description 2
- 239000001294 propane Substances 0.000 claims description 2
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 2
- 150000003839 salts Chemical class 0.000 claims description 2
- 125000003944 tolyl group Chemical group 0.000 claims description 2
- KZDCMKVLEYCGQX-UDPGNSCCSA-N 2-(diethylamino)ethyl 4-aminobenzoate;(2s,5r,6r)-3,3-dimethyl-7-oxo-6-[(2-phenylacetyl)amino]-4-thia-1-azabicyclo[3.2.0]heptane-2-carboxylic acid;hydrate Chemical class O.CCN(CC)CCOC(=O)C1=CC=C(N)C=C1.N([C@H]1[C@H]2SC([C@@H](N2C1=O)C(O)=O)(C)C)C(=O)CC1=CC=CC=C1 KZDCMKVLEYCGQX-UDPGNSCCSA-N 0.000 claims 1
- 238000000151 deposition Methods 0.000 abstract description 23
- 238000010438 heat treatment Methods 0.000 abstract description 7
- 239000000203 mixture Substances 0.000 abstract description 6
- 239000011261 inert gas Substances 0.000 abstract description 5
- 239000000463 material Substances 0.000 description 27
- 229910052799 carbon Inorganic materials 0.000 description 16
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 14
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 12
- 239000012528 membrane Substances 0.000 description 12
- 239000001257 hydrogen Substances 0.000 description 7
- 229910052739 hydrogen Inorganic materials 0.000 description 7
- 238000001259 photo etching Methods 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 6
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 238000012940 design transfer Methods 0.000 description 5
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 238000005452 bending Methods 0.000 description 4
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 230000009286 beneficial effect Effects 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 238000006664 bond formation reaction Methods 0.000 description 2
- 150000001721 carbon Chemical group 0.000 description 2
- 239000003518 caustics Substances 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 229910017083 AlN Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 241000196324 Embryophyta Species 0.000 description 1
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 1
- ODUCDPQEXGNKDN-UHFFFAOYSA-N Nitrogen oxide(NO) Natural products O=N ODUCDPQEXGNKDN-UHFFFAOYSA-N 0.000 description 1
- 229910018487 Ni—Cr Inorganic materials 0.000 description 1
- 241001417495 Serranidae Species 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 150000001338 aliphatic hydrocarbons Chemical class 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 239000002194 amorphous carbon material Substances 0.000 description 1
- 238000005513 bias potential Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000004568 cement Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- VNNRSPGTAMTISX-UHFFFAOYSA-N chromium nickel Chemical compound [Cr].[Ni] VNNRSPGTAMTISX-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical compound CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 229910001293 incoloy Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000000197 pyrolysis Methods 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 239000011435 rock Substances 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
- 238000001149 thermolysis Methods 0.000 description 1
- 230000004304 visual acuity Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3146—Carbon layers, e.g. diamond-like layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Inorganic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
提供了一种以提高的台阶覆盖率高温沉积非晶碳膜的方法。在一个实施例中,沉积非晶碳膜的方法包括:在处理室中提供基板,在高于500摄氏度的温度下加热基板,将包括碳氢化合物和惰性气体的气体混合物提供到含有加热基板的处理室中,和在加热的基板上沉积具有在100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的应力的非晶碳膜。
Description
技术领域
本发明涉及集成电路的制造和在基板上沉积材料的工艺。更特别地,本发明涉及在基板上沉积碳材料的高温工艺。
背景技术
集成电路已经逐步发展成了可在单个芯片上包括几百万个晶体管、电容器和电阻器的复杂器件。芯片设计的发展持续需要更快的电路和更大的电路密度。对具有更大电路密度的更快的电路的需求对用于制造这种集成电路的材料提出了相应的需求。特别是,由于集成电路元件的尺寸降低到亚微米级,因此现在必须使用低阻导电材料(例如铜)以及低介电常数绝缘材料(介电常数低于约4)来从这些元件获得合适的电性能。
对较大集成电路密度的需求也对制造集成电路元件使用的工艺顺序提出了需求。例如,在使用常规光刻技术的工艺顺序中,能量敏感的抗蚀剂层在设置在基板上的材料层的叠层之上形成。将能量敏感的抗蚀剂层暴露给图案的图像以形成光致抗蚀剂掩模。之后,使用蚀刻工艺将掩模图案转移到叠层中的一个或多个材料层。选择在蚀刻工艺中使用的化学蚀刻剂以对于叠层中的各个材料层较对能量敏感的抗蚀剂掩模有更大的蚀刻选择性。也就是说,化学蚀刻剂以比能量敏感的抗蚀剂快得多的速率蚀刻叠层中的一个或多个材料层。叠层中的一个或多个材料层的大于抗蚀剂的蚀刻选择性防止了在图案转移完成之前能量敏感的抗蚀剂被消耗掉。由此,高选择性蚀刻剂促进了精确的图案转移。
由于相对于技术极限扩展用于形成半导体器件的结构的几何极限,因此对于在制造具有小的临界尺寸和高纵横比的各种结时精确的图形转移的需求变得日益困难。例如,为了控制图案的分辨率,已经减小了能量敏感的抗蚀剂的厚度,如193nm的抗蚀剂层。由于受到化学蚀刻剂的侵蚀,如此薄的抗蚀剂层(例如薄于约2000)在图案转移步骤期间不足以掩蔽下部的各材料层。由于被称作硬掩模层的中间层(例如氧氮化硅、碳化硅或碳薄膜)能够较好地抵抗化学蚀刻剂,因此其通常用在能量敏感的抗蚀剂层和下部各材料层之间,以利于图案转移。当蚀刻材料以形成具有大于约5∶1的纵横比和/或小于约50nm的临界尺寸的结构时,将用于把图案转移至材料的硬掩模层暴露给腐蚀性蚀刻剂一段足够长的时间。在长时间暴露给腐蚀性蚀刻剂之后,硬掩模层会弯曲、破裂、倾覆、扭曲、畸变或变形,导致不精确的图案转移和尺寸控制的丢失。此外,在所沉积的膜和/或硬掩模层中的应力也会导致应力诱使的线边缘弯曲和/或线断裂。
而且,选择用于硬掩模层和设置在膜叠层中的相邻层的材料的相似性也会导致彼此之间相似的蚀刻特性,由此导致蚀刻期间不良的选择性。在硬掩模层和相邻层之间的不良选择性会导致硬掩模层不均匀、楔形和变形的剖面,从而导致不良的图案转移和不能进行精确的结构尺寸控制。
因此,本领域中需要一种改进的沉积硬掩模层的方法。
发明内容
提供了一种以提高的台阶覆盖率高温沉积非晶碳膜的方法。在一个实施例中,沉积非晶碳膜的方法包括:在处理室中提供基板,在大于500摄氏度的温度下加热基板,将包括碳氢化合物和惰性气体的气体混合物提供到含有被加热基板的处理室中,和在被加热基板上沉积具有在100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的应力的非晶碳膜。
在另一个实施例中,沉积非晶碳膜的方法包括:在处理室中提供具有膜叠层的基板,其中该膜叠层不包含金属层,将包括碳氢化合物和惰性气体的气体混合物流入到处理室中,该惰性气体选自氦气和氩气中的至少一种,保持基板处于在约550摄氏度和约750摄氏度之间的温度,和在被加热基板上沉积非晶碳膜,其中与基板温度成比例地选择惰性气体的流率,以在沉积膜中产生在100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的应力。
在另一实施例中,沉积非晶碳膜的方法包括:在处理室中提供具有膜叠层的基板,其中该膜叠层不包含金属层,将气体混合物流入到处理室中,该气体混合物包括惰性气体和至少一种丙烷化合物或乙炔化合物,惰性气体选自氦气或氩气中的至少一种,保持基板处于在约550摄氏度和约750摄氏度之间的温度,和在基板上沉积非晶碳膜,其中选择惰性气体的量和基板的温度,以在所沉积的非晶碳膜中产生在约100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的预定应力等级。
附图说明
因此,通过参考于附图中示出的实施例,可获得能够实现且能够更详细地理解本发明的上述特征的方式和以上简要描述的本发明的更加详细的描述。
图1描述了可用于实施本发明的装置的示意性图示;
图2描述了根据本发明一个实施例的沉积工艺的工艺流程图;
图3A-3C描述了具有根据图2的方法所沉积的非晶碳层的基板的一系列示意性截面图;
为了便于理解,已经在可能的地方使用了相同参考数字以指示图中共用的相同元件。可以预期一个实施例的组成部分和特征可有利地结合到其他实施例中而不需要进一步的说明。
但是,应当指出附图只描述了本发明的示例性实施例,因此不应当被看作是对本发明范围的限制,因为本发明可具有其他同样有效的实施例。
具体实施方式
本发明提供了一种在高温下高温形成非晶碳膜的方法。在一个实施例中,非晶碳膜适合于用作硬掩模层。通过在高处理温度、诸如高于约500摄氏度下分解包括碳氢化合物和惰性气体的气体混合物来沉积非晶碳膜。在沉积期间采用的较高处理温度提供了具有所希望的机械特性的非晶碳膜、诸如低的膜应力,同时保持了高的密度、硬度和弹性模量,这就为之后的蚀刻工艺提供了对于其他材料层的高的膜选择性。此外,高温下沉积的非晶碳膜也提供了所希望的光学膜特性,诸如对光刻图案化工艺有利的所需范围的折射系数(n)和吸收系数(k)。
图1是根据本发明实施例能够用于实施非晶碳层沉积的基板处理系统132的示意性图示。在于2002年4月2日授权给Salvador等人的共同受让的美国专利No.6,364,954中描述了可用于实施本发明的基板处理系统132的一个实例的细节,在此援引该美国专利作为参考。可用于实施本发明的系统的其他实例包括CENTURA、PRECISION 5000、和PRODUCER沉积系统,全部都可从位于加利福尼亚Santa Clara市的应用材料公司获得。可预期其他处理系统可用于实施本发明,包括可从其他制造商获得的那些处理系统。
处理系统132包括耦合到气体面板130的处理室100和控制器110。处理室100一般包括限定了内部空间126的顶部124、侧面101和底壁122。支撑基座150被设置在室100的内部空间126内。基座150可由铝、陶瓷和其他合适材料制成。在一个实施例中,基座150由诸如氮化铝的陶瓷材料制成,这是适合于用在高温环境、诸如等离子体处理环境中的材料,而不会导致基座150的热损伤。可使用升高机械装置(未示出)沿垂直方向在室100内部移动基座150。
基座150可包括适合于控制被支撑在基座150上的基板190的温度的嵌入的加热元件170。在一个实施例中,可通过从电源106向加热元件170提供电流而电阻性地加热基座150。在一个实施例中,加热元件170可由封装在镍铁铬合金(例如INCOLOY)保护套管(sheath tube)中的镍铬导线制成。控制器110控制电源106提供的电流,以控制加热元件170产生的热量,由此在膜沉积期间保持基板190和基座150处于基本恒定的温度下。可调整所提供的电流,以选择性控制基座150的温度处于约100摄氏度至约780摄氏度之间,诸如大于500摄氏度。
温度传感器172、诸如热电偶可嵌入到支撑基座150中,从而以常规方式监测基座150的温度。控制器110使用测量的温度来控制提供给加热元件170的功率,从而保持基板处于所需温度。
真空泵102耦合到在室100的壁中形成的端口。真空泵102用于保持处理室100中的所需气体压力。真空泵102也自室100抽空后处理气体和处理的副产物。
具有多个孔128的喷头120耦合到基板支撑基座150上方的处理室100的顶部124。喷头120的孔128用于将处理气体引入到室100中。孔128具有不同尺寸、数量、分布、形状、设计和直径,以利于用于不同处理需求的各种处理气体的流动。喷头120连接到在处理期间允许各种气体提供到内部空间126的气体面板130。等离子体由排出喷头120的处理气体混合物形成,以增强导致基板190表面191上的材料沉积的处理气体的热分解。
喷头120和基板支撑基座150可形成为在内部空间126中一对间隔开的电极。一个或多个RF源140经由匹配网络138提供偏置电势至喷头120,以利于在喷头120和基座150之间产生等离子体。替换地,RF电源140和匹配网络138可耦合到喷头120、基板基座150或者耦合到喷头120和基板基座150两者,或者耦合到设置在室100外部的天线(未示出)。在一个实施例中,RF电源140可提供约30kHz至约13.6kHz频率下的约500瓦特和约3000瓦特之间的功率。
控制器110包括中央处理单元(CPU)112、存储器116和用于控制处理顺序和调节来自气体面板130的气体流动的支持电路114。CPU 112可以是用在工业装置中的任何形式的通用计算机处理器。软件程序能够存储在存储器116中,诸如随机存取存储器、只读存储器、软盘或硬盘驱动器或其他形式的数字存储器中。支持电路114通常耦合到CPU 112且可包括高速缓存、时钟电路、输入/输出系统、电源等。控制单元110和处理系统132的各部件之间的双向通信由共同称作信号总线118的多条信号电缆来执行,这些信号电缆中的一些于图1中示出。
图2示出根据本发明一个实施例的用于沉积非晶碳膜的方法200的工艺流程图。图3A-3C是示出根据方法200沉积用作所沉积的硬掩膜层的非晶碳膜的顺序的示意性截面图。
该方法200通过在处理室中提供基板始于步骤202。该处理室可以是如图1中所描述的处理室100。预期可使用其他处理室,包括从其他制造商那里获得的那些处理室。如图3A中示出的基板190可具有沉积于其上的材料层302。基板190可具有基本平坦的表面、不平的表面或者上面形成有结构的基本平坦的表面。在一个实施例中,材料层302可以是用于形成栅极结构、接触结构或浅沟槽隔离(STI)结构的一部分膜叠层。在其中不存在材料层302的实施例中,这些结构可直接形成在基板190中。
在一个实施例中,材料层302可以是用于形成栅极电极的硅层。在另一个实施例中,材料层302可包括氧化硅层、沉积在硅层上方的氧化硅层。在再一实施例中,材料层302可包括一层或多层用于制造半导体器件的其他介电材料。在又一实施例中,材料层302不包括任何金属层。
在步骤204,将基板保持在高于500摄氏度的温度下,诸如在约500摄氏度和约750摄氏度之间的温度下。将基板保持在高于常规沉积处理的温度下,以控制气体混合物分解的反应状态。常规沉积处理通常在低于约450摄氏度下进行。通常的认识是使用大于约450摄氏度的基板温度会导致较低的沉积率和横跨基板表面不良的膜均匀度,由此导致较低的制造产量和较不希望的膜特性。此外,过高的处理温度可能会损伤用于这种类型处理的大多数常规的支撑基座,由此降低基座的寿命且可能增加造成处理污染的颗粒产生。但是,已发现通过使用大于500摄氏度的精心选择的基板温度结合以下将进一步描述的精心选择的气体混合物,能够获得这样的处理窗,该处理窗能产生具有优良膜特性和选择性的膜,同时保持所需的膜沉积率和在基板内的膜均匀度。
在步骤206,气体混合物从气体面板130通过喷头120流入到处理室100中。气体混合物至少包括碳氢化合物和惰性气体。在一个实施例中,碳氢化合物具有通式CxHy,这里,x具有1和12之间的范围,和y具有4和26之间的范围。更特别地,脂肪族碳氢化合物例如包括:烷烃、诸如甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷等;烯烃、诸如丙烯(propene)、乙烯、丙烯(propylene)、丁烯、戊烯等;二烯烃、诸如己二烯、丁二烯、异戊间二烯、戊二烯等;炔烃、诸如乙炔、乙烯基乙炔等。脂环族碳氢化物例如包括环丙烷、环丁烷、环戊烷、环戊烯、甲苯等。芳香族碳氢化物例如包括苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、甲基苯甲酸盐、乙酸苯酯、酚、甲酚、呋喃等。此外,可选择α-萜品烯、甲基异丙基苯、1,1,3,3,-四甲基丁基苯、t-丁基醚、t-丁基乙烯、甲基-甲基丙烯酸酯和t-丁基呋喃亚甲基。此外,可使用α-萜品烯(alpha-terpinene)、甲基异丙基苯(cymene)、1,1,3,3,-四甲基丁基苯(1,1,3,3,-tetramethylbutylbenzene)、t-丁基醚(t-butylether)、t-丁基乙烯(t-butylethylene)、甲基-甲基丙烯酸酯(methyl-methacrylate)和t-丁基呋喃亚甲基(t-butylfurfurylether)。在示范性实施例中,碳氢化合物是丙烯(propene)、乙炔、乙烯、丙烯(propylene)、丁烯、甲苯、α-萜品烯。在特定实施例中,碳氢化合物是丙烯(C3H6)或乙炔。
替换地,一种或多种碳氢化合物可与提供到处理室的气体混合物中的碳氢化合物相混合。两种或多种碳氢化合物的混合物可用于沉积非晶碳材料。
将惰性气体、诸如氩(Ar)或氦(He)与气体混合物一起提供到处理室100。其他载气、诸如氮(N2)或氧化氮(NO)、氢(H2)、氨(NH3)、氢(H2)和氮(N2)的混合物或其组合物可用于控制非晶碳层的密度和沉积率。添加H2和/或NH3可用于控制沉积的非晶碳层的氢比率(例如碳对氢的比率)。在非晶碳膜中的氢比率提供对层特性、诸如反射率的控制。
在一个实施例中,将惰性气体、诸如氩(Ar)或氦(He)气与碳氢化合物、诸如丙烯(C3H6)或乙炔一起提供到处理室中以沉积非晶碳膜。在气体混合物中提供的惰性气体可有助于控制如此沉积的层的光学和机械特性,诸如折射系数(n)和吸收系数(k)、所形成的层的硬度、密度和弹性模量。例如,在等离子体沉积期间,在气体混合物中提供的碳氢化合物分解为碳离子和氢离子。在所沉积膜中存在的氢的比率会影响光学和机械特性。在等离子体分解的气体混合物中提供的原子、诸如Ar或He原子在气体混合物中产生一定量的动量,由此增加了等离子体轰击的可能性,于是从膜键合形成(film bondingformation)中逐出氢原子。因此,含在气体混合物中用于膜形成的离子主要是碳离子,从而增加了碳碳双键形成的可能性,导致较高的吸收系数(k),例如较低的透明度和所形成的层的较高的硬度、密度和弹性模量。此外,较高的沉积温度也会增加碳碳双键形成的可能性,由此提供调整所沉积的膜的光学和机械特性的另一替换方式。这样,通过控制所形成的沉积膜中包含的氢比率,就可有效地控制和调整沉积膜的光学和机械特性。
在步骤208,在基板温度被控制为高于500摄氏度而存在RF等离子体的情况下,在材料层302上和/或基板190上沉积非晶碳膜304,如图3B中所示。如以上所讨论的,气体混合物中的碳氢化合物在相对高的温度下分解,以允许来自碳氢化合物的碳和氢原子之间的键合广泛地分解和热解。因此,基本上分解了的碳和氢原子被气体混合物产生的等离子体重新组织和重新排列,由此在基板表面上被均匀和逐步地吸收,在基板190上形成非晶碳膜304。吸收在基板表面上的无序或无方向的原子通常会导致不良的膜结构和本征膜应力。本征膜应力导致膜空隙、破裂、弯曲和小丘,这会明显影响在光刻期间的特征转移,导致在随后的蚀刻工艺期间图案化的线弯曲或者线断裂。此外,所形成的非晶碳膜的本征膜应力也会导致形成在基板190上的相邻层之间的应力失配,从此导致膜破裂或膜结构弯曲或变形。通过在沉积工艺期间在存在正确组合的处理气体的情况下在高于500摄氏度的温度范围内升高基板温度,可基本分解和再构造来自碳氢化合物的碳和氢原子,从此重新排列非晶碳膜304的碳原子的顺序和晶格,产生具有低应力膜的基本平坦的表面。这样,可以以更加系统和均匀的方式将碳原子沉积在基板表面上。
在一个实施例中,希望所沉积非晶碳膜304的应力接近零,例如无压应力或拉应力膜的基本平坦表面。超过在沉积工艺期间使用的过高处理温度和过高RF功率会导致所沉积的碳膜过分拉伸或收缩,这将导致在随后的蚀刻和沉积工艺期间的线弯曲、应力失配、和/或膜破裂。在碳膜中形成的所希望的膜应力在约100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间。通过对于给定基板处理温度精心选择正确数量的惰性气体,可获得具有在该希望应力范围内的膜应力的非晶碳膜。基板处理温度和惰性气体流率的组合提供的工艺窗也产生所希望的应力、机械和光学膜特性的组合。例如,过高的惰性气体流率将使得所沉积的膜过于收缩,同时没有或过低的惰性气体流率将导致不良的膜均匀性和不希望的n/k值。较高温度会有助于较低膜应力,于是,可根据用于平衡工艺和实现在所沉积的膜中接近零的应力的基板温度来降低惰性气体流率。
此外,如上面所讨论的,通过将惰性气体添加到气体混合物中,等离子体分解的氢原子会被有效地驱动且从气体混合物中被逐出,由此增强了所沉积的非晶碳膜中的碳碳键合。增强的碳碳键合提供了所需的较强的机械特性,诸如硬度、弹性模量和密度,由此提供了对于等离子体侵蚀具有高抵抗性和在随后的蚀刻工艺期间具有高选择性的沉积非晶碳膜304。而且,可通过调整提供到气体混合物中的惰性气体的数量来获得形成的碳膜304的光学特性,诸如在希望范围内的折射系数(n)和吸收系数(k),同时保持膜应力和蚀刻选择性处于所希望的范围内。替换地,也可通过选择不同碳氢化合物诸如具有不同数量和/或比率的碳氢原子以满足不同的工艺需求,获得沉积的碳膜的不同的光学和机械特性。
在一个实施例中,沉积的非晶碳膜的吸收系数(k)可被控制为在约633nm的波长下在约0.2至约1.8之间,在约243nm的波长下在约0.4和约1.3之间,以及在约193nm的波长下在约0.3和0.6之间。
在一个实施例中,非晶碳膜304的吸收系数也可作为沉积温度的函数被改变。特别是,随着温度增加,沉积层的吸收系数(k)同样增加。因此,可利用处理温度和在气体混合物中提供的惰性气体和碳氢化合物之间的比率的恰当选择的组合来调整沉积的碳膜具有所希望范围内的应力和折射系数(n)以及吸收系数(k)的所沉积碳膜。
在一个实施例中,其中将处理温度控制成大于约500摄氏度,诸如在约550摄氏度和约750摄氏度之间,可以以约200sccm至约3000sccm之间的速度,诸如在约400sccm和约2000sccm之间的速度,在气体混合物中提供碳氢化合物,诸如丙烯(C3H6)。可以以在约200sccm和约10000sccm之间的速度,诸如约1200sccm和约8000sccm,在气体混合物中提供惰性气体,诸如Ar气。
在沉积期间,可根据需要调整处理参数。在适合于处理300mm基板的一个实施例中,可施加在约400瓦特至约2000瓦特之间、诸如800瓦特至约1600瓦特的RF电源,或者在1.35瓦特/cm2和约2.35瓦特/cm2之间的功率密度,以保持由气体混合物形成的等离子体。可将处理压力保持在约1乇(Torr)至约20乇,诸如约2乇和约12乇,例如约4乇至约9乇。在基板和喷头之间的间隙被控制在约200密耳(mils)至约1000密耳。用于实施本发明的沉积非晶碳膜的处理参数的其它实例细节在Seamons等人的于2005年12月29日公开的共同受让的美国专利公开No.2005/0287771以及Padhi等人于2006年6月28日提交的美国专利申请No.11/427,324中进行了描述(代理人案卷号No.10847),在此援引这些专利公开和专利申请作为参考。
方法200特别适用于在半导体器件制造工艺中金属工艺之前的前端工艺(FEOL)中使用的工艺。合适的前端工艺(FEOL)包括栅极制造应用、接触结构应用、浅沟槽隔离(STI)工艺等。
在其中将非晶碳膜304用作蚀刻停止层或用作不同工艺目的的不同膜的实施例中,也可调整膜的机械或光学特性来满足特定工艺目的。例如,在其中将非晶碳膜304用作蚀刻停止层的实施例中,提供高选择性以防止过蚀刻下面各层的膜的机械特性较其光学特性更重要,反之亦然。
在其中将非晶碳膜304用作硬掩膜层的特定实施例中,在将非晶碳膜304沉积在基板190上之后,可将任选的帽盖层306(于图3C中的剖面图中示出)沉积在非晶碳膜304上。任选的帽盖层306与非晶碳膜304一起用作抗反射涂层(ARC),以当将抗蚀剂层沉积在盖帽盖层306上时强化光刻工艺的性能。任选的帽盖层306的合适材料包括硅、氧化硅、碳化硅(SiC)、氧氮化硅(SiON)、氮化硅(SiN)或其他相似材料。非晶碳膜304可用于深UV(DUV)光刻、远紫外(EUV)光刻、浸没光刻、或其他合适的光刻技术中。
由此,通过使用高温沉积工艺提供了一种用于沉积具有所希望的机械和光学膜特性的非晶碳膜的方法。该方法有利地改善了机械特性,诸如非晶碳膜的应力、硬度、弹性模量和密度。改善的碳膜的机械特性为随后的蚀刻工艺提供了高的膜选择性,同时对于随后的光刻工艺保持所希望范围的膜的光学特性,诸如折射系数(n)和吸收系数(k)。
虽然前述内容涉及本发明的实施例,但是也可设计出本发明其他和进一步的实施例而不超出本发明的基本范围,且其范围通过以下的权利要求确定。
Claims (18)
1.一种沉积非晶碳膜的方法,包括
在处理室中提供基板;
加热所述基板至高于500摄氏度的温度;
将包括碳氢化合物和惰性气体的气体混合物提供到含有被加热基板的处理室中;和
在被加热基板上沉积具有在100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的应力的非晶碳膜。
2.如权利要求1的方法,其中所述碳氢化合物包括甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷、丙烯(propene)、乙烯、丙烯(propylene)、丁烯、戊烯、己二烯、丁二烯、异戊间二烯、戊二烯等、乙炔、乙烯基乙炔、环丙烷、环丁烷、环戊烷、环戊烯、甲苯、苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、甲基苯甲酸盐、乙酸苯酯、酚、甲酚、呋喃、α-萜品烯、甲基异丙基苯、1,1,3,3,-四甲基丁基苯、t-丁基醚、t-丁基乙烯、甲基-甲基丙烯酸酯、t-丁基呋喃亚甲基、α-萜品烯(alpha-terpinene)、甲基异丙基苯(cymene)、1,1,3,3,-四甲基丁基苯(1,1,3,3,-tetramethylbutylbenzene)、t-丁基醚(t-butylether)、t-丁基乙烯(t-butylethylene)、甲基-甲基丙烯酸酯(methyl-methacrylate)和t-丁基呋喃亚甲基(t-butylfurfurylether)中的至少一种。
3.如权利要求1的方法,其中所述碳氢化合物是丙烯和乙炔中的至少一种。
4.如权利要求1的方法,其中所述加热基板的步骤还包括:
将所述基板温度保持在约550摄氏度和约750摄氏度之间。
5.如权利要求1的方法,其中将所述气体混合物提供到处理室中的步骤还包括:
以约200sccm和约3000sccm之间的流率流动所述碳氢化合物;和
以约200sccm和约10000sccm之间的流率流动所述惰性气体。
6.如权利要求1的方法,其中所述惰性气体是Ar和He中的至少一种。
7.如权利要求1的方法,其中所述沉积非晶碳膜的步骤还包括:
根据基板温度选择提供到所述处理室中的惰性气体的流率。
8.如权利要求1的方法,其中所述沉积非晶碳膜的步骤还包括:
提供400瓦特和2000瓦特之间的RF电源以激励所述气体混合物。
9.一种沉积非晶碳膜的方法,包括:
在处理室中提供具有膜叠层的基板,其中所述膜叠层不包含金属层;
将包括碳氢化合物或惰性气体的气体混合物流入到所述处理室中,该惰性气体选自氦气和氩气中的至少一种;
保持所述基板处于在约550摄氏度和约750摄氏度之间的温度;和
在被加热基板上沉积非晶碳膜,其中与基板温度成比例地选择惰性气体的流率,以在沉积膜中产生在100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的应力。
10.如权利要求9的方法,其中所述碳氢化合物是丙烯和乙炔中的至少一种。
11.如权利要求9的方法,其中所述将气体混合物流入到处理室中的步骤还包括:
以约200sccm和约3000sccm之间的流率流动所述碳氢化合物;和
以约200sccm和约10000sccm之间的流率流动所述惰性气体。
12.如权利要求11的方法,其中所述沉积非晶碳膜的步骤还包括:
提供400瓦特和2000瓦特之间的RF电源以激励所述气体混合物。
13.如权利要求9的方法,其中所述在基板上沉积非晶碳膜的步骤还包括:
将处理压力保持在约2乇至约10乇之间的范围内。
14.如权利要求9的方法,其中所述膜叠层适合于形成栅极结构、接触结构或浅沟槽隔离结构。
15.沉积非晶碳膜的方法,包括:
在处理室中提供具有膜叠层的基板,其中所述膜叠层不包含金属层;
将气体混合物流入到所述处理室中,该气体混合物包括惰性气体和至少一种丙烯化合物或乙炔化合物,该惰性气体选自氦气和氩气中的至少一种;
保持所述基板处于在约550摄氏度和约750摄氏度之间的温度;和
在所述基板上沉积非晶碳膜,其中选择所述惰性气体的量和基板温度以在所沉积的非晶碳膜中产生在约100兆帕斯卡(MPa)拉应力和约100兆帕斯卡(MPa)压应力之间的预定应力等级。
16.如权利要求15的方法,其中流动所述气体混合物的步骤还包括:
以约200sccm和约3000sccm之间的流率流动所述丙烯或乙炔化合物;和
以约200sccm和约10000sccm之间的流率流动所述惰性气体。
17.如权利要求15的方法,其中所述保持基板温度的步骤还包括:
将基板温度保持在约650摄氏度和约750摄氏度之间。
18.如权利要求15的方法,其中膜叠层适合于形成栅极结构、接触结构或浅沟槽隔离结构。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/868,859 US20090093128A1 (en) | 2007-10-08 | 2007-10-08 | Methods for high temperature deposition of an amorphous carbon layer |
US11/868,859 | 2007-10-08 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101407909A true CN101407909A (zh) | 2009-04-15 |
Family
ID=40523640
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA200810211588XA Pending CN101407909A (zh) | 2007-10-08 | 2008-10-08 | 高温沉积非晶碳层的方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20090093128A1 (zh) |
JP (1) | JP5686944B2 (zh) |
KR (1) | KR101161912B1 (zh) |
CN (1) | CN101407909A (zh) |
TW (1) | TWI471448B (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102934209A (zh) * | 2010-05-20 | 2013-02-13 | 应用材料公司 | 超高选择性的可灰化硬模膜 |
CN103069569A (zh) * | 2010-08-18 | 2013-04-24 | 应用材料公司 | 可变电阻存储器元件以及制造方法 |
CN112262227A (zh) * | 2018-06-15 | 2021-01-22 | 应用材料公司 | 正形碳膜沉积 |
Families Citing this family (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100304504A1 (en) * | 2009-05-27 | 2010-12-02 | Canon Anelva Corporation | Process and apparatus for fabricating magnetic device |
JP2011066164A (ja) * | 2009-09-16 | 2011-03-31 | Tokyo Electron Ltd | マスクパターンの形成方法及び半導体装置の製造方法 |
JP5411171B2 (ja) | 2010-02-05 | 2014-02-12 | 東京エレクトロン株式会社 | アモルファスカーボン膜を含む積層構造を形成する方法 |
US8492278B2 (en) * | 2010-03-30 | 2013-07-23 | Micron Technology, Inc. | Method of forming a plurality of spaced features |
JP5524132B2 (ja) * | 2010-07-15 | 2014-06-18 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置 |
JP5730521B2 (ja) * | 2010-09-08 | 2015-06-10 | 株式会社日立ハイテクノロジーズ | 熱処理装置 |
JP5807511B2 (ja) | 2011-10-27 | 2015-11-10 | 東京エレクトロン株式会社 | 成膜装置及びその運用方法 |
JP5772508B2 (ja) | 2011-10-27 | 2015-09-02 | 東京エレクトロン株式会社 | 成膜装置及びその運用方法 |
JP6047039B2 (ja) | 2012-04-20 | 2016-12-21 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US8679987B2 (en) * | 2012-05-10 | 2014-03-25 | Applied Materials, Inc. | Deposition of an amorphous carbon layer with high film density and high etch selectivity |
US9589799B2 (en) * | 2013-09-30 | 2017-03-07 | Lam Research Corporation | High selectivity and low stress carbon hardmask by pulsed low frequency RF power |
JP6673339B2 (ja) * | 2015-03-27 | 2020-03-25 | 日本ゼオン株式会社 | カーボンナノチューブを含む炭素ナノ構造体の製造方法 |
SG11202005150YA (en) | 2017-12-01 | 2020-06-29 | Applied Materials Inc | Highly etch selective amorphous carbon film |
CN111584725A (zh) * | 2020-05-15 | 2020-08-25 | 武汉华星光电半导体显示技术有限公司 | Oled的面板及其制造方法 |
KR20220006686A (ko) * | 2020-07-08 | 2022-01-18 | 삼성디스플레이 주식회사 | 표시 장치 및 그 제조 방법 |
EP4148162A1 (de) * | 2021-09-13 | 2023-03-15 | Behzad Sahabi | Beschichtungsverfahren und vorrichtung zum ausbilden einer barriereschicht zur erhöhung der impermeabilität und korrosionsbeständigkeit, beschichtung und gebinde zur einbettung und versiegelung radioaktiver körper für die endlagerung, sowie verfahren zur herstellung des gebindes |
Family Cites Families (62)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5262262A (en) * | 1985-05-31 | 1993-11-16 | Fuji Xerox Co., Ltd. | Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer |
US4975144A (en) * | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
JPH07243064A (ja) * | 1994-01-03 | 1995-09-19 | Xerox Corp | 基板清掃方法 |
US5461003A (en) * | 1994-05-27 | 1995-10-24 | Texas Instruments Incorporated | Multilevel interconnect structure with air gaps formed between metal leads |
TW366367B (en) * | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
JP2956571B2 (ja) * | 1996-03-07 | 1999-10-04 | 日本電気株式会社 | 半導体装置 |
US5789320A (en) * | 1996-04-23 | 1998-08-04 | International Business Machines Corporation | Plating of noble metal electrodes for DRAM and FRAM |
US5759913A (en) * | 1996-06-05 | 1998-06-02 | Advanced Micro Devices, Inc. | Method of formation of an air gap within a semiconductor dielectric by solvent desorption |
KR100205318B1 (ko) * | 1996-10-11 | 1999-07-01 | 구본준 | 자유전율의 절연막 제조방법 |
US6310300B1 (en) * | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
EP1376684B1 (en) * | 1997-01-21 | 2008-11-26 | Georgia Tech Research Corporation | Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections |
JP2962272B2 (ja) * | 1997-04-18 | 1999-10-12 | 日本電気株式会社 | 半導体装置の製造方法 |
EP0881668A3 (en) * | 1997-05-28 | 2000-11-15 | Dow Corning Toray Silicone Company, Ltd. | Deposition of an electrically insulating thin film with a low dielectric constant |
US6428894B1 (en) * | 1997-06-04 | 2002-08-06 | International Business Machines Corporation | Tunable and removable plasma deposited antireflective coatings |
US6008140A (en) * | 1997-08-13 | 1999-12-28 | Applied Materials, Inc. | Copper etch using HCI and HBr chemistry |
US6333255B1 (en) * | 1997-08-21 | 2001-12-25 | Matsushita Electronics Corporation | Method for making semiconductor device containing low carbon film for interconnect structures |
US6203898B1 (en) * | 1997-08-29 | 2001-03-20 | 3M Innovatave Properties Company | Article comprising a substrate having a silicone coating |
US6035803A (en) * | 1997-09-29 | 2000-03-14 | Applied Materials, Inc. | Method and apparatus for controlling the deposition of a fluorinated carbon film |
US6323119B1 (en) * | 1997-10-10 | 2001-11-27 | Applied Materials, Inc. | CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application |
US6624064B1 (en) * | 1997-10-10 | 2003-09-23 | Applied Materials, Inc. | Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application |
US6211065B1 (en) * | 1997-10-10 | 2001-04-03 | Applied Materials, Inc. | Method of depositing and amorphous fluorocarbon film using HDP-CVD |
US5981000A (en) * | 1997-10-14 | 1999-11-09 | International Business Machines Corporation | Method for fabricating a thermally stable diamond-like carbon film |
US6057226A (en) * | 1997-11-25 | 2000-05-02 | Intel Corporation | Air gap based low dielectric constant interconnect structure and method of making same |
US6103305A (en) * | 1997-11-26 | 2000-08-15 | Sandia Corporation | Method of forming a stress relieved amorphous tetrahedrally-coordinated carbon film |
US6098568A (en) * | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6143476A (en) * | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
TW505984B (en) * | 1997-12-12 | 2002-10-11 | Applied Materials Inc | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures |
US6291334B1 (en) * | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
JP3507322B2 (ja) * | 1997-12-24 | 2004-03-15 | キヤノン株式会社 | 電子写真装置 |
US6140226A (en) * | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US5986344A (en) * | 1998-04-14 | 1999-11-16 | Advanced Micro Devices, Inc. | Anti-reflective coating layer for semiconductor device |
US6184572B1 (en) * | 1998-04-29 | 2001-02-06 | Novellus Systems, Inc. | Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices |
US5882830A (en) * | 1998-04-30 | 1999-03-16 | Eastman Kodak Company | Photoconductive elements having multilayer protective overcoats |
DE19833491A1 (de) * | 1998-07-24 | 2000-02-03 | Siemens Ag | Niederinduktive Verschienung für einen Dreipunkt-Phasenbaustein |
JP2000106396A (ja) * | 1998-09-29 | 2000-04-11 | Sharp Corp | 半導体装置の製造方法 |
US6635583B2 (en) * | 1998-10-01 | 2003-10-21 | Applied Materials, Inc. | Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating |
US6140224A (en) * | 1999-04-19 | 2000-10-31 | Worldiwide Semiconductor Manufacturing Corporation | Method of forming a tungsten plug |
KR100307629B1 (ko) * | 1999-04-30 | 2001-09-26 | 윤종용 | 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법 |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
US6423384B1 (en) * | 1999-06-25 | 2002-07-23 | Applied Materials, Inc. | HDP-CVD deposition of low dielectric constant amorphous carbon film |
US6153935A (en) * | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6541367B1 (en) * | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
US6413852B1 (en) * | 2000-08-31 | 2002-07-02 | International Business Machines Corporation | Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material |
US6380106B1 (en) * | 2000-11-27 | 2002-04-30 | Chartered Semiconductor Manufacturing Inc. | Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures |
TW462122B (en) * | 2000-12-18 | 2001-11-01 | United Microelectronics Corp | Air gap semiconductor structure and the manufacturing method thereof |
TW476135B (en) * | 2001-01-09 | 2002-02-11 | United Microelectronics Corp | Manufacture of semiconductor with air gap |
US6541842B2 (en) * | 2001-07-02 | 2003-04-01 | Dow Corning Corporation | Metal barrier behavior by SiC:H deposition on porous materials |
US7226853B2 (en) * | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US6884733B1 (en) * | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US20040038537A1 (en) * | 2002-08-20 | 2004-02-26 | Wei Liu | Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm |
US20040229470A1 (en) * | 2003-05-14 | 2004-11-18 | Applied Materials, Inc. | Method for etching an aluminum layer using an amorphous carbon mask |
JP2005045053A (ja) * | 2003-07-23 | 2005-02-17 | Elpida Memory Inc | 半導体装置の製造方法 |
EP1676300B1 (en) * | 2003-10-03 | 2014-10-01 | Applied Materials, Inc. | Method for annealing a substrate comprising an absorber layer |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
JP4879159B2 (ja) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7867578B2 (en) * | 2006-06-28 | 2011-01-11 | Applied Materials, Inc. | Method for depositing an amorphous carbon film with improved density and step coverage |
-
2007
- 2007-10-08 US US11/868,859 patent/US20090093128A1/en not_active Abandoned
-
2008
- 2008-10-06 JP JP2008259903A patent/JP5686944B2/ja active Active
- 2008-10-08 TW TW97138750A patent/TWI471448B/zh active
- 2008-10-08 KR KR1020080098739A patent/KR101161912B1/ko active IP Right Grant
- 2008-10-08 CN CNA200810211588XA patent/CN101407909A/zh active Pending
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102934209A (zh) * | 2010-05-20 | 2013-02-13 | 应用材料公司 | 超高选择性的可灰化硬模膜 |
CN103069569A (zh) * | 2010-08-18 | 2013-04-24 | 应用材料公司 | 可变电阻存储器元件以及制造方法 |
CN112262227A (zh) * | 2018-06-15 | 2021-01-22 | 应用材料公司 | 正形碳膜沉积 |
CN112262227B (zh) * | 2018-06-15 | 2022-09-23 | 应用材料公司 | 正形碳膜沉积 |
Also Published As
Publication number | Publication date |
---|---|
US20090093128A1 (en) | 2009-04-09 |
JP5686944B2 (ja) | 2015-03-18 |
JP2009135439A (ja) | 2009-06-18 |
KR101161912B1 (ko) | 2012-07-09 |
TW200938651A (en) | 2009-09-16 |
TWI471448B (zh) | 2015-02-01 |
KR20090036082A (ko) | 2009-04-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101407909A (zh) | 高温沉积非晶碳层的方法 | |
US11728168B2 (en) | Ultra-high modulus and etch selectivity boron-carbon hardmask films | |
KR102513424B1 (ko) | 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스 | |
CN101981659B (zh) | 沉积具有改进密度与阶梯覆盖的非晶碳膜的方法 | |
US8536065B2 (en) | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration | |
CN108475640A (zh) | 用于侧向硬模凹槽减小的混合碳硬模 | |
WO2011126612A2 (en) | Nitrogen doped amorphous carbon hardmask | |
CN102915925A (zh) | 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法 | |
CN102934209A (zh) | 超高选择性的可灰化硬模膜 | |
CN101939818A (zh) | 以干微影或浸润式微影工艺来防止45-纳米特征结构尺寸中光阻材料的崩塌和毒化 | |
CN101174099A (zh) | 用于高数值孔径和浸润式光刻的分级式抗反射涂层 | |
JP6272830B2 (ja) | 低エッチング速度のハードマスク膜のための酸素ドーピングを伴うpvdaln膜 | |
US20200266064A1 (en) | Method of processing a substrate | |
TWI713961B (zh) | 針對碳化鎢膜改善附著及缺陷之技術 | |
US20220319841A1 (en) | Deposition of low-stress carbon-containing layers | |
US11495454B2 (en) | Deposition of low-stress boron-containing layers | |
WO2023239689A1 (en) | Ruthenium carbide for dram capacitor mold patterning | |
CN118213322A (zh) | 具有抗背溅镀层的半导体元件 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C53 | Correction of patent of invention or patent application | ||
CB02 | Change of applicant information |
Address after: American California Applicant after: Applied Materials Inc. Address before: American California Applicant before: Applied Materials Inc. |
|
C12 | Rejection of a patent application after its publication | ||
RJ01 | Rejection of invention patent application after publication |
Application publication date: 20090415 |