CN108475640A - 用于侧向硬模凹槽减小的混合碳硬模 - Google Patents

用于侧向硬模凹槽减小的混合碳硬模 Download PDF

Info

Publication number
CN108475640A
CN108475640A CN201780006952.6A CN201780006952A CN108475640A CN 108475640 A CN108475640 A CN 108475640A CN 201780006952 A CN201780006952 A CN 201780006952A CN 108475640 A CN108475640 A CN 108475640A
Authority
CN
China
Prior art keywords
hard mold
hard
etch process
mold
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780006952.6A
Other languages
English (en)
Other versions
CN108475640B (zh
Inventor
托马斯·琼万·权
程睿
阿布海杰特·巴苏·马利克
平尔萱
安在洙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310649955.9A priority Critical patent/CN116631865A/zh
Publication of CN108475640A publication Critical patent/CN108475640A/zh
Application granted granted Critical
Publication of CN108475640B publication Critical patent/CN108475640B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本公开内容的实施方式涉及改善的硬模材料和用于基板的图案化和蚀刻的方法。多个硬模可与图案化和蚀刻工艺共同使用以实现先进的装置架构。在一个实施方式中,第一硬模和第二硬模设置于基板上,所述基板具有设置于所述基板上的各种材料层。在第一蚀刻工艺期间可使用第二硬模以图案化第一硬模。可在第一硬模和第二硬模上沉积第三硬模,并且可使用第二蚀刻工艺以在材料层中形成通道。

Description

用于侧向硬模凹槽减小的混合碳硬模
背景
技术领域
本公开内容的实施方式大体上涉及在基板的图案化和蚀刻中所利用的方法和材料。更具体地,本文所描述的实施方式涉及混合碳硬模。
背景技术
集成电路已发展成可在单一芯片(chip)上包含数百万个晶体管、电容器和电阻器的复杂装置。芯片设计的发展持续需要更快的电路和更大的电路密度。对具有更大电路密度的更快电路的需求施加相应的要求于用来制造此类集成电路的材料上。尤其是随着集成电路部件的尺寸减小到亚微米级,通常需要使用低电阻率导电材料以及低介电常数绝缘材料以获得用于此类部件的合适电性能。
随着集成装置图案的特征尺寸减小,特征的临界尺寸(CD)要求变成对于稳定和可重复装置性能越来越重要的标准。跨基板的可允许的CD变化也随着特征CD的缩放(scaling)而缩放。由于侧向尺寸缩放比竖直尺寸缩放快和因装置电容(capacitance)问题,高长宽比(HAR)目前在半导体工业内是普遍的。
当此类高要求的长宽比和CD控制与高蚀刻选择性、侧壁平滑度(smoothness)和高工具处理量(tool throughput)的要求相结合时,用于任何硬件配置的工艺裕度可变得非常小。在许多情况下,当将若干工艺气体合并至与极端的硬件设定(如非常高的RF偏压功率)结合的复杂的蚀刻剂气体混合物,,以达到侧壁钝化、蚀刻速率和掩模选择性之间的脆弱平衡时,出现小的工艺裕度(process window)。然而,此类小的工艺裕度通常遭受性能限制,所述性能限制不能使用当前可用的工艺和材料而调整出蚀刻工艺。
因此,本领域需要改善的硬模材料和方法。
发明内容
在一个实施方式中,提供基板处理方法。所述方法包含以下步骤:沉积第一硬模于设置在基板上的一个或多个材料层上,和沉积第二硬模于第一硬模上。可图案化第二硬模,并且可执行第一蚀刻工艺以蚀刻第一硬模和第二硬模。可在一个或多个材料层、第一硬模和第二硬模上保形地(conformally)沉积第三硬模,并且可执行第二蚀刻工艺以蚀刻一个或多个材料层来在一个或多个材料层中形成通道。
在另一实施方式中,提供基板处理方法。所述方法包含以下步骤:沉积第一硬模于设置在基板上的一个或多个含氧化物和氮化物的材料层上,和沉积第二硬模于第一硬模上。执行第一蚀刻工艺以蚀刻第一硬模和第二硬模,并且保形地沉积碳氮化硼硬模于一个或多个含氧化物和氮化物的材料层、第一硬模和第二硬模上。执行第二蚀刻工艺以蚀刻一个或多个含氧化物和氮化物的材料层来在一个或多个含氧化物和氮化物的材料层中形成通道,并且第二蚀刻工艺是使用从由CH4、N2、O2和富含氟的先驱物组成的群组选出的先驱物的基于等离子体的蚀刻工艺。
在另一实施方式中,提供基板处理方法。所述方法包含以下步骤:沉积碳质硬模(carbonaceous hardmask)于设置在基板上的一个或多个含氧化物和氮化物的材料层上,和沉积含硅的介电硬模于碳质硬模上。执行第一蚀刻工艺以蚀刻碳质硬模和含硅的介电硬模,并且保形地沉积碳氮化硼硬模于一个或多个含氧化物和氮化物的材料层、碳质硬模和含硅的介电硬模上。执行第二蚀刻工艺以蚀刻一个或多个含氧化物和氮化物的材料层以在一个或多个含氧化物和氮化物的材料层中形成通道,并且第二蚀刻工艺是使用从由CH4、N2、O2和富含氟的先驱物组成的群组选出的先驱物的基于等离子体的蚀刻工艺。
附图说明
上文简要总结的本公开内容的更具体的描述可参考实施方式(在附图中图示说明实施方式中的一些),以可更详细地理解本公开内容的上述特征的方法。然而,应注意到附图仅图示说明示例性的实施方式,因而不应视为对本公开内容范围的限制;可允许其他等效的实施方式。
图1图示说明基板的部分的示意性横截面图,所述基板具有各种根据本文所描述的实施方式而在基板上形成的材料。
图2图示说明根据本文所描述的实施方式的在第一蚀刻工艺之后的基板的部分的示意性横截面图。
图3图示说明根据本文所描述的实施方式的在保形地沉积硬模之后的基板的部分的示意性横截面图。
图4图示说明根据本文所描述的实施方式的在执行第二蚀刻工艺后的基板的部分的示意性横截面图。
为了助于理解,已尽可能使用相同的参考数字来指示附图中共有的相同元件。预期一个实施方式的元件和特征可有利地并入其他实施方式中而无须进一步的叙述。
具体实施方式
本公开内容的实施方式涉及改善的硬模材料和用于基板的图案化和蚀刻的方法。多个硬模可与图案化和蚀刻工艺共同使用以实现先进的装置架构。在一个实施方式中,第一硬模和第二硬模设置于基板上,所述基板具有各种设置于所述基板上的各种材料层。在第一蚀刻工艺期间可使用第二硬模以图案化第一硬模。可在第一硬模和第二硬模上沉积第三硬模,并且可使用第二蚀刻工艺以在材料层中形成通道。
图1图示说明根据本文所描述的实施方式的基板102的部分的示意性横截面图,所述基板具有各种形成在所述基板上的材料。基板102通常可被认为是下层,所述下层具有沉积于所述下层上或以其他方式形成于所述下层上的各种材料。基板102的合适范例包含硅基板、绝缘体上硅(SOI)基板掺碳的氧化硅、氮化硅、碳化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石和如金属、金属氮化物、金属碳化物、金属合金与其他导电材料的任何其他材料(取决于应用)。材料层104可沉积于基板102上。材料层104可包含一个或多个第一材料层106和一个或多个第二材料层108。第一材料层106和第二材料层108可在交替布置中沉积以形成层堆叠结构(stack)。可由各种氧化物和氮化物材料(如氧化硅材料和氮化硅材料)形成第一材料层106和第二材料层108。在一个实施方式中,第一材料层106可以是氮化硅材料,第二材料层108可以是氧化硅材料。在另一实施方式中,第一材料层106可以是氧化硅材料,第二材料层108可以是氮化硅材料。
材料层104通常由适于形成三维(3D)NAND装置的布置中的材料制成。在一个实施方式中,材料层104可包含多于约24个材料层、多于约32个材料层、多于约48个材料层、多于约54个材料层或多于约64个材料层。在某些实施方式中,本文所提供的公开内容可针对具有多于约48个材料层的先进3D NAND装置来实施。
第一硬模110可沉积于材料层104上。第一硬模110可包含碳质材料,所述碳质材料适于通过各种基于等离子体的蚀刻工艺的蚀刻。可用于第一硬模110的合适材料包含掺杂的和未掺杂的无定形碳材料,所述掺杂的和未掺杂的无定形碳材料可从加利福尼亚州圣克拉拉市的应用材料公司购得,所述掺杂的和未掺杂的无定形碳材料的商品名为先进图案化膜(Advanced Patterning Film TM,APF)。第一硬模110的厚度114可以在约1μm与约2μm之间(如约1.5μm)。然而,可以设想厚度114可取决于对于下层材料层104的期望的蚀刻和图案化特征。例如,第一硬模110可形成或沉积的厚度取决于材料对工艺化学过程(processchemistries)和条件的抵抗力,所述工艺化学过程和条件用于随后蚀刻材料层104并且同时保持第一硬模110和/或材料层104的合适的结构完整性的处理化学过程和条件。
第二硬模112可沉积于第一硬模110上。第二硬模112可以是介电材料并且可具有在约20μm与约200μm之间(例如,在约50μm与约100μm之间,如约80μm)的厚度116。与第一硬模110相似,在随后的蚀刻工艺期间,可取决于期望的性能来选择第二硬模112的厚度116。在一个实施方式中,第二硬模112可作为介电抗反射涂层(DARC)并且/或者改善由旋涂技术(spin on techniques)施加的随后施加的有机膜的粘附,否则所述随后施加的有机膜可能不会良好地粘附至第一硬模110。第二硬模112可以是单一膜或是由至少硅材料组成的多层膜堆叠结构。第二硬模112还可包含氮和/或氧以形成二氧化硅材料、氮化硅材料或氮氧化硅材料。也可调整第二硬模112的组成和厚度来为在装置特征的光刻图案化期间采用的期望波长提供最小反射和高对比度。
光刻胶层118可沉积在第二硬模112上。光刻胶层118图示为预先图案化的。取决于将在基板102上形成的装置特征的期望间距(pitch)和CD,合适的图案化技术包含193nm光刻、193nm浸没式光刻和远紫外(EUV)光刻。还可设想可利用如自对准双重图案化(SADP)的双重图案化工艺和自对准四重图案化(SAQP)工艺来图案化光刻胶层118。可用于光刻胶层118的合适材料包含聚合物和诸如此类,如有机聚合物等。
图2图示说明根据本文所描述的实施方式的在第一蚀刻工艺后的基板102的部分的示意性横截面图。预先图案化的光刻胶层118通常提供由第一蚀刻工艺利用的图案。在经图示说明的实施方式中,第一蚀刻工艺可蚀刻第二硬模112和第一硬模110以形成在第一硬模110的相邻部分之间的凹槽202。第一蚀刻工艺可以是使用适于蚀刻第一硬模110和第二硬模112的化学过程(chemistries)的等离子体蚀刻工艺。例如,诸如任何已知的CxHyFz(例如,CHF3、CH2F2和CHF3等)和/或任何已知的CxFy(例如,CF4、C2F6、C4F8、C4F6和C5F8等)的碳氟化合物先驱物可被激发成等离子体以移除第一硬模110和第二硬模112的部分以形成凹槽202。还可在等离子体形成期间提供诸如N2的运载气体或惰性气体。
取决于期望的等离子体特性和硬件仪器,可通过电感耦合等离子体技术、电容耦合等离子体技术和/或远程等离子体技术来形成等离子体。通常来说,可用低频偏压功率RF产生器来激发先驱物,低频偏压功率RF产生器具有低于约50MHz的频率(如约13.56MHz)。设想第一蚀刻工艺可以是与时间有关的,并且可执行第一蚀刻工艺足以用于凹槽和暴露材料层104的时间量。
图3图示说明根据本文所描述的实施方式的在保形地沉积第三硬模302之后的基板102的部分的示意性横截面图。在某些实施方式中,第三硬模302可以大于约98%的保形性沉积。如本文所使用的,术语“保形”或“保形地”涉及具有厚度304的粘附至暴露的表面并且均匀地覆盖暴露的表面的层,所述厚度304具有相对于膜的平均厚度而言小于1%的变化。例如,厚的膜的厚度变化小于此厚度和变化包含凹槽的边缘、角、侧面和底部。
在某些实施方式中,第三硬模302的厚度304可在约5nm与约15nm至间(诸如约10nm)。由于第三硬模302的保形性,第三硬模302可沉积于各种材料上,诸如第二硬模112、第一硬模110和材料层104。由凹槽202限定的第一硬模特征结构和第二硬模特征结构的最后所得到的CD 306可在约20nm与约100nm之间(诸如约50nm与约80nm之间)。因此,可通过将第三硬模302与第一硬模110和第二硬模112共同使用来实现减小的CD。
在某些实施方式中,第三硬模302可以是掺硼的无定形碳材料。如本文所使用地,掺硼的无定形碳材料包含由至少1wt%的硼和至少20wt%的碳组成的材料。此类材料中包含富含硼的无定形碳,所述富含硼的无定形碳通常由大于约25wt%的硼和约50wt%的碳组成。
可用热沉积工艺(CVD)或等离子体增强沉积工艺(PECVD)利用喷涂/旋涂方法来形成第三硬模302。在一个实施方式中,给定膜特性为那些典型的热解的、石墨的和类金刚石的碳的混合物,则第三硬模302用CVD或PECVD沉积,以形成由至少50wt%的具有sp1、sp2和sp3键合状态的碳组成的碳材料。在某些实施方式中,可用使用烃先驱物的PECVD工艺来形成第三硬模302,所述烃先驱物诸如甲烷、丙烯、丙炔、丙烷、丁烷、丁烯、丁二烯、乙炔、甲苯和上述材料与硼源(诸如乙硼烷)的混合物和诸如此类。在某些实施方式中,第三硬模302还可包含氮或其他添加剂。示例性的第三硬模材料可从加利福尼亚州圣克拉拉市的应用材料公司购得,商品名为先进图案化膜(Advanced Patterning Film TM,APF);更具体来说,为称为APFc的APF属材料的一种材料,这种材料是掺硼的。
在第三硬模302包含氮的实施方式中,材料可表示为碳氮化硼材料。如本文中所使用地,术语“碳氮化硼”涉及包含硼、碳和氮的膜。在一些实施方式中,碳氮化硼膜基本上由硼、碳和氮组成,意味着硼、碳和氮组构成膜的至少约80原子百分比。在一些实施方式中,碳氮化硼膜基本上由硼、碳和氮(和可选地氢原子)组成。
在一个范例中,可通过热CVD工艺来沉积碳氮化硼材料,所述热CVD工艺使用二甲胺基硼烷[(CH 3)2NH·BH3](DMAB)先驱物。可在热罐(hot can)中加热DMAB以提高蒸气压,并且可使用超高纯度(UHP)Ar运载气体将DMAB输送到处理腔室。热罐温度可在室温至约150℃的范围内。Ar运载气体流量可在约100sccm至约20000sccm的范围内。为了控制碳氮化硼膜的元素组成,可将NH3、H2和C3H6共反应物气体输送到CVD腔室。腔室温度可在约300℃至约550℃的范围内。处理腔室的压力可以在约100mTorr至约100Torr的范围内。用于沉积第三硬模302的合适工艺腔室的范例是可从加利福尼亚州圣克拉拉市的应用材料公司获得的处理设备的族。然而,可以设想到来自其他制造商的经配置以沉积APF膜的其他腔室也可用于保形地沉积第三硬模302。
图4图示说明根据本文所描述的实施方式的在执行第二蚀刻工艺之后的基板102的部分的示意性横截面图。一般来说,第二蚀刻经配置以蚀刻材料层104,以在材料层104中形成通道402。也可在第二蚀刻工艺期间或在第二蚀刻工艺之后移除第二硬模112和第三硬模302。还可通过将第三硬模302与第一硬模110共同使用来实现在第二蚀刻工艺期间改善的材料蚀刻选择性。相信还可通过将硬模和彼此共同使用来维持硬模移除特性。
例如,当与第三硬模302的蚀刻速率相比较时,由于材料层104的蚀刻速率,可改善通道402的垂直度分布(verticality profile)以用于先进特征堆叠结构。在某些实施方式中,可以设想到材料层104的蚀刻速率可以在第三硬模302的蚀刻速率的约3.5倍至约4.0倍之间。因此,在移除第三硬模302时,可实现通道402的适当深度和垂直度分布。
第二蚀刻工艺可以是基于等离子体的蚀刻工艺,所述基于等离子体的蚀刻工艺具有CH4/N2/O2先驱物和富含氟的先驱物(诸如CHF、CF4、SF6和C2F6和诸如此类)的结合。添加富含氟的源可有助于移除第三硬模302中的硼,而CH4(和一定程度的碳氟化合物先驱物)先驱物可主要地促进材料层104的氧化物材料/氮化物材料的移除。N2和O2先驱物也可有助于第三硬模302的灰化,使得不需要随后的灰化工艺。换句话说,在形成材料层104中的通道402的第二蚀刻工艺期间,可原位执行第三硬模302(和第二硬模112)的灰化。用于执行第二蚀刻工艺的合适设备包含蚀刻腔室、AdvantEdge G3蚀刻腔室和C3蚀刻腔室,上述的所有腔室皆可自加利福尼亚州圣克拉拉市的应用材料公司获得。可以设想到来自其他制造商的其他经合适配置的腔室也可用于执行如本文所描述的第二蚀刻工艺。
总之,可利用图案化工艺来图案化第二硬模112,并且可利用第一蚀刻工艺来蚀刻第一硬模110和第二硬模112中的一者或两者。在第一蚀刻工艺期间在形成凹槽202于第一硬模110中之后,第三硬模302可保形地沉积在材料层104、第一硬模110和第二硬模112上。然后可执行第二蚀刻工艺,以在材料层104中形成通道402并且从基板102移除第二硬模112和第三硬模302。因此,可通过利用本文所述的实施方式来实现改善的通道特性(诸如CD和垂直度分布)和诸如选择性的蚀刻特性。
尽管上述内容针对本公开内容的实施方式,但在不脱离本公开内容的基本范围的情况下可设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由随附的权利要求书确定。

Claims (15)

1.一种基板处理方法,包含以下步骤:
沉积第一硬模于设置于基板上的一个或多个材料层上;
沉积第二硬模于所述第一硬模上;
图案化所述第二硬模;
执行第一蚀刻工艺以蚀刻所述第一硬模和所述第二硬模;
保形地沉积第三硬模于所述一个或多个材料层、所述第一硬模和所述第二硬模上;和
执行第二蚀刻工艺以蚀刻所述一个或多个材料层以形成通道于所述一个或多个材料层中。
2.如权利要求1所述的方法,其中所述一个或多个材料层包含氮化硅材料和氧化硅材料。
3.如权利要求1所述的方法,其中所述氮化硅材料和所述氧化硅材料沉积于交替层中以形成层堆叠结构。
4.如权利要求1所述的方法,其中所述第一硬模是含碳材料。
5.如权利要求4所述的方法,其中沉积所述第一硬模至1μm与2μm之间的厚度。
6.如权利要求1所述的方法,其中所述第二硬模是介电材料。
7.如权利要求6所述的方法,其中所述介电材料是含硅材料。
8.如权利要求7所述的方法,其中所述含硅材料是二氧化硅材料、氮化硅材料、氮氧化硅材料或上述材料的组合。
9.如权利要求6所述的方法,其中沉积所述第二硬模至50μm与200μm之间的厚度。
10.如权利要求1所述的方法,其中保形地沉积所述第三硬模至5nm与15nm之间的厚度。
11.如权利要求1所述的方法,其中所述第三硬模由掺杂硼的碳质材料组成,所述掺杂硼的碳质材料由大于25wt%的硼和50wt%的碳组成。
12.如权利要求11所述的方法,其中所述掺杂硼的碳质材料是包括氮的碳氮化硼材料。
13.如权利要求12所述的方法,其中自硼、碳和氮形成所述碳氮化硼材料的至少80%。
14.一种基板处理方法,包含以下步骤:
沉积第一硬模于设置在基板上的一个或多个含氧化物和氮化物的材料层上;
沉积第二硬模于所述第一硬模上;
执行第一蚀刻工艺以蚀刻所述第一硬模和所述第二硬模;
保形地沉积碳氮化硼硬模于所述一个或多个含氧化物和氮化物的材料层、所述第一硬模和所述第二硬模上;和
执行第二蚀刻工艺以蚀刻所述一个或多个含氧化物和氮化物的材料层以形成通道于所述一个或多个含氧化物和氮化物的材料层中,其中所述第二蚀刻工艺是使用从由CH4、N2和O2和富含氟的先驱物组成的群组选出的先驱物的基于等离子体的蚀刻工艺。
15.一种基板处理方法,包含以下步骤:
沉积碳质硬模于设置在基板上的一个或多个含氧化物和氮化物的材料层上;
沉积含硅的介电硬模于所述碳质硬模上;
执行第一蚀刻工艺以蚀刻所述碳质硬模和所述含硅的介电硬模;
保形地沉积碳氮化硼硬模于所述一个或多个含氧化物和氮化物的材料层、所述碳质硬模和所述含硅的介电硬模上;和
执行第二蚀刻工艺以蚀刻所述一个或多个含氧化物和氮化物的材料层以形成通道于所述一个或多个含氧化物和氮化物的材料层中,其中所述第二蚀刻工艺是使用从由CH4、N2和O2和富含氟的先驱物组成的群组选出的先驱物的基于等离子体的蚀刻工艺。
CN201780006952.6A 2016-01-20 2017-01-04 用于侧向硬模凹槽减小的混合碳硬模 Active CN108475640B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310649955.9A CN116631865A (zh) 2016-01-20 2017-01-04 用于侧向硬模凹槽减小的混合碳硬模

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662281046P 2016-01-20 2016-01-20
US62/281,046 2016-01-20
PCT/US2017/012170 WO2017127233A1 (en) 2016-01-20 2017-01-04 Hybrid carbon hardmask for lateral hardmask recess reduction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310649955.9A Division CN116631865A (zh) 2016-01-20 2017-01-04 用于侧向硬模凹槽减小的混合碳硬模

Publications (2)

Publication Number Publication Date
CN108475640A true CN108475640A (zh) 2018-08-31
CN108475640B CN108475640B (zh) 2023-06-06

Family

ID=59313885

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780006952.6A Active CN108475640B (zh) 2016-01-20 2017-01-04 用于侧向硬模凹槽减小的混合碳硬模
CN202310649955.9A Pending CN116631865A (zh) 2016-01-20 2017-01-04 用于侧向硬模凹槽减小的混合碳硬模

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310649955.9A Pending CN116631865A (zh) 2016-01-20 2017-01-04 用于侧向硬模凹槽减小的混合碳硬模

Country Status (6)

Country Link
US (2) US9991118B2 (zh)
JP (2) JP6907217B2 (zh)
KR (1) KR20180097763A (zh)
CN (2) CN108475640B (zh)
TW (1) TWI726034B (zh)
WO (1) WO2017127233A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545790A (zh) * 2018-11-02 2019-03-29 长江存储科技有限责任公司 三维存储器的沟道孔的形成方法

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199822A2 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200143605A (ko) 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
US11658040B2 (en) 2019-06-26 2023-05-23 Hitachi High-Tech Corporation Plasma processing method
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
US11276573B2 (en) 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
JP7370943B2 (ja) 2020-07-15 2023-10-30 Hoya株式会社 マスクブランク、転写用マスクの製造方法及び半導体デバイスの製造方法
JP7453874B2 (ja) * 2020-07-30 2024-03-21 芝浦メカトロニクス株式会社 基板処理方法、および基板処理装置
US11715640B2 (en) * 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
US11830729B2 (en) * 2021-01-08 2023-11-28 Applied Materials, Inc. Low-k boron carbonitride films
US20240014039A1 (en) * 2022-07-11 2024-01-11 Applied Materials, Inc. Carbon hardmask opening using boron nitride mask
WO2024091323A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Aluminum oxide carbon hybrid hardmasks and methods for making the same

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1339172A (zh) * 1999-12-08 2002-03-06 三星电子株式会社 具有自对准接触结构的半导体器件及其形成方法
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP2005019054A (ja) * 2003-06-24 2005-01-20 Sony Corp 薄膜デバイス、薄膜デバイスの製造方法、液晶表示装置およびエレクトロルミネッセンス表示装置
CN1956154A (zh) * 2005-10-12 2007-05-02 三星电子株式会社 刻蚀含-碳层的方法和制造半导体器件的方法
CN101154596A (zh) * 2006-09-29 2008-04-02 海力士半导体有限公司 制造具有finfet的半导体器件的方法
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US20100327413A1 (en) * 2007-05-03 2010-12-30 Lam Research Corporation Hardmask open and etch profile control with hardmask open
CN102187432A (zh) * 2008-10-14 2011-09-14 应用材料股份有限公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
US20130109188A1 (en) * 2011-10-27 2013-05-02 Jong Mun Kim Plasma etch processes for boron-doped carbonaceous mask layers
US20140213059A1 (en) * 2013-01-31 2014-07-31 Kenny Linh Doan Boron-doped carbon-based hardmask etch processing
US20150056817A1 (en) * 2013-08-26 2015-02-26 Tokyo Electron Limited Semiconductor device manufacturing method
US20160013070A1 (en) * 2014-07-08 2016-01-14 Samsung Electronics Co., Ltd. Patterning method using metal mask and method of fabricating semiconductor device including the same patterning method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11350110A (ja) * 1998-06-11 1999-12-21 Mitsubishi Heavy Ind Ltd 炭窒化ホウ素膜の製造方法
US6774033B1 (en) * 2002-11-04 2004-08-10 Cypress Semiconductor Corporation Metal stack for local interconnect layer
US7144803B2 (en) * 2003-04-17 2006-12-05 Semiconductor Research Corporation Methods of forming boron carbo-nitride layers for integrated circuit devices
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7615447B2 (en) 2007-12-19 2009-11-10 Sandisk Corporation Composite charge storage structure formation in non-volatile memory using etch stop technologies
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR20130075158A (ko) 2011-12-27 2013-07-05 삼성전자주식회사 반도체 소자의 제조 방법
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US8946076B2 (en) * 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9418867B2 (en) * 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
JP6200849B2 (ja) * 2014-04-25 2017-09-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1339172A (zh) * 1999-12-08 2002-03-06 三星电子株式会社 具有自对准接触结构的半导体器件及其形成方法
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP2005019054A (ja) * 2003-06-24 2005-01-20 Sony Corp 薄膜デバイス、薄膜デバイスの製造方法、液晶表示装置およびエレクトロルミネッセンス表示装置
CN1956154A (zh) * 2005-10-12 2007-05-02 三星电子株式会社 刻蚀含-碳层的方法和制造半导体器件的方法
CN101154596A (zh) * 2006-09-29 2008-04-02 海力士半导体有限公司 制造具有finfet的半导体器件的方法
US20100327413A1 (en) * 2007-05-03 2010-12-30 Lam Research Corporation Hardmask open and etch profile control with hardmask open
CN102187432A (zh) * 2008-10-14 2011-09-14 应用材料股份有限公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US20130109188A1 (en) * 2011-10-27 2013-05-02 Jong Mun Kim Plasma etch processes for boron-doped carbonaceous mask layers
US20140213059A1 (en) * 2013-01-31 2014-07-31 Kenny Linh Doan Boron-doped carbon-based hardmask etch processing
US20150056817A1 (en) * 2013-08-26 2015-02-26 Tokyo Electron Limited Semiconductor device manufacturing method
US20160013070A1 (en) * 2014-07-08 2016-01-14 Samsung Electronics Co., Ltd. Patterning method using metal mask and method of fabricating semiconductor device including the same patterning method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545790A (zh) * 2018-11-02 2019-03-29 长江存储科技有限责任公司 三维存储器的沟道孔的形成方法

Also Published As

Publication number Publication date
TWI726034B (zh) 2021-05-01
TW201736947A (zh) 2017-10-16
JP6907217B2 (ja) 2021-07-21
JP2019503082A (ja) 2019-01-31
US20170207088A1 (en) 2017-07-20
US10410864B2 (en) 2019-09-10
JP2021177557A (ja) 2021-11-11
US20180277370A1 (en) 2018-09-27
JP7266068B2 (ja) 2023-04-27
KR20180097763A (ko) 2018-08-31
CN108475640B (zh) 2023-06-06
CN116631865A (zh) 2023-08-22
WO2017127233A1 (en) 2017-07-27
US9991118B2 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
CN108475640A (zh) 用于侧向硬模凹槽减小的混合碳硬模
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
JP7235683B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US8993454B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US10410872B2 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US6835663B2 (en) Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
CN102939641A (zh) 改良堆迭缺陷率的非晶碳沉积方法
TW200937517A (en) Plasma etching carbonaceous layers with sulfur-based etchants
US7842606B2 (en) Method of depositing thin film and method of manufacturing semiconductor using the same
US10453751B2 (en) Tone inversion method and structure for selective contact via patterning
JP2022529610A (ja) 多重スペーサパターニングのスキーム
Dallorto et al. Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
CN103021837B (zh) 无定形碳层的处理与形成方法、半导体器件的制作方法
US11495454B2 (en) Deposition of low-stress boron-containing layers
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
JP2023533711A (ja) ハードマスク及びその他のパターニング応用のための高密度ドープ炭素膜を製造するための方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant