CN102187432A - 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 - Google Patents

利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 Download PDF

Info

Publication number
CN102187432A
CN102187432A CN2009801408068A CN200980140806A CN102187432A CN 102187432 A CN102187432 A CN 102187432A CN 2009801408068 A CN2009801408068 A CN 2009801408068A CN 200980140806 A CN200980140806 A CN 200980140806A CN 102187432 A CN102187432 A CN 102187432A
Authority
CN
China
Prior art keywords
amorphous carbon
plasma
substrate
gas
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801408068A
Other languages
English (en)
Other versions
CN102187432B (zh
Inventor
光得·道格拉斯·李
盛井贵司
铃木洋一
苏达·拉西
马丁·杰·西蒙斯
迪内士·帕德希
金柏涵
辛西娅·佩格丹根安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102187432A publication Critical patent/CN102187432A/zh
Application granted granted Critical
Publication of CN102187432B publication Critical patent/CN102187432B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供在一基板上沉积一无定形碳层的方法及设备。在一实施方式中,一沉积工艺包含将一基板设置在一基板处理室内,将碳对氢原子比大于1∶2的一碳氢化合物来源通入该处理室,将选自由氢气、氦气、氩气、氮气、及其组合物所组成的族群的一等离子体起始气体通入该处理室,并且该碳氢化合物来源的体积流速对该等离子体起始气体的体积流速比为1∶2或更大,在该处理室内产生一等离子体,以及在该基板上形成一共形无定形碳层。

Description

利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
发明背景
发明领域
本发明实施方式大体而言有关于集成电路的制造,并且更明确地说,有关于在一半导体基板上沉积一无定形碳层。
相关技术的描述
集成电路已发展为可在单一个芯片上容纳数百万个晶体管、电容器和电阻器的复杂器件。芯片设计的发展持续要求更快的电路及更大的电路密度。对于更快的电路以及更大的电路密度的要求也对用来制造此类集成电路的材料加诸相对应的要求。明确地说,随着集成电路零组件的尺寸缩小至次微米尺寸,不仅必须使用低电阻系数的导电材料,例如铜,以改善器件的电气效能,并且也必须使用低介电常数绝缘材料,常称为低k介电材料。低k介电材料一般具有低于3.8的介电常数。
制造具有低k介电材料以及极少或没有表面缺陷或特征结构变形的器件是困难的。介电常数低于约3.0的低k介电材料常是多孔且容易在随后的工艺步骤期间被刮伤或受损,因此增加缺陷形成在该基板表面上的可能性。此类低k介电材料通常是易碎的且可能在传统研磨工艺下变形,例如化学机械研磨(CMP)。一种限制或减少此类低k介电材料的表面缺陷及变形的解决方法是在图案化及蚀刻之前,先在暴露出的低k介电材料上沉积硬掩模(hardmask)。该硬掩模避免脆弱的低k介电材料损坏及变形。此外,硬掩模层结合传统光刻(lithographic)技术可作用为蚀刻掩模,以避免低k介电材料在蚀刻期间被除去。
此外,硬掩模几乎在集成电路制造工艺的每一个步骤中使用,用于前端和后端工艺两者。随着器件尺寸缩小以及图案结构日益复杂和难以制造,蚀刻硬掩模变得更加重要,因为现行光刻胶(photoresist)无法符合蚀刻抗性(etching resistance)要求,并且光刻胶仅是用来进行影像转移而不是在光刻和蚀刻工艺中做为蚀刻掩模。反之,接收影像图案的硬掩模日渐成为在下方层中有效蚀刻图案的首要材料。
无定形氢化碳是一种可用来做为金属、无定形硅、以及介电材料,例如二氧化硅或氮化硅材料,除了其它之外,的硬掩模的材料。无定形氢化碳,也称为无定形碳并可表示为a-C:H或α-C:H,被视为是无长程结晶序的碳材料,且可含有重要的氢含量,例如约10至45的氢原子百分比等级。已观察到无定形碳拥有化学惰性、光学透明性、以及良好的机械性质。虽然可用若干技术来沉积a-C:H膜,但等离子体增强化学气相沉积(PECVD)因其成本效益及薄膜性质可调性而广为使用。在一典型PECVD工艺中,一碳氢化合物来源,例如承载在一载气中的气态碳氢化合物或液态碳氢化合物,被通入一PECVD室内。然后在该室内起始等离子体,以产生激发的CH-自由基。所激发的CH-自由基化学性键结至设置在该室内的基板的表面,在其上形成预期的a-C:H膜。
就硬掩模层沉积在具有形貌特征结构的基板上的应用而言,该硬掩模层必须共形覆盖该形貌特征结构的所有表面。此外,随着特征结构尺寸缩小,由于光波长和图案尺寸的限制,光刻胶材料难以正确转移图案。因此,日渐需要新的工艺和材料来满足这些挑战,其中硬掩模对于下代器件的关键尺寸的有效转移变得不可或缺。
硬掩模层沉积共形性在具有下方形貌的基板上是很难实现的,例如用来对准该图案化工艺的对准键。图1标出具有特征结构111及形成在其上的非共形无定形碳层112的基板100的概要剖面图。因为非共形无定形碳层112并非完全覆盖特征结构111的侧壁114,随后的蚀刻工艺可能造成有害的侧壁114腐蚀。侧壁114由非共形无定形碳层112完整覆盖的缺乏也可能造成非共形碳层112下方材料的光刻胶毒化(poisoning),其已知会损伤电子器件。
因此,存有对于一种可用于集成电路制造的可共形沉积在具有形貌特征结构的基板上的材料层的沉积方法的需要。
发明概述
本发明的实施方式提供一种处理基板的方法,例如藉由在该基板上沉积一无定形碳层。该方法,根据一第一实施方式,包含将一基板设置在一基板处理室内,将碳对氢原子比大于1∶2的一碳氢化合物来源通入该处理室,将选自由氢气、氦气、氩气、氮气、及其组合物所组成的族群的一等离子体起始气体通入该处理室,并且该碳氢化合物来源的体积流速对该等离子体起始气体的体积流速比为1∶2或更大,在该处理室内以1瓦/平方厘米或更低的RF功率、2托或更高的压力、以及约300℃至约480℃的温度产生一等离子体,以及在该基板上形成一共形无定形碳层。
在另一实施方式中,提供一种处理一基板的方法,其包含执行一沉积循环,包含在该基板的一表面上形成一共形无定形碳材料,以及使一净化气体流动通过该处理室,以及重复该循环2至50次之间。
在另一实施方式中,提供一种处理一基板的方法,其包含将一基板设置在一基板处理室内,将碳对氢原子比大于1∶2的一碳氢化合物来源通入该处理室,将选自由氢气、氦气、氩气、氮气、及其组合物所组成的族群的一等离子体起始气体通入该处理室,并且该碳氢化合物来源的体积流速对该等离子体起始气体的体积流速比为1∶2或更大,其中该碳氢化合物来源和该等离子体起始气体利用设置在距离基板表面400密尔和600密尔之间的一气体分配器通入该处理室,在该处理室内以1瓦/平方厘米或更低的RF功率以及约0℃至约100℃之间的温度产生一等离子体,以及在该基板上形成一共形无定形碳层。
附图简要说明
因此可以详细理解上述本发明的特征结构的方式,即对本发明更明确的描述,简短地在前面概述过,可藉由参考实施方式来得到,其中某些在附图中示出。但是应注意的是,附图仅示出本发明的一般实施方式,因此不应视为对其范围的限制,因为本发明可允许其它等效实施方式。
图1(现有技术)是具有一特征结构及一非共形无定形碳层形成在其上的基板的概要剖面图。
图2是具有一特征结构及一无定形碳层形成在其上的基板的概要剖面图。
图3是可用来执行根据本发明实施方式的无定形碳层沉积的基板处理系统的概要示意图。
图4A-4L是使用在此所述的无定形碳层来蚀刻一材料层的工艺的一实施方式的概要侧视图。
图5A-5H及5E’是在一空间掩模双重图案化工艺中使用在此所述的无定形碳层的工艺的一实施方式的概要侧视图。
图6A-6J是使用在此所述的无定形碳层来蚀刻一材料层的工艺的另一实施方式的概要侧视图。
图7是在此设置在一半导体结构中的无定形碳层的使用的概要侧视图。
为促进了解,在可能时使用相同的元件符号来表示这些图共有的相同元件。预期到一实施方式的元件及/或工艺步骤可有利地并入其它实施方式而不需特别详述。
具体描述
在此所述实施方式大体而言提供在一化学气相沉积系统内沉积具有改善的共形性的无定形碳材料(a-C:H)的方法。一个层的共形性通常是用沉积在一特征结构侧壁上的一层的平均厚度对相同沉积层在该基板范围,或上表面,上的平均厚度的比例(其可表示为百分比)来量化。观察到利用在此所述方法沉积的层具有大于约30%,例如70%或更高,约7∶10或更大,例如约80%或更高,约4∶5或更大,至约100%,约1∶1的共形性。例如,会认定图1所示的现有技术非共形无定形碳层112具有0%共形性,因为在侧壁114上缺乏层沉积。
明确地说,提供一改善的a-C:H层共形沉积的方法。共形沉积可藉由使用碳对氢比例为1∶2或更高的前驱物,例如碳对氢比例为2∶3或更高,利用选自由氦气、氢气、氮气、氩气、或其组合物所组成的族群的等离子体起始气体,以增加的前驱物对等离子体起始气体流速,以增加的沉积压力,以增加的沉积温度,以较低的RF功率应用,利用具有降低的沉积速率的等离子体环境,藉由在多个层内沉积无定形碳,及其组合来改善。此间沉积工艺可在一适合的处理系统内执行。
图3为一基板处理系统,系统300,的概要示意图,其可用来进行根据本发明实施方式的无定形碳层沉积。适合系统的范例包含CENTURA
Figure BPA00001348021700041
系统,其可使用DxZTM处理室,PRECISION 5000
Figure BPA00001348021700042
系统,PRODUCERTM系统,例如PRODUCER SETM处理室和PRODUCER GTTM处理室,所有皆可由加州圣塔克拉拉的应用材料公司购得。
系统300包含处理室325、气体分配盘330、控制单元310、及其它硬件零组件,例如电源和真空泵。在本发明中使用的系统的一实施方式的细节在共同让渡的2002年4月2号核准的美国专利第6,364,954号的“High Temperature Chemical Vapor Deposition Chamber”中描述,其在此藉由引用的方式并入本文中。
该处理室325通常包含基板支撑座350,其用来支撑一基板,例如一半导体基板390。此基板支撑座350在该处理室325内利用连接至支杆(shaft)360的位移机构(未示出)在垂直方向上移动。取决于工艺,可在处理前先将该半导体基板390加热至一预期温度。该基板支撑座350利用一嵌入式加热器元件370加热。例如,该基板支撑座350可藉由从一电源供应器306施加电流至该加热器元件370来阻抗加热。该半导体基板390转而由该基板支撑座350加热。一温度感应器372,例如一热电偶,也嵌入在该基板支撑座350内以监控该基板支撑座350的温度。测得的温度被用于一回馈回路中以控制用于该加热器元件370的电源供应器306。该基板温度可保持或控制在选用于特定工艺应用的温度下。
使用一真空泵302来排空该处理室325并在该处理室325内维持适当的气流和压力。一喷头320,工艺气体藉其通入处理室325内,设置在该基板支撑座350上方,并且适于提供均匀分布的工艺气体至处理室325内。该喷头320连接至一气体分配盘330,其控制及供应用于该工艺程序不同步骤内的各种工艺气体。工艺气体可包含一碳氢化合物来源及一等离子体起始气体,并且在下方结合一例示氩气稀释沉积工艺的叙述更详细描述。
该气体分配盘330也可用来控制及供应各种气化的液态前驱物。虽未示出,可气化来自一液态前驱物供应源的液态前驱物,例如,利用一液体注射蒸发器,并在载气存在下传送至处理室325。该载气通常是一种惰性气体,例如氮气,或一种钝体(noble gas),例如氩气或氦气。或者,该液态前驱物可利用一热及/或真空辅助气相工艺从一安瓿气化。
该喷头320和基板支撑座350也可形成一对隔开的电极。在这些电极之间产生电场时,通入室325内的工艺气体被点燃成为等离子体392。通常,该电场利用一匹配网络(未示出)连接该基板支撑座350至一单频或双频的射频(RF)功率源(未示出)来产生。或者,该RF功率源和匹配网络可连接至该喷头320,或连接至该喷头320和该基板支撑座350两者。
等离子体辅助化学气相沉积技术藉由施加电场至靠近该基板表面的反应区域,产生一反应物种等离子体来促进反应气体的激发及/或分解。该等离子体内的物种的反应性减少发生一化学反应所需要的能量,实际上降低此种等离子体辅助化学气相沉积工艺所需的温度。
流经该气体分配盘330的气体和液体的适当控制及调节利用质流控制器(未示出)及例如计算机的控制单元310来执行。该喷头320容许来自该气体分配盘330的工艺气体均匀地分配及通入该处理室325内。例示地,该控制单元310包含一中央处理单元(CPU)312、支撑电路314、以及含有相关控制软件316的内存。此控制单元310负责基板处理所需的众多步骤的自动控制,例如基板传输、气体流量控制、液体流量控制、温度控制、室排空等等。当该工艺气体混合物离开该喷头320时,该碳氢化合物的等离子体辅助热分解在该半导体基板390表面395发生,致使一无定形碳层沉积在该半导体基板390上。
沉积工艺
本发明态样提供a-C:H层改善的共形沉积。改善的共形沉积可藉由使用碳对氢比例为1∶2或更高的前驱物的工艺来实现,例如碳对氢比例为2∶3或更高,可利用选自由氦气、氢气、氮气、氩气、或其组合物所组成的族群的等离子体起始气体来实现,可以增加的前驱物对等离子体起始气体流速来实现,可以增加的沉积压力实现,可以增加的沉积温度实现,可以较低的RF功率应用实现,可利用具有降低的沉积速率的等离子体环境实现,可利用增加气体分配盘和基板表面之间的间距来实现,可藉由在多个层内沉积无定形碳来实现,及其组合。一般认为在此所述工艺提供降低的沉积速率及/或更等向的沉积工艺,因此,提供更为共形的沉积工艺。
在沉积工艺的一态样中,一a-C:H层利用一工艺来形成,该工艺包含通入一碳氢化合物来源及一等离子体起始气体至一处理室内,例如在上面结合图3所述的处理室325。该碳氢化合物来源一或多种碳氢化合物和选择性地例如氩气这样的载气的混合物。
该一或多种碳氢化合物可包含碳原子对氢原子比为1∶2或更高的化合物,例如大于1∶2。例如,观察到2∶3或更高的碳对氢(或氢的取代基,例如氟)比例,像从2∶3至2∶1,例如从约2∶3至约3∶2,产生具有改善的共形性的无定形碳膜层。一般认为具有所述的碳对氢原子比的此类碳氢化合物造成更为等向的沉积工艺。
所述碳氢化合物可以部分或完全掺杂,碳氢化合物的衍生物也可受惠于本发明方法。衍生物包含氮-、氟-、氧-、氢氧根-,以及碳氢化合物的含硼衍生物。
一般而言,可包含在该碳氢化合物来源内的碳氢化合物或其衍生物可由式CAHBFC表示,其中A的范围在1和24之间,B的范围在0和50之间,C的范围在0和50之间,而A对B+C的比例是1∶2或更高,例如大于1∶2。例如,A对B+C的比例可以是2∶3或更高,例如从2∶3至2∶1,并且在一进一步范例中,从2∶3至3∶2。在一实施方式中,其中C=0,该碳氢化合物来源可具备式CXHY,并且2/3<=x/y=<3/2,其中x/y是个别的原子数。或者,就氧及/或氮取代化合物而言,该碳氢化合物来源可用式CAHBOCFDNE表示,其中A的范围在1和24之间,B的范围在0和50之间,C的范围在0和10之间,D的范围在0和50之间,E的范围在0和10之间,而A对B+C+D+E的比例是1∶2或更高,例如大于1∶2。例如,A对B+C+D+E的比例可以是2∶3或更高,例如从2∶3至2∶1,并且在一进一步范例中,从2∶3至3∶2。
适合的碳氢化合物包含一或多种如下化合物,例如,炔,像乙炔(C2H2),乙烯乙炔及其衍生物,芳香族碳氢化合物,例如苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、酚、甲酚、呋喃、以及诸如此类,α-松油烯,异丙基甲苯,1,1,3,3,-四甲基丁苯,叔丁醚(t-butylether),叔丁基乙烯(t-butylethylene),甲基丙烯酸甲酯(methy-methacrylate),以及叔丁基糠基醚(t-butylfurfurylether),具备式C3H2和C5H4的化合物,卤化的芳香族化合物,包含单氟苯(monofluorobenzene)、二氟苯、四氟苯、六氟苯及诸如此类。其它适合的碳氢化合物包含烯,例如乙烯、丙烯、丁烯、戊烯、及诸如此类,二烯,例如丁二烯、异戊二烯、戊二烯、己二烯及诸如此类,以及卤化的烯,包含单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯、以及诸如此类。碳原子对氢原子比大于1∶2的前驱物的一范例是C4H2,其可以是丁二炔。
此外,本发明预期使用碳原子对氢原子比为3∶1或更高的前驱物,像5∶1,例如10∶1或更高。
一般认为随着碳对氢比例增加,碳原子会在沉积期间与相邻的碳原子键结,藉由形成复杂的三维短程结构网络而造成沉积膜较佳的共形性。
该a-C:H沉积工艺包含使用一种等离子体起始气体,其在该碳氢化合物之前及/或与其同时通入该室,并且起始一等离子体以开始沉积。该等离子体起始气体可以是一种高游离电位气体,包含但不限于,氦气、氢气、氮气、氩气及其组合物,其中氦气是较佳的。该等离子体起始气体也可以是一种化学惰性气体,例如氦气、氮气、或氩气是较佳的。适合的气体游离电位是从约5eV(电子电位)至25eV。该等离子体起始气体可在该碳氢化合物之前通入该室内,这容许形成稳定的等离子体并降低电弧的可能性。已观察到使用具有高游离电位的等离子体起始气体可在沉积期间提供较少的薄膜非等向性蚀刻,因此改善无定形碳膜沉积的共形性。做为稀释气体或载气的惰性气体,例如氩气,可连同该等离子体起始气体、该碳氢化合物、或其组合物一起通入。
该碳氢化合物和等离子体起始气体可以从约1∶100或更高的碳氢化合物对等离子体起始气流比例通入,例如,从约1∶100至100∶1,像就该无定形碳沉积而言从约1∶10至约10∶1。在一实施方式中,该碳氢化合物对等离子体起始气流比例可从约1∶5或更高,像从约1∶5至约2∶1,例如从约1∶2至约1∶1,可用于该无定形碳沉积。已观察到增加碳氢化合物对等离子体等离子体起始气流比例可提供优于较低比例的改善的共形性。
该a-C:H层可从该处理气体沉积,藉由将室压力保持在约2托或更高,例如从约2托至约20托,并且在一实施方式中,约7托或更高,例如从约7托至约9托。已观察到共形性随着压力增加而增加,并且一般认为离子在抵达该基板之前更为分散,因此丧失一些蚀刻能力,并且更为分散的自由基以更随机且等向的角度抵达该基板表面,以利更加等向且共形的薄膜沉积。
该a-C:H层可在基板温度维持在从约0℃至约800℃的室内从该碳氢化合物来源沉积,例如在从约0℃至约100℃的温度下,或在从约300℃至约480℃的温度下,例如,从约400℃至约450℃。已观察到在增加的温度下沉积无定形碳膜层会降低沉积速率,因此改善共形性。此外,在增加的温度下,吸附的碳前驱物的扩散性或流动性会增加,导致更加等向的沉积和改善的共形性。
此外,该a-C:H层也可以更为共形的方式沉积,当该层在将基板温度维持在低于约100℃的室内从该碳氢化合物来源沉积时。例如,一a-C:H层藉由通过与该基板表面隔开310密尔的喷头提供3800sccm的C2H2及6000sccm的氦气至维持在9托压力以及75℃下的处理室,并藉由应用30瓦的高频功率产生等离子体来沉积。分析的沉积层展现出77.8%的共形性(共形性的量度被定义为沉积在一特征结构的侧壁上的无定形碳层的平均厚度S对基板上表面上的无定形碳层的平均厚度T的比例)。同样地,也观察到该特征结构底部上的无定形碳层的厚度对比在基板上表面上的无定形碳层的厚度T的比例是72.2%。
同时惊人且不预期地发现到在降低的氦气流速下,例如约3000sccm的氦气,温度低于100℃的沉积在一特征结构定义底部上的沉积厚度方面产生实质改善,与在密集的特征结构定义,即每1600平方纳米约9个特征结构上的该特征结构底部上的无定形碳层的厚度对比在基板上表面上的无定形碳层的厚度T的比例是72.2%相比。
也观察到所沉积的无定形碳层的共形性随着沉积该层时该喷头和基板表面间的间距增加而改善,例如介于400密尔和600密尔之间的间距,例如约500密尔的间距。例如,一第二无定形碳层与前段在相同的低温沉积条件下沉积,但是该喷头间距为500密尔,与310密尔相较。分析的沉积的第二层展现出90.9%至91.7%的共形性(共形性的量度被定义为沉积在一特征结构的侧壁上的无定形碳层的平均厚度S对基板上表面上的无定形碳层的平均厚度T的比例)。同样地,也观察到在具有不同密度的特征结构图案上,例如密集的特征结构定义,即每1600平方纳米约4至20个特征结构,例如9个特征结构定义,对比于较不密集的的特征结构定义,每1600平方纳米低于4个特征结构定义,例如1个特征结构定义,该特征结构底部上的无定形碳层的厚度对比在基板上表面上的无定形碳层的厚度T的比例是90.9%至91.7%。
也观察到该500密尔间距的无定形碳层具有约138埃/分钟的沉积速率,与该310密尔间距的沉积工艺的300埃/分钟的沉积速率相比。
该碳氢化合物来源及一等离子体起始气体被通入该室内,并且起始(initiate)一等离子体以开始沉积。可用一双频RF系统来产生该等离子体。一般认为一双频RF功率应用可提供通量和离子能量的独立控制,因为一般认为击该薄膜表面的该等离子的能量会影响薄膜密度。相信该高频等离子体控制等离子体密度,而一低频等离子体控制冲击该基板表面的离子的动能。具有混合的RF功率的双频来源提供范围从约10MHz至约30MHz的高频功率,例如约13.56MHz,以及范围从约10kHz至约1MHz的低频功率,例如约350 kHz。当使用一双频RF系统来沉积一a-C:H膜时,该第二RF功率对总混合频率功率的比例较佳地低于约0.6至1.0(0.6∶1)。可基于基板尺寸和所用设备来改变所施加的RF功率和一或多种频率的使用。可使用一单频RF功率应用,并且通常是应用如在此所述的高频功率。
可藉由施加功率密度对基板表面积从约0.01瓦/平方厘米至约5瓦/平方厘米的RF功率来产生等离子体,例如从约0.01至约1瓦/平方厘米,例如约0.1瓦/平方厘米。就一300毫米的基板而言,该功率应用可从约1瓦至约2000瓦,例如从约10瓦至约200瓦,例如约20瓦。电极间距,即该基板和该喷头之间的距离,可以是从约200密尔至约1000密尔。
虽未遵循任何特定理论,但相信等离子体工艺藉由减少能量化离子数目来降低无定形碳沉积速率,使碳氢化合物,即自由基,以更随机的沉积图案抵达该基板表面,因此提供所形成的薄膜成长更等向的沉积图案而改善共形性。也观察到该降低的等离子体沉积可提供较低的沉积速率,其容许吸附的碳前驱物在该基板表面上扩散,而提供更为共形的膜层。
处理300毫米圆形基板的一例示沉积工艺运用一种等离子体起始气体,例如氦气,及一种碳氢化合物来源,例如乙炔(C2H2)。该工艺可包含供应流速从约400sccm至约8000sccm的等离子体起始气体,例如氦气,供应流速从约400sccm至8000sccm的碳氢化合物来源,例如乙炔(C2H2),施加从约10瓦至约2000瓦的双频RF功率,将室压力维持在从约2托至约20托,以及将室温度维持在从约25℃至约475℃。此工艺范围提供a-C:H层范围在约10埃/分钟至约30000埃/分钟内的沉积速率以及从约30%至约100%的共形性(沉积在一特征结构侧壁上的一层的平均厚度对相同沉积层在该基板范围,或上表面,上的平均厚度的比例)。本领域的技术人员,在阅读在此公开的内容后,可计算出适当的处理参数,以制造沉积速率不同的a-C:H膜。
在该沉积工艺的一实施方式中,执行多个独立的无定形碳沉积以形成一无定形碳层。在该复合沉积工艺的一态样中,一沉积步骤,如在此所述,之后紧接一暂停步骤,其中等离子体起始气体、一稀释气体、及/或前驱物可以降低或无沉积速率的状态流通。适合的等离子体起始气体、稀释气体、及/或前驱物可以从约100sccm至约40000sccm的流速通入该室内。若使用该等离子体起始气体即/或一稀释气体,可为该暂停步骤起始一等离子体。该沉积和暂停步骤然后可重复直到得到预期厚度为止,并且可以循环1至100次,例如循环10至50次,例如循环30次,或者是沉积约1%至约100%之间的无定形碳材料厚度,例如从约2%至约10%的循环,例如约3.3%。一独立周期的每一次循环可沉积从约1埃至约1000埃厚的无定形碳材料,以形成厚度从约10埃至约15000埃的无定形碳层。该循环沉积工艺可使用一或多种上述处理参数调整。
或者,也可抽出气体,然后在沉积步骤之前或暂停步骤期间再次通入。
一般相信一多层沉积方案会降低有效薄膜沉积速率,改善共形性。此外,新沉积的碳原子可在该暂停步骤期间扩散,更进一步改善共形性。一般而言,共形性在一特定无定形碳膜层厚度的层数量增加时(较薄的个别层厚度及较多次重复),并且在暂停步骤时间对沉积步骤时间的比例较大时(低的有效沉积速率)获得改善。例如,暂停步骤时间对沉积步骤时间的比例可从约100∶1至约1∶100。藉由调整个别层的厚度和暂停对沉积时间比例,可调整无定形碳膜层的共形性以符合器件需求,因而提供另一种枢纽(knob)以改善一特定等离子体辅助化学气相沉积的沉积条件(前驱物、气体、流速、压力、温度、RF功率等)的共形性。
本发明方法的一主要优势在于优于其它a-C:H沉积工艺的共形性增强,如图2所示。图2标出具有一特征结构201及一无定形碳层202形成在其上的基板200的概要剖面图。无定形碳层202示出利用本发明方法沉积的薄膜的典型外观。就质而言,无定形碳层202高度共形且完全覆盖特征结构201的侧壁204和底部203。就量而言,无定形碳层202可拥有从约30%至约100%等级的共形性。例如从约70%至约90%,其中共形性(共形性量度)被定义为沉积在侧壁204上的无定形碳层202的平均厚度S对基板200上表面205上的无定形碳层202的平均厚度T的比例。再参见图2,非共形的无定形碳层202显示为具有约5%的共形性。
利用在此所述工艺沉积的无定形碳材料的范例如下。
比较范例:一供比较的无定形碳沉积工艺范例包含提供约400sccm的氦气流速至该处理室,约8000sccm的氩气流速至该处理室,提供约6000sccm的C3H6流速至该处理室,施加约1250瓦的高频RF功率(13.56MHz),将沉积温度维持在约300℃,将室压力维持在约4.5托,连同约380密尔的间距以在密集区域上以及开放区域阶梯覆盖(共形性量度)产生共形性约20%的无定形碳层。
在一第一范例中,藉由提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约50瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约9托,连同约300密尔的间距来沉积一无定形碳层,产生187埃/分钟的沉积速率,并且观察到达成密集区域约83%至开放区域阶梯覆盖(共形性量度)约96%的共形性。所有范例皆在深宽比约2.1∶1的特征结构上执行。
在一第二范例中,藉由提供约2000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约100瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约9托,连同约300密尔的间距来沉积一无定形碳层,产生516埃/分钟的沉积速率,并且观察到达成密集区域约82%至开放区域阶梯覆盖(共形性量度)约86%的共形性。该第一范例及该第二范例皆在深宽比约2.1∶1的特征结构上执行。
在一第三范例中,藉由提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约20瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约9托,连同约300密尔的间距来沉积一无定形碳层,产生64埃/分钟的沉积速率,并且观察到达成密集区域约93%至开放区域阶梯覆盖(共形性量度)约97%的共形性。
在一第四范例中,藉由提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约1000瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约7托,连同约310密尔的间距来沉积一无定形碳层。
在一第五范例中,利用沉积步骤之后紧接氦气净化步骤的14次沉积循环来沉积一无定形碳层。该沉积步骤提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约100瓦的高频RF功率(13.56MHz),将沉积温度维持在约300℃,将室压力维持在约9托,连同约300密尔的间距,产生909埃/分钟的沉积速率,并且观察到达成约84%的密集区域覆盖(共形性量度)。该氦气净化步骤在相同处理参数下执行,除了无C2H2流并且无施加RF功率之外。
在一第六范例中,利用沉积步骤之后紧接氦气净化步骤的14次沉积循环来沉积一无定形碳层。该沉积步骤提供约400sccm的低氦气流速至该处理室,提供约400sccm的C2H2流速至该处理室,施加约100瓦的高频RF功率(13.56MHz),将沉积温度维持在约300℃,将室压力维持在约7托,连同约300密尔的间距,产生909埃/分钟的沉积速率,并且观察到达成约67%的侧壁对顶部共形性,94%的侧壁对底部共形性以及72%的底部对顶部共形性。该氦气净化步骤在相同处理参数下执行,除了无C2H2流并且无施加RF功率之外。
在一第七范例中,进行在不同功率范围下沉积的无定形碳层的比较。就两个工艺而言,藉由提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约50瓦或20瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约9托,连同约300密尔的间距来沉积该无定形碳。该50瓦沉积工艺以沉积速率200埃/分钟产生82%的侧壁对顶部共形性,而该20瓦沉积工艺以沉积速率64埃/分钟产生93-97%的侧壁对顶部共形性。
在一第八范例中,进行循环相对于单一步骤沉积所沉积出的无定形碳层的比较。就两个工艺而言,藉由提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约100瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约9托,连同约300密尔的间距来沉积该无定形碳。该单一步骤沉积工艺产生51%的侧壁对顶部共形性,87%的侧壁对底部共形性以及59%的底部对顶部共形性。包含沉积之后紧接一氦气净化步骤的14次循环的循环沉积步骤产生71%的侧壁对顶部共形性,92%的侧壁对底部共形性以及77%的底部对顶部共形性。该氦气净化步骤在相同条件下执行,除了无施加RF功率并且不提供C2H2之外。
在一第九范例中,进行两种循环工艺相对于单一步骤沉积所沉积出的无定形碳层的比较。就两个工艺而言,藉由提供约4000sccm的氦气流速至该处理室,提供约2000sccm的C2H2流速至该处理室,施加约50瓦的高频RF功率(13.56MHz),将沉积温度维持在约400℃,将室压力维持在约9托,连同约300密尔的间距来沉积该无定形碳。该单一步骤沉积工艺使用25瓦的RF功率应用并产生密集结构的56%的侧壁对顶部共形性及开放结构的87%的侧壁对顶部共形性。该第一循环工艺的第一循环处理系列使用25次持续7秒、每一者20埃的上述沉积和氦气冷却循环,产生密集结构的55%的侧壁对顶部共形性及开放结构的82%的侧壁对顶部共形性;而该第二系列使用10次持续15秒、每一者50埃的沉积和氦气循环,产生密集结构的54%的侧壁对顶部共形性及开放结构的75%的侧壁对顶部共形性。该第二工艺的第一循环处理系列使用25次每一者20埃的七秒钟的沉积循环,产生密集结构的78%的侧壁对顶部共形性及开放结构的89%的侧壁对顶部共形性;该第二系列使用10次每一者50埃的十五秒钟的沉积循环,产生密集结构的69%的侧壁对顶部共形性及开放结构的89%的侧壁对顶部共形性;而该第三系列使用25次每一者20埃的七秒钟的沉积和13秒钟的稳定步骤循环,产生密集结构的55%的侧壁对顶部共形性及开放结构的92%的侧壁对顶部共形性。
图4A-4l为使用在此所述的无定形碳层蚀刻一材料层的工艺的概要侧视图。在一基板表面(未示出)上沉积一基底材料410,以开始形成一材料堆栈(material stack)400。该基底材料可以是用来形成半导体器件的一或多种材料,包含硅基板材料、氧化物材料、多晶硅材料、或诸如此类。在该基底材料410上沉积一第一无定形碳层420,并且在该第一无定形碳层420上沉积一第一抗反射层材料430,如图4B所示。该无定形碳层可以是能够从加州圣塔克拉拉的应用材料公司购得的Advanced Patterning Film(先进曝光图样薄膜)TM(APF)材料,或者,如在此所述的无定形碳材料。该第一抗反射层材料430被用来在光刻图案化工艺期间控制光线反射。该第一抗反射层材料430可包含二氧化硅、氧氮化硅、氮化硅、或其组合物。该抗反射层材料可以是能够从加州圣塔克拉拉的应用材料公司购得的DARCTM材料层。
可在该第一抗反射层材料上依序沉积一第二无定形碳层440和一第二抗反射层材料450,如图4C所示。该第二无定形碳层440和该第二抗反射层材料450可以是如沉积层420和第一抗反射层材料430相同的材料。然后在该第二抗反射层材料450上沉积一光刻胶层460,例如一光刻胶材料,如图4D所示。然后利用一光刻工艺来图案化该光刻胶层,产生一图案化光刻胶层461,如图4E所示。形成在该光刻胶层461内的第一图案462利用一或多个蚀刻工艺首先蚀刻该第二抗反射层材料450,然后蚀刻该第二无定形碳层440来转移至该第二无定形碳层440,而形成一图案化的第二无定形碳层441。如图4F所示。该图案化的第二无定形碳层441可做为下方材料的硬掩模。该第二抗反射层材料450可利用该一或多个蚀刻工艺或利用一分开的工艺移除。
在该第一抗反射层材料430和该图案化的第二无定形碳层441上沉积一共形的无定形碳层470,如图4G所示。该共形的无定形碳层可利用在此所述的任何工艺沉积。利用一非等向蚀刻工艺图案化该共形的无定形碳层470,以提供侧壁无定形碳材料471,如图4H所示。该侧壁无定形碳材料471的存在容许第二图案472形成,其具有与否则可在正常情况下利用现行光刻工艺实现者相比缩小的关键尺寸和特征结构尺寸,即增加的图案密度。该图案化的第二无定形碳层441结合该侧壁无定形碳材料471可做为下方的第一抗反射层材料430和该第一无定形碳层420的硬掩模层。
然后蚀刻该第一抗反射层材料430以形成具有该第二图案472的图案化抗反射层431,如图4I所示。在该蚀刻工艺期间或利用一后续工艺除去该图案化的第二无定形碳层441和该侧壁无定形碳材料471。然后蚀刻该第一无定形碳层420以形成具有欲转移至下方基底材料410的第二图案472的图案化的第一无定形碳层421。然后利用该图案化的第一无定形碳层421做为硬掩模层来蚀刻该基底材料410,如图4K所示,接着除去该图案化的第一无定形碳层421以提供含有具备该第二图案472的图案化基底材料411的基板表面,如图4L所示。
在另一实施方式中,为图4F-4L使用一图案化光刻胶材料来取代该图案化的第二无定形碳层441,因此除去对于图4C-4E的该图案化的第二无定形碳层440和一第二抗反射层材料450以及对应的沉积步骤和蚀刻步骤的需要。
图5A-5H为在一空间掩模双重图案化工艺内使用在此所述的无定形碳层的工艺的概要侧视图。在一基板表面上沉积一基底材料510,以开始形成一材料堆栈500。该基底材料可以是用来形成半导体器件的一或多种材料,包含硅基板材料、氧化物材料、多晶硅材料、或诸如此类。在该基底材料510上沉积一第一无定形碳层520,并且在该第一无定形碳层520上形成具有一第一图案532的图案化光刻胶层530,如图5A所示。该无定形碳层可以是能够从加州圣塔克拉拉的应用材料公司购得的Advanced Patterning FilmTM(APF)材料,或者,如在此所述的无定形碳材料。可利用一光刻工艺来图案化该光刻胶层530。然后使该图案化光刻胶层530经受一修整工艺,藉此窄化该图案化光刻胶材料的宽度而形成由该经修整的光刻胶材料531界定的第二图案533,如图5B所示。然后蚀刻该第一无定形碳层520以转移该第二图案533,而形成一图案化的无定形碳层521,如图5C所示。
然后邻接该图案化的无定形碳层521结构形成侧壁间隙壁(sidewall spacer)540。间隙壁可包含一种蚀刻速率与该第一无定形碳层或共形的无定形碳材料不同的可蚀刻材料。适合的材料包含,例如,二氧化硅、氧氮化硅、氮化硅、或其组合物。然后在这些侧壁间隙壁540及图案化的无定形碳层521结构上沉积共形的无定形碳材料的缝隙填补层550,如图5E所示。可利用在此所述的任何工艺来沉积该共形的无定形碳材料。较佳的共形的无定形碳材料是蚀刻性质与图案化的无定形碳层521相似的那些材料。然后回蚀该缝隙填补层550以暴露出这些侧壁间隙壁540,如图5F所示。接着蚀刻这些侧壁间隙壁540以暴露出基底材料510,界定出一硬掩模层551,如图5G所示。然后可图案化蚀刻该基底材料510以形成一图案化基底材料511,如图5H所示。
在另一工艺中,在如图5D所示般邻接该图案化的无定形碳层521结构形成所述侧壁间隙壁540之后,接着将该图案化的无定形碳层521从该基板表面去除。所述侧壁间隙壁540于是形成一图案,如图5E’所示,其可用来做为该基底材料510的硬掩模。接着可图案化蚀刻该基底材料510以形成一图案化基底材料511。
图6A-6J为使用在此所述的无定形碳层来蚀刻一材料层,例如利用低于100℃的无定形碳沉积工艺,的工艺的概要侧视图。在一基板表面(未示出)上沉积一基底材料610,以开始形成一材料堆栈600。该基底材料可以是用来形成半导体器件的一或多种材料,包含硅基板材料、氧化物材料、多晶硅材料、或诸如此类。在该基底材料610上沉积一第一无定形碳层620,并且在该第一无定形碳层620上沉积一抗反射层材料630,如图6B所示。该无定形碳层可以是能够从加州圣塔克拉拉的应用材料公司购得的Advanced Patterning FilmTM(APF)材料,或者,如在此所述的无定形碳材料。该抗反射层材料630用来在光刻图案化工艺期间控制光线反射。该抗反射层材料630可包含二氧化硅、氧氮化硅、氮化硅、或其组合物。该抗反射层材料可以是能够从加州圣塔克拉拉的应用材料公司购得的DARCTM材料层。
然后在该抗反射层材料630上沉积一光刻胶层640,例如一光刻胶材料,如图6C所示。接着利用一光刻工艺图案化该光刻胶层,产生一图案化光刻胶层641,如图6D所示。该图案化光刻胶层641形成一第一蚀刻图案642。
利用在此所述工艺及共形性共形地或实质上共形地在该图案化光刻胶层641上沉积一第二无定形碳层650,如图6E所示。该共形的无定形碳层可利用在此所述的任何工艺沉积。在一范例中,该第二无定形碳材料是利用低于100℃的沉积工艺而沉积。蚀刻并图案化该第二无定形碳层650以形成一第二蚀刻图案652,其具有比该第一蚀刻图案缩小的,例如较窄的,特征结构尺寸,如图6F所示。利用一非等向蚀刻工艺图案化该共形的第二无定形碳层650,以提供侧壁无定形碳材料651。
该侧壁无定形碳材料651的存在容许第二图案652形成,其具有与否则可在正常情况下利用现行光刻工艺实现者相比缩小的关键尺寸和特征结构尺寸,即增加的图案密度。藉由此工艺,形成在该光刻胶层内的特征结构定义的尺寸,例如关键尺寸,可缩减(“缩小”),以在下方层内提供较精细的特征结构图案。该图案化光刻胶层641结合该侧壁无定形碳材料651可做为下方的抗反射层材料630和该第一无定形碳层620的硬掩模层。
以该侧壁无定形碳材料651及该光刻胶层641形成的第二蚀刻图案652利用一或多个蚀刻工艺首先蚀刻该抗反射层材料630,如图6G般,然后蚀刻该第一无定形碳层620,如图6H般,来转移至该第一无定形碳层620,而形成一图案化的第一无定形碳层621。该图案化的第一无定形碳层621可做为下方基底材料610的硬掩模。该抗反射层材料631可在蚀刻该下方材料之前利用该一或多个蚀刻工艺或利用一分开的工艺移除。
接着蚀刻该第一无定形碳层620以形成具有欲转移至下方基底材料610的第二蚀刻图案652的图案化的第一无定形碳层621,如图6H所示。然后利用该图案化的第一无定形碳层621做为硬掩模层来蚀刻该基底材料610,如图6I所示,接着除去该图案化的第一无定形碳层621以提供含有具备该第二蚀刻图案652的图案化基底材料611的基板表面,如图6J所示。
图7为在此设置在一半导体结构中的无定形碳层的使用的概要侧视图。图7揭示利用在此所述工艺的一沉积的无定形碳层的使用,其用来作为倒T形栅极700的牺牲侧壁掩模(sacrificial sidewall mask))。在该基板710上沉积一隧道氧化物层720。在该隧道氧化物层上沉积一掺杂的多晶硅层730,并在该掺杂的多晶硅层730上沉积一高热氧化物(HTO)层740。在该高热氧化物(HTO)740上沉积一掩模层750。图案化该掩模层750并蚀刻该氧化物层740和该掺杂的多晶硅层730,以形成特征结构755。利用在此所述方法的其中一种方法所沉积的无定形碳层760沉积在该蚀刻基板表面上,以形成所制造的特征结构755的侧壁覆盖。
虽然前述针对本发明实施方式,但本发明的其它及进一步实施方式可在不背离其本范围下设计出,并且其范围由如下权利要求界定。

Claims (15)

1.一种在一基板上形成一无定形碳层的方法,其包含:
将一基板设置在一基板处理室内;
将碳对氢原子比大于1∶2的一碳氢化合物来源通入所述处理室;
将选自由氢气、氦气、氩气、氮气、及其组合物所组成的族群的一等离子体起始气体通入所述处理室,并且所述碳氢化合物来源的体积流速对所述等离子体起始气体的体积流速比为1∶2或更大;
在所述处理室内以1瓦/平方厘米或更低的RF功率、2托或更高的压力、以及约300℃至约480℃的温度产生一等离子体;以及
在所述基板上形成一共形无定形碳层。
2.根据权利要求1所述的方法,更包含在形成所述无定形碳层之后执行一净化处理步骤。
3.根据权利要求2所述的方法,更包含重复该无定形碳形成工艺步骤及该净化处理步骤的循环约2至约50次。
4.根据权利要求1所述的方法,更包含将一稀释气体通入所述处理室内,连同该氢气前驱物、所述等离子体起始气体、或两者。
5.根据权利要求1所述的方法,其中所述碳氢化合物来源的碳对氢原子比为2∶3或更高,并包含一或多种选自由乙炔、乙烯乙炔、苯、苯乙烯、甲苯、二甲苯、吡啶、苯乙酮、酚、呋喃、C3H2、C5H4、单氟苯、二氟苯、四氟苯、及六氟苯所组成的族群的化合物,并且所述碳氢化合物气体的体积流速对所述等离子体起始气体的体积流速比为从约1∶1至约1∶2。
6.根据权利要求1所述的方法,其中所述RF功率经施加约0.01至约1瓦/平方厘米,并且所述压力为从约2托至约20托。
7.根据权利要求1所述的方法,其中所述RF功率由一双频系统提供。
8.根据权利要求1所述的方法,其中所述无定形碳层拥有从约30%至约100%的共形性。
9.一种在一处理室内处理一基板的方法,其包含:
执行一沉积循环,包含:
在该基板的一表面上形成一共形无定形碳材料;以及
使一净化工艺通过该处理室;以及
重复该循环2和50次之间。
10.根据权利要求9所述的方法,其中所述净化气体包含一惰性气体或一碳氢化合物来源气体,并且所述净化气体可被激发成一等离子体。
11.根据权利要求9所述的方法,其中所述暂停步骤时间对沉积步骤时间的比例可从约100∶1至约1∶100,并且上述的每一次沉积循环可沉积2%和50%之间的无定形碳层厚度。
12.根据权利要求9所述的方法,其中所述的在该基板的一表面上形成一共形无定形碳材料包含:
将碳对氢原子比为1∶2或更高的碳氢化合物来源通入所述处理室;
将选自由氢气、氦气、氩气、氮气、及其组合物所组成的族群的一等离子体起始气体通入所述处理室,并且所述碳氢化合物来源的体积流速对所述等离子体起始气体的体积流速比为1∶2或更大;
在所述处理室内以5瓦/平方厘米或更低的RF功率、2托或更高的压力、以及约300℃至约480℃的温度产生一等离子体。
13.根据权利要求12所述的方法,其中所述碳氢化合物来源的碳对氢原子比为2∶3或更高,并包含一或多种选自由乙炔、乙烯乙炔、苯、苯乙烯、甲苯、二甲苯、吡啶、苯乙酮、酚、呋喃、C3H2、C5H4、单氟苯、二氟苯、四氟苯、及六氟苯所组成的族群的化合物。
14.根据权利要求12所述的方法,其中所述工艺包含一或多种选自由该碳氢化合物气体的体积流速对该等离子体起始气体的体积流速比为从约1∶1至约1∶2、施加从约0.01至约5瓦/平方厘米的RF功率、该压力为从约2托至约20托、以及该压力为从约300℃至约480℃所组成的族群的处理参数。
15.一种在一基板上形成一无定形碳层的方法,其包含:
将一基板设置在一基板处理室内;
将碳对氢原子比大于1∶2的一碳氢化合物来源通入所述处理室;
将选自由氢气、氦气、氩气、氮气、及其组合物所组成的族群的一等离子体起始气体通入所述处理室,并且该碳氢化合物来源的体积流速对该等离子体起始气体的体积流速比为1∶2或更大,其中所述碳氢化合物来源和所述等离子体起始气体利用设置在距离基板表面400密尔和600密尔之间的一气体分配器通入所述处理室;
在所述处理室内以1瓦/平方厘米或更低的RF功率以及约0℃至约100℃之间的温度产生一等离子体;以及
在所述基板上形成一共形无定形碳层。
CN2009801408068A 2008-10-14 2009-10-12 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 Expired - Fee Related CN102187432B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10534808P 2008-10-14 2008-10-14
US61/105,348 2008-10-14
PCT/US2009/060360 WO2010045153A2 (en) 2008-10-14 2009-10-12 Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)

Publications (2)

Publication Number Publication Date
CN102187432A true CN102187432A (zh) 2011-09-14
CN102187432B CN102187432B (zh) 2013-07-31

Family

ID=42099256

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801408068A Expired - Fee Related CN102187432B (zh) 2008-10-14 2009-10-12 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法

Country Status (6)

Country Link
US (1) US8105465B2 (zh)
JP (1) JP2012506151A (zh)
KR (1) KR101357181B1 (zh)
CN (1) CN102187432B (zh)
TW (1) TWI421364B (zh)
WO (1) WO2010045153A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637582A (zh) * 2012-04-09 2012-08-15 上海华力微电子有限公司 一种防止磷硅酸盐玻璃或硼磷硅酸盐玻璃薄膜吸水的方法
CN104099661A (zh) * 2014-07-12 2014-10-15 吉林大学 一种低温、自组织生长非晶碳杂合单晶纳米石墨的制备方法
CN107408494A (zh) * 2015-03-23 2017-11-28 应用材料公司 缺陷平面化
CN108475640A (zh) * 2016-01-20 2018-08-31 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
CN110890376A (zh) * 2018-09-11 2020-03-17 长鑫存储技术有限公司 半导体器件的制备方法
CN111433896A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对硅或硅锗表面的表面处理
CN113748227A (zh) * 2019-04-15 2021-12-03 应用材料公司 静电吸附工艺

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8227352B2 (en) 2010-04-30 2012-07-24 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
EP2525416A2 (en) * 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9524742B2 (en) * 2011-10-24 2016-12-20 Youtec Co., Ltd. CXNYHZ film, deposition method, magnetic recording medium and method for manufacturing the same
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9093495B2 (en) * 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
KR102462349B1 (ko) * 2014-08-14 2022-11-01 어플라이드 머티어리얼스, 인코포레이티드 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9793108B2 (en) * 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
JP6561093B2 (ja) * 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11358869B2 (en) 2017-08-08 2022-06-14 H Quest Vanguard, Inc. Methods and systems for microwave assisted production of graphitic materials
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
WO2019032554A1 (en) * 2017-08-08 2019-02-14 H Quest Vanguard, Inc. NON-THERMAL PLASMA CONVERSION OF HYDROCARBONS
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
WO2019209433A1 (en) * 2018-04-24 2019-10-31 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of carbon hard-mask
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020141850A1 (ko) * 2018-12-31 2020-07-09 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
KR102314727B1 (ko) * 2018-12-31 2021-10-19 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020223326A1 (en) 2019-04-30 2020-11-05 Mattson Technology, Inc. Selective deposition using methylation treatment
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
KR20210100535A (ko) * 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102451638B1 (ko) * 2020-06-12 2022-10-06 충남대학교산학협력단 고유전막 및 이를 포함하는 반도체 또는 커패시터 소자
KR102375281B1 (ko) * 2020-06-22 2022-03-17 울산과학기술원 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자
KR20210157756A (ko) * 2020-06-22 2021-12-29 충남대학교산학협력단 비정질 탄화수소 박막의 패시베이션에 의한 전하 채널층의 전하이동도 향상 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010054381A1 (en) * 1998-12-14 2001-12-27 Salvador P Umotoy High temperature chemical vapor deposition chamber
US20050287771A1 (en) * 2004-03-05 2005-12-29 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20060269692A1 (en) * 2005-05-26 2006-11-30 Applied Materials, Inc. A Delaware Corporation Method to increase the compressive stress of PECVD silicon nitride films
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
CN101122011A (zh) * 2006-06-13 2008-02-13 应用材料公司 无定型碳层的低温沉积方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09128708A (ja) * 1995-10-27 1997-05-16 Hitachi Ltd 薄膜磁気ヘッド及び磁気ディスク記録再生装置
KR0152251B1 (ko) * 1995-11-02 1998-10-15 장진 층 .층 .층 제작 방법에 의한 유사다이아몬드 박막 제조 방법
JP3325793B2 (ja) * 1996-03-22 2002-09-17 三洋電機株式会社 非晶質半導体及びその製造方法並びに光起電力装置
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001254170A (ja) * 2000-03-09 2001-09-18 Komatsu Ltd 非晶質炭素膜の成膜装置とその成膜方法
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US20080008842A1 (en) * 2006-07-07 2008-01-10 Applied Materials, Inc. Method for plasma processing
KR20080088748A (ko) * 2007-03-30 2008-10-06 삼성전자주식회사 플라즈마 화학기상증착설비 및 그를 이용한 플라즈마화학기상증착방법
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010054381A1 (en) * 1998-12-14 2001-12-27 Salvador P Umotoy High temperature chemical vapor deposition chamber
US20050287771A1 (en) * 2004-03-05 2005-12-29 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20060269692A1 (en) * 2005-05-26 2006-11-30 Applied Materials, Inc. A Delaware Corporation Method to increase the compressive stress of PECVD silicon nitride films
CN101122011A (zh) * 2006-06-13 2008-02-13 应用材料公司 无定型碳层的低温沉积方法
US20080003824A1 (en) * 2006-06-28 2008-01-03 Deenesh Padhi Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637582A (zh) * 2012-04-09 2012-08-15 上海华力微电子有限公司 一种防止磷硅酸盐玻璃或硼磷硅酸盐玻璃薄膜吸水的方法
CN102637582B (zh) * 2012-04-09 2015-03-11 上海华力微电子有限公司 一种防止磷硅酸盐玻璃或硼磷硅酸盐玻璃薄膜吸水的方法
CN104099661A (zh) * 2014-07-12 2014-10-15 吉林大学 一种低温、自组织生长非晶碳杂合单晶纳米石墨的制备方法
CN107408494A (zh) * 2015-03-23 2017-11-28 应用材料公司 缺陷平面化
CN107408494B (zh) * 2015-03-23 2021-09-14 应用材料公司 缺陷平面化
CN108475640A (zh) * 2016-01-20 2018-08-31 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
CN111433896A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对硅或硅锗表面的表面处理
CN111433895A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对含碳膜的表面处理
CN111433895B (zh) * 2017-10-03 2023-04-07 玛特森技术公司 使用有机自由基对含碳膜的表面处理
CN111433896B (zh) * 2017-10-03 2023-04-21 玛特森技术公司 使用有机自由基对硅或硅锗表面的表面处理
CN110890376A (zh) * 2018-09-11 2020-03-17 长鑫存储技术有限公司 半导体器件的制备方法
CN110890376B (zh) * 2018-09-11 2022-08-02 长鑫存储技术有限公司 半导体器件的制备方法
CN113748227A (zh) * 2019-04-15 2021-12-03 应用材料公司 静电吸附工艺

Also Published As

Publication number Publication date
CN102187432B (zh) 2013-07-31
US20100093187A1 (en) 2010-04-15
KR20110074904A (ko) 2011-07-04
TW201026877A (en) 2010-07-16
US8105465B2 (en) 2012-01-31
KR101357181B1 (ko) 2014-01-29
WO2010045153A3 (en) 2010-07-29
TWI421364B (zh) 2014-01-01
JP2012506151A (ja) 2012-03-08
WO2010045153A2 (en) 2010-04-22

Similar Documents

Publication Publication Date Title
CN102187432B (zh) 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
CN101480110B (zh) 具有改进的密度和阶梯覆盖率的无定形碳膜的沉积方法
US20140370711A1 (en) Nitrogen doped amorphous carbon hardmask
KR101161912B1 (ko) 비결정질 탄소 층의 고온 증착 방법
US8349741B2 (en) Amorphous carbon deposition method for improved stack defectivity
CN101981659B (zh) 沉积具有改进密度与阶梯覆盖的非晶碳膜的方法
CN101595559B (zh) 形成镶嵌结构的方法
CN108140545A (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
US20110291243A1 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
CN101122011A (zh) 无定型碳层的低温沉积方法
CN101939818A (zh) 以干微影或浸润式微影工艺来防止45-纳米特征结构尺寸中光阻材料的崩塌和毒化

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130731

Termination date: 20151012

EXPY Termination of patent right or utility model