JP2012506151A - プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法 - Google Patents

プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法 Download PDF

Info

Publication number
JP2012506151A
JP2012506151A JP2011532166A JP2011532166A JP2012506151A JP 2012506151 A JP2012506151 A JP 2012506151A JP 2011532166 A JP2011532166 A JP 2011532166A JP 2011532166 A JP2011532166 A JP 2011532166A JP 2012506151 A JP2012506151 A JP 2012506151A
Authority
JP
Japan
Prior art keywords
amorphous carbon
substrate
processing chamber
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2011532166A
Other languages
English (en)
Other versions
JP2012506151A5 (ja
Inventor
クァンドゥック ダグラス リー,
貴司 盛井
洋一 鈴木
スダ ラティ,
マーティン ジェイ シーモンズ,
ディーネッシュ パディ,
ボク ホーン キム,
シンシア パグダンガナン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012506151A publication Critical patent/JP2012506151A/ja
Publication of JP2012506151A5 publication Critical patent/JP2012506151A5/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板上に非晶質炭素層を堆積させる方法および装置が提供される。一実施形態では、堆積プロセスは、基板処理チャンバ内に基板を位置決めするステップと、炭素と水素の原子比が1:2より大きい炭化水素源を処理チャンバ内へ導入するステップと、水素、ヘリウム、アルゴン、窒素、およびこれらの組合せからなる群から選択されるプラズマ開始ガスを処理チャンバ内へ導入するステップであり、炭化水素源の体積流量とプラズマ開始ガスの体積流量の比が1:2以上であるステップと、処理チャンバ内にプラズマを生成するステップと、基板上に共形の非晶質炭素層を形成するステップとを含む。

Description

本発明の実施形態は、一般に集積回路の製作に関し、詳細には、半導体基板上の非晶質炭素層の堆積に関する。
集積回路は、数百万のトランジスタ、コンデンサ、および抵抗器を単一のチップ上に含みうる複雑なデバイスに発展してきた。チップ設計の発展は、より速い回路およびより大きい回路密度を絶えず必要とする。より大きい回路密度をもつより速い回路に対する要求は、それに対応して、そのような集積回路を製作するために使用される材料を要求する。具体的には、集積回路構成要素の寸法が1ミクロンに満たない寸法にまで低減されるので、デバイスの電気的性能を改善するために銅などの抵抗率の低い導電材料を使用するだけでなく、比誘電率の低い絶縁材料を使用することも必要とされてきた。これらの比誘電率の低い絶縁材料は、低誘電率の誘電体材料と呼ばれることが多い。低誘電率の誘電体材料は一般に、3.8未満の比誘電率を有する。
表面の欠陥またはフィーチャの変形がほとんどまたはまったくない低誘電率の誘電体材料を有するデバイスを作製することには、問題がある。比誘電率が約3.0未満である低誘電率の誘電体材料は多孔質であり、その後のプロセスステップ中に引っ掻きまたは損傷を受けやすいことが多く、したがって、基板表面に欠陥が形成される可能性を増大させる。そのような低誘電率の誘電体材料はもろいことが多く、化学的機械的研磨(CMP)などの従来の研磨プロセス下では変形する可能性がある。そのような低誘電率の誘電体材料の表面の欠陥および変形を制限または低減させる1つの解決策は、パターン形成およびエッチングの前に、露出した低誘電率の誘電体材料を覆ってハードマスクを堆積させることである。ハードマスクは、取り扱いに注意を要する低誘電率の誘電体材料の損傷および変形を防止する。さらに、ハードマスク層は、従来のリソグラフィ技法と組み合わせて、エッチング中の低誘電率の誘電体材料の剥離を防止するためのエッチマスクとして働くことができる。
さらに、ハードマスクは、フロントエンドとバックエンドの両方のプロセスに対する集積回路製造プロセスにおけるほとんどすべてのステップに使用されている。デバイス寸法が収縮し、パターン構造の製造がより複雑かつ困難になるにつれて、エッチハードマスクがより重要になっている。それは、利用可能なフォトレジストがエッチングの抵抗要件を満たすことができず、またフォトレジストが、リソグラフィおよびエッチングプロセスにおいてエッチマスクとしてではなく、単に画像の転写に使用されているためである。その代わりに、画像パターンを受け取るハードマスクが、下層にパターンを効果的にエッチングするための主要な材料になっている。
非晶質水素化炭素は、とりわけ二酸化シリコンまたは窒化シリコン材料など、金属、非晶質シリコン、および誘電体材料に対するハードマスクとして使用できる材料である。非晶質水素化炭素は、相当な水素含有量、たとえば約10〜45原子%程度の水素を含有しうる長距離結晶秩序をもたない炭素材料と見なされる。非晶質水素化炭素は非晶質炭素とも呼ばれ、a−C:Hまたはα−C:Hと表される。非晶質炭素は、化学的不活性、光透過性、および良好な機械的特性を有することが観察されている。a−C:H膜は、様々な技法を介して堆積させることができるが、コスト効率および膜特性の調整可能性のため、プラズマ強化化学気相成長(PECVD)が広く使用されている。典型的なPECVDプロセスでは、気相炭化水素、またはキャリアガス内に同伴された液相炭化水素などの炭化水素源が、PECVDチャンバ内に導入される。次いで、チャンバ内でプラズマが開始され、励起CH−ラジカルを生じる。励起CH−ラジカルは、チャンバ内に位置決めされた基板の表面に化学結合し、表面上に所望のa−C:H膜を形成する。
トポグラフィフィーチャを有する基板上にハードマスク層が堆積される適用分野の場合、ハードマスク層は、前記トポグラフィフィーチャのすべての表面を共形に覆う必要がある。さらに、フィーチャ寸法が低減されるにつれて、光波長およびパターン寸法の制限のため、フォトレジスト材料にパターンを正確に転写するのが困難になる。したがって、次の生成デバイスに対してクリティカルディメンションを効果的に転写するにはハードマスクが重要になっているというこれらの難題に対処するために、新しいプロセスおよび材料が必要になっている。
ハードマスク層の堆積の共形性は、下にあるトポグラフィ、たとえばパターン形成プロセスを揃えるために使用されるアラインメント鍵をもつ基板上に実現するのが困難である。図1は、フィーチャ111および非共形の非晶質炭素層112が形成された基板100の概略横断面図を示す。非共形の非晶質炭素層112は、フィーチャ111の側壁114を完全に覆うわけではないため、その後のエッチングプロセスの結果、側壁114の望ましくない浸食が生じる可能性がある。非共形の非晶質炭素層112によって側壁114が完全に覆われない結果、非共形の炭素層112下の材料がフォトレジストにより損なわれることもある。これは、電子デバイスを損傷することで知られている。
したがって、トポグラフィフィーチャを有する基板上に共形に堆積できる、集積回路の製作に有用な材料層を堆積させる方法が必要とされている。
本発明の実施形態は、基板上に非晶質炭素層を堆積させることなどによって、基板を処理する方法を提供する。第1の実施形態によれば、この方法は、基板処理チャンバ内に基板を位置決めするステップと、炭素と水素の原子比が1:2より大きい炭化水素源を処理チャンバ内へ導入するステップと、水素、ヘリウム、アルゴン、窒素、およびこれらの組合せからなる群から選択されるプラズマ開始ガスを処理チャンバ内へ導入するステップであり、炭化水素源の体積流量とプラズマ開始ガスの体積流量の比が1:2以上であるステップと、1W/cm以下のRF電力、2トル以上の圧力、および約300℃〜約480℃の温度で処理チャンバ内にプラズマを生成するステップと、基板上に共形の非晶質炭素層を形成するステップとを含む。
別の実施形態では、基板を処理する方法であって、堆積サイクルを実行するステップであって、堆積サイクルが基板の表面上に共形の非晶質炭素材料を形成するステップと、処理チャンバ内にパージプロセスを流すステップとを含むステップと、サイクルを2〜50回繰り返すステップとを含む方法が提供される。
別の実施形態では、基板を処理する方法であって、基板処理チャンバ内に基板を位置決めするステップと、炭素と水素の原子比が1:2より大きい炭化水素源を処理チャンバ内へ導入するステップと、水素、ヘリウム、アルゴン、窒素、およびこれらの組合せからなる群から選択されるプラズマ開始ガスを処理チャンバ内へ導入するステップであり、炭化水素源の体積流量とプラズマ開始ガスの体積流量の比が1:2以上であり、炭化水素源およびプラズマ開始ガスが、基板表面から400ミル〜600ミルに位置決めされたガス分配器によって処理チャンバ内へ導入されるステップと、1W/cm以下のRF電力および約0℃〜約100℃の温度で処理チャンバ内にプラズマを生成するステップと、基板上に共形の非晶質炭素層を形成するステップとを含む方法が提供される。
本発明の上述の特徴を詳細に理解できるように、上記で簡単に要約した本発明についてのより具体的な説明を、実施形態を参照すれば得ることができる。実施形態のいくつかを添付の図面に示す。しかし、本発明は他の等しく効果的な実施形態も許容しうるため、添付の図面は本発明の典型的な実施形態のみを示し、したがって本発明の範囲を限定するものと見なすべきではないことに留意されたい。
(従来技術)フィーチャおよび非共形の非晶質炭素層が形成された基板の概略横断面図である。 フィーチャおよび非晶質炭素層が形成された基板の概略横断面図である。 本発明の実施形態による非晶質炭素層の堆積を実行するために使用できる基板処理システムの概略図である。 A〜Lは、本明細書に記載の非晶質炭素層を使用して材料層をエッチングするプロセスの一実施形態の概略側面図である。 A〜HおよびE’は、空間マスク2重パターン形成プロセスにおいて本明細書に記載の非晶質炭素層を使用するプロセスの一実施形態の概略側面図である。 A〜Jは、本明細書に記載の非晶質炭素層を使用して材料層をエッチングするプロセスの別の実施形態の概略側面図である。 半導体構造における内部に配置された非晶質炭素層の使用の概略側面図である。
理解を容易にするために、可能な場合、複数の図に共通の同一の要素を指すために、同一の参照番号を使用した。一実施形態の要素および/またはプロセスステップを、追加の記述なしで他の実施形態に組み込むことができると有益であることが企図される。
本明細書に記載の実施形態は一般に、化学気相成長システムにおいて改善された共形性で非晶質炭素材料(a−C:H)を堆積させる方法を提供する。層の共形性は通常、フィーチャの側壁上に堆積された層の平均厚さと、基板のフィールドまたは上部表面上の同じ堆積された層の平均厚さとの比(百分率で表すことができる)によって定量化される。本明細書に記載の方法によって堆積された層の共形性は、70%以上、約7:10以上、約80%以上、約4:5以上など、約30%より大きく、約100%、約1:1までであることが観察される。たとえば、図1に示す従来技術の非共形の非晶質炭素層112の一致性は、側壁114上に層の堆積がないため、0%であると見なされる。
具体的には、a−C:H層の改善された共形の堆積の方法が提供される。共形の堆積は、炭素と水素の比が2:3以上など、炭素と水素の比が1:2以上である前駆体を利用することによって、ヘリウム、水素、窒素、アルゴン、またはこれらの組合せの群から選択されるプラズマ開始ガスで、前駆体からプラズマ開始ガスへの流量を増大させ、堆積圧力を増大させ、堆積温度を増大させ、より低いRF電力を印加し、堆積速度が低減されたプラズマ環境で、複数の層内に非晶質炭素を堆積させることによって、およびこれらの組合せで改善することができる。本明細書の堆積プロセスは、適切な処理システムで実行することができる。
図3は、本発明の実施形態による非晶質炭素層の堆積に使用できる基板処理システム、システム300の概略図である。適切なシステムの例には、D×Z(商標)処理チャンバを使用できるCENTURA(登録商標)システム、PRECISION 5000(登録商標)システム、PRODUCER SE(商標)処理チャンバおよびPRODUCER GT(商標)処理チャンバなどのPRODUCER(商標)システムが含まれる。これらはすべて、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されている。
システム300は、プロセスチャンバ325、ガスパネル330、制御ユニット310、ならびに電源および真空ポンプなどの他のハードウェア構成要素を含む。本発明で使用されるシステムの一実施形態の詳細は、本発明の譲受人に譲渡された2002年4月2日出願の米国特許第6,364,954号、「High Temperature Chemical Vapor Deposition Chamber」に記載されている。同特許を、参照により本明細書に組み込む。
プロセスチャンバ325は通常、半導体基板390などの基板を支持するために使用される基板支持台座350を含む。この基板支持台座350は、シャフト360に結合された変位機構(図示せず)を使用して、プロセスチャンバ325内部で垂直方向に動く。プロセスに応じて、半導体基板390を処理前に所望の温度まで加熱することができる。基板支持台座350は、埋め込まれた加熱器素子370によって加熱される。たとえば、基板支持台座350は、電源306から加熱器素子370へ電流を印加することによって、抵抗加熱することができる。半導体基板390は、基板支持台座350によって加熱される。また、基板支持台座350の温度を監視するために、基板支持台座350内には熱電対などの温度センサ372が埋め込まれる。測定された温度は、加熱器素子370に対する電源306を制御するために、フィードバックループ内で使用される。基板温度は、特定のプロセス適用分野に対して選択される温度で維持または制御することができる。
プロセスチャンバ325を排気するため、そしてプロセスチャンバ325内部で適当なガス流および圧力を維持するために、真空ポンプ302が使用される。プロセスガスが中を通ってプロセスチャンバ325内へ導入されるシャワーヘッド320が、基板支持台座350より上に配置され、プロセスガスをプロセスチャンバ325内へ均一に分配するように適合される。シャワーヘッド320はガスパネル330に接続され、ガスパネル330は、プロセスシーケンスの異なるステップで使用される様々なプロセスガスを制御および供給する。プロセスガスは、炭化水素源およびプラズマ開始ガスを含むことができ、例示的なアルゴン希釈堆積プロセスの説明とともに以下により詳細に説明する。
また、ガスパネル330を使用して、様々な気化させた液状前駆体を制御および供給することもできる。図示しないが、液状前駆体供給部からの液状前駆体は、たとえば液体注入気化器によって気化させて、キャリアガスの存在下でプロセスチャンバ325へ送達することができる。キャリアガスは通常、窒素などの不活性ガス、またはアルゴンもしくはヘリウムなどの希ガスである。別法として、液状前駆体は、熱および/または真空強化気化プロセスによってアンプルから気化させることができる。
シャワーヘッド320および基板支持台座350はまた、1対の離隔された電極を形成することができる。これらの電極間に電界が生成されるとき、チャンバ325内へ導入されたプロセスガスはプラズマ392に着火される。通常、電界は、整合ネットワーク(図示せず)を通って基板支持台座350と単一周波数または2重周波数の無線周波数(RF)電力源(図示せず)とを接続することによって生成される。別法として、RF電源および整合ネットワークをシャワーヘッド320に結合させることができ、またはシャワーヘッド320と基板支持台座350の両方に結合させることができる。
PECVD技法では、基板表面付近の反応区間に電界を印加することによって反応ガスの励起および/または電離を促進し、反応種のプラズマを生じさせる。プラズマ内の種の反応性は、化学反応を発生させるのに必要なエネルギーを低減させ、実際には、そのようなPECVDプロセスに必要な温度を下げる。
ガスパネル330によるガスおよび液体流の適当な制御および加減は、流体質量制御装置(図示せず)およびコンピュータなどの制御ユニット310によって実行される。シャワーヘッド320により、ガスパネル330からのプロセスガスをプロセスチャンバ325内へ均一に分配および導入することができる。例示的には、制御ユニット310は、中央演算処理装置(CPU)312と、支持回路314と、メモリを包含する関連する制御ソフトウェア316とを含む。この制御ユニット310は、基板輸送、ガス流制御、液体流制御、温度制御、チャンバ排気など、基板処理に必要な多数のステップの自動制御を行う。プロセスガス混合物がシャワーヘッド320から出るとき、半導体基板390の表面395上で炭化水素化合物のプラズマ強化熱分解が発生し、その結果、半導体基板390上に非晶質炭素層が堆積される。
堆積プロセス
本発明の態様は、a−C:H層の改善された共形の堆積を実現する。改善された共形の堆積は、炭素と水素の比が2:3以上など、炭素と水素の比が1:2以上である前駆体を利用するプロセスによって実現することができ、ヘリウム、水素、窒素、アルゴン、またはこれらの組合せの群から選択されるプラズマ開始ガスで実現することができ、前駆体からプラズマ開始ガスへの流量を増大させて実現することができ、堆積圧力を増大させて実現することができ、堆積温度を増大させて実現することができ、より低いRF電力を印加して実現することができ、堆積速度が低減されたプラズマ環境で実現することができ、ガス分配器と基板表面の間の間隔を増大させて実現することができ、複数の層内に非晶質炭素を堆積させることによって実現することができ、およびこれらの組合せによって実現することができる。本明細書に記載のプロセスは、低減された堆積速度および/またはより等方性の堆積プロセスを実現し、それによってより共形の堆積プロセスを提供すると考えられる。
堆積プロセスの一態様では、図3に関連して上述のプロセスチャンバ325などの処理チャンバ内へ炭化水素源およびプラズマ開始ガスを導入することを含むプロセスによって、a−C:H層が形成される。炭化水素源は、1つまたは複数の炭化水素化合物の混合物、および任意選択でアルゴンなどのキャリアガスである。
1つまたは複数の炭化水素化合物は、炭素原子と水素原子の比が1:2以上、たとえば1:2より大きい化合物を含むことができる。たとえば、炭素と水素(または水素に対するフッ素などの置換基)の比が2:3〜2:1、たとえば約2:3〜約3:2など、2:3以上であれば、改善された一致性で非晶質炭素膜を作製することが観察される。記載の炭素と水素の原子比をもつそのような炭化水素化合物は、堆積プロセスをより等方性にすると考えられる。
炭化水素化合物は、本発明の方法からの利益を得られる炭化水素化合物の部分的または完全にドープされた誘導体とすることができる。誘導体には、炭化水素化合物の窒素、フッ素、酸素、ヒドロキシル基、およびホウ素含有誘導体が含まれる。
通常、炭化水素源内に含まれうる炭化水素化合物または炭化水素化合物の誘導体は、式Cによって記述することができる。上式で、Aは1〜24の範囲を有し、Bは0〜50の範囲を有し、Cは0〜50の範囲を有し、AとB+Cの比は1:2以上であり、たとえば1:2より大きい。たとえば、AとB+Cの比は、2:3〜2:1など、2:3以上とすることができ、さらなる例では2:3〜3:2とすることができる。一実施形態では、C=0である場合、炭化水素源は、式CxHyを有することができる。上式で、2/3≦x/y≦3/2であり、x/yはそれぞれの原子の数である。別法として、酸素および/または窒素置換化合物の場合、炭化水素源は、式Cによって記述することができる。上式で、Aは1〜24の範囲を有し、Bは0〜50の範囲を有し、Cは0〜10の範囲を有し、Dは0〜50の範囲を有し、Eは0〜10の範囲を有し、AとB+C+D+Eの比は1:2以上であり、たとえば1:2より大きい。たとえば、AとB+C+D+Eは、2:3〜2:1など、2:3以上とすることができ、さらなる例では2:3〜3:2とすることができる。
適切な炭化水素化合物には、たとえば、アセチレン(C)、ビニルアセチレン、およびこれらの誘導体などのアルキン類、ベンゼン、スチレン、トルエン、キシレン、ピリジン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フランなどの芳香族炭化水素類、α−テルピネン、シメン、1,1,3,3,−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチル−メタクリレート、およびt−ブチルフルフリルエーテル、式CおよびCを有する化合物、モノフルオロベンゼン、ジフルオロベンゼン類、テトラフルオロベンゼン類、ヘキサフルオロベンゼンなどを含むハロゲン化芳香族化合物という化合物の1つまたは複数が含まれる。追加の適切な炭化水素には、エチレン、プロピレン、ブチレン、ペンテンなどのアルケン類、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンなどのジエン類、およびモノフルオロエチレン、ジフルオロエチレン類、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン類、トリクロロエチレン、テトラクロロエチレンなどを含むハロゲン化アルケン類が含まれる。炭素原子と水素原子の比が1:2より大きい前駆体の一例はCであり、ジアセチレンとすることができる。
さらに、本発明は、炭素原子と水素原子の比が5:1など、3:1以上、たとえば10:1以上である前駆体の使用を企図する。
炭素と水素の比が増大するにつれて、堆積中に炭素原子が隣接する炭素原子と結合し、その結果、網状の複雑な3次元の短距離秩序構造を形成することによって、堆積された膜の共形性がより良好になると考えられる。
a−C:H堆積プロセスは、炭化水素化合物の前および/または炭化水素化合物と同時にチャンバ内へ導入されるプラズマ開始ガスを使用することを含み、プラズマを開始して堆積を始める。プラズマ開始ガスは、それだけに限定されるものではないが、ヘリウムガス、水素ガス、窒素ガス、アルゴンガス、およびこれらの組合せを含むイオン化電位の高いガスとすることができる。中でも、ヘリウムガスが好ましい。プラズマ開始ガスはまた、ヘリウムガス、窒素ガス、またはアルゴンガスなどの化学的に不活性のガスとすることができ、アルゴンガスが好ましい。ガスに対して適切なイオン化電位は、約5eV(電子電位)〜25eVである。プラズマ開始ガスは、炭化水素源の前にチャンバ内へ導入することができ、それによって安定したプラズマを形成することができ、アーク発生の機会を低減させる。高いイオン化電位でプラズマ開始ガスを使用すると、堆積中に異方性のより低い膜のエッチングを実現し、それによって非晶質炭素膜の堆積における共形性を改善することが観察されている。プラズマ開始ガス、炭化水素源、またはこれらの組合せとともに、希釈(dilutant)ガスなどの不活性ガスまたはアルゴンなどのキャリアガスを導入することができる。
炭化水素化合物およびプラズマ開始ガスは、非晶質炭素の堆積の場合、約1:100以上、たとえば約1:10〜約10:1など、約1:100〜100:1の炭化水素化合物とプラズマ開始ガスの流れ比で導入することができる。一実施形態では、炭化水素化合物とプラズマ開始ガスの流れ比は、約1:5〜約2:1など、約1:5以上、たとえば約1:2〜約1:1とすることができ、非晶質炭素の堆積に使用することができる。炭化水素化合物とプラズマ開始ガスの流れ比を増大させると、比がより低い場合と比べて、改善された共形性を提供することが観察されている。
a−C:H層は、約2トル〜約20トルなど、約2トル以上、一実施形態では約7トル以上、たとえば約7トル〜約9トルのチャンバ圧力を維持することによって、処理ガスから堆積させることができる。共形性は、圧力の増大とともに増大することが観察されており、イオンは、基板に到達する前にさらなる散乱を受け、それによってエッチ能力を一部失い、ラジカルは、さらなる散乱を受け、より等方性かつ共形の膜成長のためによりランダムかつ等方性の角度で基板表面に達すると考えられる。
a−C:H層は、基板温度を約0℃〜約100℃の温度または約300℃〜約480℃の温度、たとえば約400℃〜約450℃など、約0℃〜約800℃で維持するチャンバ内で、炭化水素源から堆積させることができる。温度を増大させて非晶質炭素膜を堆積させると、堆積速度を低減させ、したがって共形性を改善することが観察されている。また、温度を増大させると、吸着された炭素前駆体の拡散率または移動度が増大し、より等方性の堆積および改善された共形性が得られる。
さらに、a−C:H層はまた、基板温度を約100℃未満で維持するチャンバ内で炭化水素源から堆積されるとき、より共形に堆積させることができる。たとえば、基板表面から310ミル離隔されたシャワーヘッドを通って、9トルの圧力および75℃で維持されたプロセスチャンバへ3800sccmのCおよび6000sccmのヘリウムを提供し、30ワットの高周波電力を印加することによりプラズマを生成することによって、a−C:H層が堆積された。解析された堆積層は、77.8%の共形性(共形性の測定単位は、フィーチャの側壁上に堆積された非晶質炭素層の平均厚さSと基板の上部表面上の非晶質炭素層の平均厚さTとの比として定義される)を示した。同様に、基板の上部表面上の非晶質炭素層の厚さTと比較したフィーチャの底部上の非晶質炭素層の厚さの比が72.2%であることも観察された。
高密度のフィーチャ画定部、すなわち1600nm当たり約9個のフィーチャで、基板の上部表面上の非晶質炭素層の厚さTと比較したフィーチャの底部上の非晶質炭素層の厚さの比が72.2%であったことと比較すると、100℃未満の温度で、ヘリウム流量を低減させて、たとえば約3000sccmのヘリウムで堆積させると、フィーチャ画定部の底部上の堆積厚さに実質的な改善をもたらすことも驚くべきことであり、意外にも発見された。
層を堆積させるときのシャワーヘッドと基板表面の間の間隔を、400ミル〜600ミルの間隔などに、たとえば約500ミルの間隔に増大させると、堆積された非晶質炭素層の共形性が改善したことも観察されている。たとえば、シャワーヘッド間隔が310ミルと比較すると500ミルであることを除いて、低温の堆積に関する前段落と同じ条件下で、第2の非晶質炭素層が堆積された。解析された第2の堆積層は、90.9%〜91.7%の共形性(共形性の測定単位は、フィーチャの側壁上に堆積された非晶質炭素層の平均厚さSと基板の上部表面上の非晶質炭素層の平均厚さTとの比として定義される)を示した。同様に、より低密度のフィーチャ画定部、1600nm当たり1つのフィーチャ画定部など、4つ未満のフィーチャ画定部と比較すると、高密度のフィーチャ画定部、すなわち1600nm当たり約4〜20個のフィーチャ、たとえば9個のフィーチャ画定部など、異なる密度を有するフィーチャパターンにおいて、基板の上部表面上の非晶質炭素層の厚さTと比較したフィーチャの底部上の非晶質炭素層の厚さの比が90.9%〜91.7%であることも観察された。
500ミル間隔の非晶質炭素層はまた、310ミル間隔の堆積プロセスの堆積速度が300Å/分であることと比較すると、約138Å/分の堆積速度を有することが観察された。
炭化水素源およびプラズマ開始ガスがチャンバ内へ導入され、プラズマを開始して堆積を始める。2重周波数RFシステムを使用して、プラズマを生成することができる。2重周波数RF電力を印加すると、膜表面に当たるイオンのエネルギーが膜密度に影響を及ぼすと考えられるため、流束およびイオンエネルギーの独立した制御を提供すると考えられる。高周波のプラズマは、プラズマ密度を制御し、低周波のプラズマは、基板表面に当たるイオンの運動エネルギーを制御すると考えられる。混合されたRF電力の2重周波源は、約10MHz〜約30MHzの範囲内、たとえば約13.56MHzの高周波電力、ならびに約10KHz〜約1MHzの範囲内、たとえば約350KHzの低周波電力を提供する。2重周波数RFシステムを使用してa−C:H膜を堆積させるとき、第2のRF電力と混合された総周波電力の比は、約0.6対1.0(0.6:1)未満であることが好ましい。印加されたRF電力および1つまたは複数の周波数の使用は、基板寸法および使用される機器に基づいて変動することがある。単一周波数RF電力の印加を使用することができ、通常、本明細書に記載の高周波電力が印加される。
約0.01〜約1W/cmなど、約0.01W/cm〜約5W/cm、たとえば約0.1W/cmの基板表面積に対する電力密度でRF電力を印加することによって、プラズマを生成することができる。電力の印加は、300mmの基板の場合、約10W〜約200Wなど、約1ワット〜約2000ワット、たとえば約20Wで行うことができる。電極間隔、すなわち基板とシャワーヘッドの間の距離は、約200ミル〜約1000ミルとすることができる。
いかなる特定の理論にも固執しないが、プラズマプロセスでは、炭化水素化合物を作るエネルギーイオン、すなわちよりランダムな堆積パターンで基板表面に達するラジカルの数を低減させることによって、非晶質炭素の堆積速度を低減させて共形性を改善し、それによってその結果生じる膜成長により等方性の堆積パターンを提供すると考えられる。また、プラズマ堆積を低減させると、堆積速度がより遅くなることが観察され、それにより吸着された炭素前駆体を基板の表面上に拡散させてより共形の層を提供することができる。
300mmの円形の基板を処理する例示的な堆積プロセスは、ヘリウムなどのプラズマ開始ガスおよびアセチレン(C)などの炭化水素源を用いる。このプロセスは、約400sccm〜約8000sccmの流量でヘリウムなどのプラズマ開始ガスを供給するステップと、約400sccm〜8000sccmの流量でアセチレン(C)などの炭化水素源を供給するステップと、約10W〜約2000Wの2重周波数RF電力を印加するステップと、チャンバ圧力を約2トル〜約20トルで維持するステップと、チャンバ温度を約25℃〜約475℃で維持するステップとを含むことができる。このプロセス範囲は、約30%〜約100%の一致性(フィーチャの側壁上に堆積された層の平均厚さと、基板のフィールドまたは上部表面上の同じ堆積された層の平均厚さとの比)で、a−C:H層に対して、約10Å/分〜約30000Å/分の範囲内の堆積速度を提供する。本明細書の開示を読めば、異なる堆積速度のa−C:H膜を作製するための適切なプロセスパラメータを、当業者には計算することができる。
堆積プロセスの一実施形態では、複数の個々の非晶質炭素の堆積を実行して、非晶質炭素層を形成する。複数の堆積プロセスの一態様では、本明細書に記載のものなどの堆積ステップに、停止ステップが続く。停止ステップでは、低減させた堆積速度または無堆積速度で、プラズマ開始ガス、希釈ガス、および/または前駆体を流すことができる。適切なプラズマ開始ガス、希釈ガス、および/または前駆体を、約100sccm〜約40000sccmの流量でチャンバ内へ流すことができる。プラズマ開始ガスおよび/または希釈ガスが使用される場合、停止ステップに対してプラズマを開始することができる。次いで、所望の厚さが得られるまで、堆積および停止ステップを繰り返すことができ、10〜50サイクルなど、1〜100サイクル、たとえば30サイクル行うことができ、または別法として、非晶質炭素材料の厚さの約2%〜約10%など、約1%〜約100%、たとえば約3.3%だけ堆積させることができる。個々のサイクルでは、各サイクルに対して約1Å〜約1000Åの厚さで非晶質炭素材料を堆積させて、約10Å〜約15000Åの厚さを有する非晶質炭素層を形成することができる。周期的な堆積プロセスは、上述のプロセスパラメータ調整の1つまたは複数を使用することができる。
別法として、堆積ステップ前または停止ステップ中に、ガスを汲み出して再び流すこともできる。
多層堆積方式は、有効な膜堆積速度を下げ、共形性を改善すると考えられる。また、新しく堆積された炭素原子は、停止ステップ中に拡散し、共形性をさらに改善することができる。一般に、共形性は、所与の非晶質炭素膜の厚さに対して層の数が増大する(個々の層厚さがより小さく、繰返しがより多い)とき、および停止ステップ時間と堆積ステップ時間の比がより高い(有効な堆積速度が遅い)ときに改善される。たとえば、停止ステップ時間と堆積ステップ時間の比は、約100:1〜約1:100とすることができる。個々の層厚さおよび停止と堆積の時間比を調整することによって、デバイスの必要を満たすように、非晶質炭素膜の共形性を適応させることができ、したがって所与のPECVD堆積条件(前駆体、ガス、流量、圧力、温度、RF電力など)に対して共形性を改善する別の解決策を提供することができる。
本発明の方法の1つの主な利点は、図2に示すように、他のa−C:H堆積プロセスと比べて共形性が強化されることである。図2は、フィーチャ201および非晶質炭素層202が形成された基板200の概略横断面図を示す。非晶質炭素層202は、本発明の方法を使用して堆積された膜の典型的な外観を示す。定性的には、非晶質炭素層202は、共形性が高く、フィーチャ201の側壁204および床203を完全に覆う。定量的には、非晶質炭素層202は、約30%〜約100%程度の共形性を有することができる。たとえば約70%〜約90%であり、共形性(共形性の測定単位)は、側壁204上に堆積された非晶質炭素層202の平均厚さSと基板200の上部表面205上の非晶質炭素層202の平均厚さTとの比として定義される。図2を再び参照すると、非共形の非晶質炭素層202は、約5%の共形性を有することを示す。
本明細書に記載のプロセスによって堆積された非晶質炭素材料の実施例は、以下のとおりである。
比較例:非晶質炭素堆積プロセスの比較例は、約380ミルの間隔を空けて、処理チャンバへ約400sccmの流量のヘリウムを提供し、処理チャンバへ約8000sccmの流量のアルゴンを提供するステップと、処理チャンバへ約600sccmの流量のCを提供するステップと、約1250Wで高周波RF電力(13.56MHz)を印加するステップと、約300℃の堆積温度を維持するステップと、約4.5トルのチャンバ圧力を維持するステップとを含み、高密度領域と開放領域の段差被覆で約20%の共形性(共形性の測定単位)を有する非晶質炭素層を作製する。
第1の実施例では、約300ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約50Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとによって、非晶質炭素層を堆積して187Å/分の堆積速度をもたらし、約83%の高密度領域と約96%の開放領域の段差被覆の共形性(共形性の測定単位)を実現することが観察された。すべての実施例は、高さと幅のアスペクト比が約2.1:1であるフィーチャ上で実行された。
第2の実施例では、約300ミルの間隔を空けて、処理チャンバへ約2000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約100Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとによって、非晶質炭素層を堆積して516Å/分の堆積速度をもたらし、約82%の高密度領域と約86%の開放領域の段差被覆の共形性(共形性の測定単位)を実現することが観察された。第1の実施例および第2の実施例は、高さと幅のアスペクト比が約2.1:1であるフィーチャ上で実行された。
第3の実施例では、約300ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約20Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとによって、非晶質炭素層を堆積して64Å/分の堆積速度をもたらし、約93%の高密度領域と約97%の開放領域の段差被覆(共形性の測定単位)を実現することが観察された。
第4の実施例では、約310ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約1000Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約7トルのチャンバ圧力を維持するステップとによって、非晶質炭素層が堆積された。
第5の実施例では、堆積ステップと、それに続くヘリウムパージステップの14回の堆積サイクルによって、非晶質炭素層が堆積された。堆積ステップは、約300ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約100Wで高周波RF電力(13.56MHz)を印加するステップと、約300℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとを含み、909Å/分の堆積速度をもたらし、約84%の高密度領域の被覆(共形性の測定単位)を実現することが観察された。ヘリウムパージステップは、Cの流れがなく、RF電力が印加されないことを除いて、同じプロセスパラメータ下で実行された。
第6の実施例では、堆積ステップと、それに続くヘリウムパージステップの14回の堆積サイクルによって、非晶質炭素層が堆積された。堆積ステップは、約300ミルの間隔を空けて、処理チャンバへ約400sccmの(低)流量のヘリウムを提供するステップと、処理チャンバへ約400sccmの流量のCを提供するステップと、約100Wで高周波RF電力(13.56MHz)を印加するステップと、約300℃の堆積温度を維持するステップと、約7トルのチャンバ圧力を維持するステップとを含み、909Å/分の堆積速度をもたらし、67%の側壁と上部の一致性、94%の側壁と底部の一致性、および72%の底部と上部の一致性を実現することが観察された。ヘリウムパージステップは、Cの流れがなく、RF電力が印加されないことを除いて、同じプロセスパラメータ下で実行された。
第7の実施例では、異なる電力範囲で堆積された非晶質炭素層の比較を行った。どちらのプロセスでも、非晶質炭素は、約300ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約50Wまたは20Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとによって堆積された。50Wの堆積プロセスでは、200Å/分の堆積速度で82%の側壁と上部の一致性をもたらし、20Wの堆積プロセスでは、64Å/分の堆積速度で93〜97%の側壁と上部の一致性をもたらした。
第8の実施例では、複数のサイクルと単一ステップの堆積で堆積された非晶質炭素層の比較を行った。どちらのプロセスでも、非晶質炭素は、約300ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約100Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとによって堆積された。単一ステップの堆積プロセスでは、51%の側壁と上部の一致性、87%の側壁と底部の一致性、および59%の底部と上部の一致性をもたらした。周期的な堆積ステップは、堆積と、それに続くヘリウムパージステップの14サイクルを含み、71%の側壁と上部の一致性、92%の側壁と底部の一致性、および77%の底部と上部の一致性をもたらした。ヘリウムパージステップは、RF電力が印加されず、Cが提供されないが、同じ条件下で実行された。
第9の実施例では、2つの周期的プロセスと単一ステップの堆積で堆積された非晶質炭素層の比較を行った。どちらのプロセスでも、非晶質炭素は、約300ミルの間隔を空けて、処理チャンバへ約4000sccmの流量のヘリウムを提供するステップと、処理チャンバへ約2000sccmの流量のCを提供するステップと、約50Wで高周波RF電力(13.56MHz)を印加するステップと、約400℃の堆積温度を維持するステップと、約9トルのチャンバ圧力を維持するステップとによって堆積された。単一ステップの堆積プロセスでは、25WのRF電力の印加を使用し、高密度構造に対して56%の側壁と上部の一致性をもたらし、開放構造に対して87%の側壁と上部の一致性をもたらした。第1の周期的プロセスの第1の一連の周期的プロセスでは、上述の25回の堆積およびヘリウム冷却サイクルをそれぞれ20Åで7秒にわたって使用し、高密度構造に対して55%の側壁と上部の一致性をもたらし、開放構造に対して82%の側壁と上部の一致性をもたらした。第2の一連のプロセスでは、10回の堆積およびヘリウムサイクルをそれぞれ50Åで15秒にわたって使用し、高密度構造に対して54%の側壁と上部の一致性をもたらし、開放構造に対して75%の側壁と上部の一致性をもたらした。第2のプロセスの第1の一連の周期的プロセスでは、7秒間の堆積サイクルを25回、それぞれ20Åで使用し、高密度構造に対して78%の側壁と上部の一致性をもたらし、開放構造に対して89%の側壁と上部の一致性をもたらした。第2の一連のプロセスでは、15秒間の堆積サイクルを10回、それぞれ50Åで使用し、高密度構造に対して69%の側壁と上部の一致性をもたらし、開放構造に対して89%の側壁と上部の一致性をもたらした。第3の一連のプロセスでは、7秒間の堆積ステップおよび13秒間の安定化ステップのサイクルを25回、それぞれ20Åで使用し、高密度構造に対して55%の側壁と上部の一致性をもたらし、開放構造に対して92%の側壁と上部の一致性をもたらした。
図4A〜4Lは、本明細書に記載の非晶質炭素層を使用して材料層をエッチングするプロセスの概略側面図である。基板表面(図示せず)上に基材410を堆積させて、材料スタック400の形成を始める。この基材は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含めて、半導体デバイスを形成するのに使用される1つまたは複数の材料とすることができる。図4Bに示すように、基材410上に第1の非晶質炭素層420が堆積され、第1の非晶質炭素層420上に第1の反射防止被覆材料430が堆積される。非晶質炭素層は、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているAdvanced Patterning Film(商標)(APF)材料とすることができ、または別法として本明細書に記載の非晶質炭素材料とすることができる。第1の反射防止被覆材料430は、リソグラフィパターン形成プロセス中に光の反射を制御するために使用される。第1の反射防止被覆材料430は、二酸化シリコン、酸窒化シリコン、窒化シリコン、またはこれらの組合せを含むことができる。反射防止被覆材料は、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているDARC(商標)材料層とすることができる。
図4Cに示すように、第1の反射防止被覆材料上に、第2の非晶質炭素層440および第2の反射防止被覆材料450を順次堆積させることができる。第2の非晶質炭素層440および第2の反射防止被覆材料450は、層420および第1の反射防止被覆材料430のために堆積された材料と同じ材料とすることができる。次いで、図4Dに示すように、第2の反射防止被覆材料450上に、フォトレジスト材料などのレジスト層460が堆積される。次いで、図4Eに示すように、リソグラフィプロセスによってレジスト層にパターン形成し、パターン付きのレジスト層461を作製する。1つまたは複数のエッチングプロセスにより、まず第2の反射防止被覆材料450をエッチングし、次いで第2の非晶質炭素層440をエッチングすることによって、レジスト層461内に形成された第1のパターン462を第2の非晶質炭素層440へ転写して、図4Fに示すように、パターン付きの第2の非晶質炭素層441を形成する。パターン付きの第2の非晶質炭素層441は、下にある材料に対するハードマスクとして機能することができる。第2の反射防止被覆材料450は、1つもしくは複数のエッチングプロセスによって、または別個のプロセスによって除去することができる。
図4Gに示すように、第1の反射防止被覆材料430およびパターン付きの第2の非晶質炭素層441上に、共形の非晶質炭素層470が堆積される。共形の非晶質炭素層は、本明細書に記載のプロセスのいずれかによって堆積させることができる。異方性エッチプロセスによって共形の非晶質炭素層470にパターン形成して、図4Hに示すように、側壁非晶質炭素材料471を実現することができる。側壁非晶質炭素材料471の存在により、現在のフォトリソグラフィプロセスによって通常実現できるものよりクリティカルディメンションおよびフィーチャ寸法を低減させて、すなわちパターン密度を増大させて、第2のパターン472の形成が可能になる。パターン付きの第2の非晶質炭素層441は、側壁非晶質炭素材料471とともに、下にある第1の反射防止被覆材料430および第1の非晶質炭素層420に対するハードマスク層として機能することができる。
次いで、第1の反射防止被覆材料430をエッチングして、図4Iに示すように、第2のパターン472をもつパターン付きの反射防止被覆層431を形成する。パターン付きの第2の非晶質炭素層441および側壁非晶質炭素材料471は、エッチングプロセス中に、またはその後のプロセスによって、除去される。次いで、第1の非晶質炭素層420をエッチングして、第2のパターン472を有するパターン付きの第1の非晶質炭素層421を形成し、下にある基材410へ転写する。次いで、図4Kに示すように、パターン付きの第1の非晶質炭素層421をハードマスク層として使用して基材410をエッチングし、図4Lに示すように、パターン付きの第1の非晶質炭素層421を除去して、第2のパターン472を有するパターン付きの基材411を基板表面に提供する。
代替実施形態では、図4F〜4Lに対するパターン付きの第2の非晶質炭素層441の代わりにパターン付きのレジスト材料が使用され、それによって、図4C〜4Eのパターン付きの第2の非晶質炭素層440および第2の反射防止被覆材料450ならびに対応する堆積ステップおよびエッチングステップの必要をなくす。
図5A〜5Hは、空間マスク2重パターン形成プロセスで本明細書に記載の非晶質炭素層を使用するプロセスの概略側面図である。基板表面上に基材510を堆積させて、材料スタック500の形成を始める。この基材は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含めて、半導体デバイスを形成するのに使用される1つまたは複数の材料とすることができる。図5Aに示すように、基材510上に第1の非晶質炭素層520が堆積され、第1の非晶質炭素層520上に、第1のパターン532を有するパターン付きのレジスト層530が形成される。非晶質炭素層は、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているAdvanced Patterning Film(商標)(APF)材料とすることができ、または別法として本明細書に記載の非晶質炭素材料とすることができる。レジスト層530には、リソグラフィプロセスによってパターン形成される。次いで、パターン付きのレジスト層530をトリミングプロセスにかけ、それによってパターン付きのレジスト材料の幅を狭くし、図5Bに示すように、トリミングされたレジスト材料531によって画定される第2のパターン533を形成する。次いで、第1の非晶質炭素層520をエッチングして、第2のパターン533を転写し、図5Cに示すように、パターン付きの非晶質炭素層521を形成する。
次いで、パターン付きの非晶質炭素層521構造に隣接して、側壁スペーサ540が形成される。スペーサは、第1の非晶質炭素層または共形の非晶質炭素材料とは異なるエッチング速度を有するエッチング可能な材料を含むことができる。適切な材料には、たとえば、二酸化シリコン、酸窒化シリコン、窒化シリコン、またはこれらの組合せが含まれる。次いで、図5Eに示すように、側壁スペーサ540およびパターン付きの非晶質炭素層521構造上に、共形の非晶質炭素材料の間隙充填層550が堆積される。共形の非晶質炭素材料は、本明細書に記載のプロセスのいずれかによって堆積させることができる。好ましい共形の非晶質炭素材料は、パターン付きの非晶質炭素層521と類似のエッチ特性を有する材料である。次いで、図5Fに示すように、間隙充填層550をエッチングして、側壁スペーサ540を再び露出させる。次いで、側壁スペーサ540をエッチングし、図5Gに示すように、基材510を露出させてハードマスク層551を画定する。次いで、基材510をエッチングしてパターン形成し、図5Hに示すように、パターン付きの基材511を形成する。
代替のプロセスでは、図5Dに示すようにパターン付きの非晶質炭素層521構造に隣接して側壁スペーサ540が形成された後、パターン付きの非晶質炭素層521が基板表面から剥がされる。次いで、側壁スペーサ540は、図5E’に示すようなパターンを形成する。このパターンは、基材510に対するハードマスクとして使用することができる。次いで、基材510をエッチングしてパターン形成し、パターン付きの基材511を形成することができる。
図6A〜6Jは、たとえば100℃未満の非晶質炭素の堆積プロセスによって、本明細書に記載の非晶質炭素層を使用して材料層をエッチングするプロセスの概略側面図である。基板表面(図示せず)上に基材610を堆積させて、材料スタック600の形成を始める。この基材は、シリコン基板材料、酸化物材料、ポリシリコン材料などを含めて、半導体デバイスを形成するのに使用される1つまたは複数の材料とすることができる。図6Bに示すように、基材610上に第1の非晶質炭素層620が堆積され、第1の非晶質炭素層620上に反射防止被覆材料630が堆積される。非晶質炭素層は、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているAdvanced Patterning Film(商標)(APF)材料とすることができ、または別法として本明細書に記載の非晶質炭素材料とすることができる。反射防止被覆材料630は、リソグラフィパターン形成プロセス中に光の反射を制御するために使用される。反射防止被覆材料630は、二酸化シリコン、酸窒化シリコン、窒化シリコン、またはこれらの組合せを含むことができる。反射防止被覆材料は、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているDARC(商標)材料層とすることができる。
次いで、図6Cに示すように、反射防止被覆材料630上に、フォトレジスト材料などのレジスト層640が堆積される。次いで、図6Dに示すように、リソグラフィプロセスによってレジスト層にパターン形成し、パターン付きのレジスト層641を作製する。パターン付きのレジスト層641は、第1のエッチパターン642を形成する。
図6Eに示すように、本明細書に記載のプロセスおよび共形性によって、パターン付きのレジスト層641上に、第2の非晶質炭素層650が共形または実質上共形に堆積される。共形の非晶質炭素層は、本明細書に記載のプロセスのいずれかによって堆積させることができる。一実施例では、第2の非晶質炭素材料は、100℃未満の堆積プロセスによって堆積される。第2の非晶質炭素層650をエッチングしてパターン形成し、図6Fに示すように、第1のエッチパターンより低減された、たとえば狭いフィーチャ寸法を有する第2のエッチパターン652を形成する。異方性エッチプロセスによって共形の第2の非晶質炭素層650にパターン形成して、側壁非晶質炭素材料651を実現する。
側壁非晶質炭素材料651の存在により、現在のフォトリソグラフィプロセスによって通常実現できるものよりクリティカルディメンションおよびフィーチャ寸法を低減させて、すなわちパターン密度を増大させて、第2のエッチパターン652の形成が可能になる。そのようなプロセスによって、レジスト層内に形成されるフィーチャ画定部のクリティカルディメンションなどの寸法を低減(「収縮」)させて、下にある層内でより微細なフィーチャパターンを実現することができる。パターン付きのレジスト層641は、側壁非晶質炭素材料651とともに、下にある反射防止被覆材料630および第1の非晶質炭素層620に対するハードマスク層として機能することができる。
1つまたは複数のエッチングプロセスにより、図6Gに示すように反射防止被覆材料630をまずエッチングし、次いで図6Hに示すように第1の非晶質炭素層620をエッチングすることによって、側壁非晶質炭素材料651およびレジスト層641で形成された第2のエッチパターン652を第1の非晶質炭素層620へ転写して、パターン付きの第1の非晶質炭素層621を形成する。パターン付きの第1の非晶質炭素層621は、下にある基材610に対するハードマスクとして機能することができる。反射防止被覆材料631は、1つもしくは複数のエッチングプロセスによって、または下にある材料をエッチングする前の別個のプロセスによって、除去することができる。
次いで、第1の非晶質炭素層620をエッチングして、図6Hに示すように、第2のエッチパターン652を有するパターン付きの第1の非晶質炭素層621を形成し、下にある基材610へ転写する。次いで、図6Iに示すように、パターン付きの第1の非晶質炭素層621をハードマスク層として使用して基材610をエッチングし、図6Jに示すように、パターン付きの第1の非晶質炭素層621を除去して、第2のエッチパターン652を有するパターン付きの基材611を基板表面に提供する。
図7は、半導体構造における内部に配置された非晶質炭素層の使用の概略側面図である。図7は、本明細書に記載のプロセスの1つによって堆積された非晶質炭素層を、逆T字ゲート700に対する犠牲側壁マスクとして使用することを開示する。基板710上に、トンネル酸化物層720が堆積される。トンネル酸化物層上にドープされたポリシリコン層730が堆積され、ドープされたポリシリコン層730上に高熱酸化物(HTO)層740が堆積される。高熱酸化物(HTO)740上に、マスク層750が堆積される。マスク層750にパターン形成し、酸化物層740およびドープされたポリシリコン層730をエッチングして、フィーチャ755を形成する。本明細書に記載の方法の1つによって、エッチ基板表面を覆って非晶質炭素層760を堆積させて、形成されたフィーチャ755の側壁被覆を形成する。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することができ、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 基板上に非晶質炭素層を形成する方法であって、
    基板処理チャンバ内に基板を位置決めするステップと、
    炭素と水素の原子比が1:2より大きい炭化水素源を前記処理チャンバ内へ導入するステップと、
    水素、ヘリウム、アルゴン、窒素、およびこれらの組合せからなる群から選択されるプラズマ開始ガスを前記処理チャンバ内へ導入するステップであり、前記炭化水素源の体積流量とプラズマ開始ガスの体積流量の比が1:2以上であるステップと、
    1W/cm以下のRF電力、2トル以上の圧力、および約300℃〜約480℃の温度で前記処理チャンバ内にプラズマを生成するステップと、
    前記基板上に共形の非晶質炭素層を形成するステップと
    を含む方法。
  2. 前記非晶質炭素層を形成した後、パージ処理ステップを実行するステップをさらに含む、請求項1に記載の方法。
  3. 前記非晶質炭素形成プロセスステップおよび前記パージ処理ステップを約2〜約50サイクル繰り返すステップをさらに含む、請求項2に記載の方法。
  4. 前記水素の前駆体、前記プラズマ開始ガス、またはこれらの両方とともに、前記処理チャンバ内へ希釈ガスを導入するステップをさらに含む、請求項1に記載の方法。
  5. 前記炭化水素源の炭素と水素の原子比が2:3以上であり、前記炭化水素源が、アセチレン、ビニルアセチレン、ベンゼン、スチレン、トルエン、キシレン、ピリジン、アセトフェノン、フェノール、フラン、C、C、モノフルオロベンゼン、ジフルオロベンゼン類、テトラフルオロベンゼン類、およびヘキサフルオロベンゼンの群から選択される1つまたは複数の化合物を含み、前記炭化水素ガスの体積流量とプラズマ開始ガスの体積流量の比が約1:1〜約1:2である、請求項1に記載の方法。
  6. 前記RF電力が約0.01〜約1W/cmで印加され、前記圧力が約2トル〜約20トルである、請求項1に記載の方法。
  7. 前記RF電力が、2重周波数システムによって提供される、請求項1に記載の方法。
  8. 前記非晶質炭素層の共形性が、約30%〜約100%である、請求項1に記載の方法。
  9. 処理チャンバ内で基板を処理する方法であって、
    堆積サイクルを実行するステップであって、
    前記基板の表面上に共形の非晶質炭素材料を形成するステップ、及び
    前記処理チャンバにパージプロセスを流すステップ
    を含むステップと、
    前記サイクルを2〜50回繰り返すステップと
    を含む方法。
  10. 前記パージガスが不活性ガスまたは炭化水素源ガスを含み、前記パージガスをプラズマに励起することができる、請求項9に記載の方法。
  11. 停止ステップ時間と堆積ステップ時間の比が約100:1〜約1:100であり、各堆積サイクルで、前記非晶質炭素層の厚さの2%〜50%を堆積させることができる、請求項9に記載の方法。
  12. 前記基板の表面上に共形の非晶質炭素材料を形成する前記ステップが、
    炭素と水素の原子比が1:2以上である炭化水素源を前記処理チャンバ内へ導入するステップと、
    水素、ヘリウム、アルゴン、窒素、およびこれらの組合せからなる群から選択されるプラズマ開始ガスを前記処理チャンバ内へ導入するステップであり、前記炭化水素源の体積流量とプラズマ開始ガスの体積流量の比が1:2以上であるステップと、
    5W/cm以下のRF電力、2トル以上の圧力、および約300℃〜約480℃の温度で前記処理チャンバ内にプラズマを生成するステップと
    を含む、請求項9に記載の方法。
  13. 前記炭化水素源の炭素と水素の原子比が2:3以上であり、前記炭化水素源が、アセチレン、ビニルアセチレン、ベンゼン、スチレン、トルエン、キシレン、ピリジン、アセトフェノン、フェノール、フラン、C、C、モノフルオロベンゼン、ジフルオロベンゼン類、テトラフルオロベンゼン類、およびヘキサフルオロベンゼンからなる群から選択される1つまたは複数の化合物を含む、請求項12に記載の方法。
  14. 前記プロセスが、炭化水素ガスの体積流量とプラズマ開始ガスの体積流量の比が約1:1〜約1:2であること、前記RF電力が約0.01〜約5W/cmで印加されること、前記圧力が約2トル〜約20トルであること、及び前記圧力が約300℃〜約480℃であることからなる群から選択される1つまたは複数の処理パラメータを含む、請求項12に記載の方法。
  15. 基板上に非晶質炭素層を形成する方法であって、
    基板処理チャンバ内に基板を位置決めするステップと、
    炭素と水素の原子比が1:2より大きい炭化水素源を前記処理チャンバ内へ導入するステップと、
    水素、ヘリウム、アルゴン、窒素、およびこれらの組合せからなる群から選択されるプラズマ開始ガスを前記処理チャンバ内へ導入するステップであり、前記炭化水素源の体積流量とプラズマ開始ガスの体積流量の比が1:2以上であり、前記炭化水素源および前記プラズマ開始ガスが、前記基板表面から400ミル〜600ミルに位置決めされたガス分配器によって前記処理チャンバ内へ導入されるステップと、
    1W/cm以下のRF電力および約0℃〜約100℃の温度で前記処理チャンバ内にプラズマを生成するステップと、
    前記基板上に共形の非晶質炭素層を形成するステップと
    を含む方法。
JP2011532166A 2008-10-14 2009-10-12 プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法 Ceased JP2012506151A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10534808P 2008-10-14 2008-10-14
US61/105,348 2008-10-14
PCT/US2009/060360 WO2010045153A2 (en) 2008-10-14 2009-10-12 Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)

Publications (2)

Publication Number Publication Date
JP2012506151A true JP2012506151A (ja) 2012-03-08
JP2012506151A5 JP2012506151A5 (ja) 2013-05-23

Family

ID=42099256

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011532166A Ceased JP2012506151A (ja) 2008-10-14 2009-10-12 プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法

Country Status (6)

Country Link
US (1) US8105465B2 (ja)
JP (1) JP2012506151A (ja)
KR (1) KR101357181B1 (ja)
CN (1) CN102187432B (ja)
TW (1) TWI421364B (ja)
WO (1) WO2010045153A2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150041122A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 유동성 탄소
JP2019024043A (ja) * 2017-07-24 2019-02-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
KR20200083324A (ko) * 2018-12-31 2020-07-08 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
JP2020536385A (ja) * 2017-10-03 2020-12-10 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 有機ラジカルを使用した炭素含有膜の表面処理
KR20210047287A (ko) * 2018-12-31 2021-04-29 충남대학교산학협력단 탄화수소 박막의 제조방법
JP2021522413A (ja) * 2018-04-24 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カーボンハードマスクのプラズマ強化化学気相堆積
WO2021251800A1 (ko) * 2020-06-12 2021-12-16 충남대학교 산학협력단 고유전막 및 이를 포함하는 반도체 또는 커패시터 소자
WO2021261868A1 (ko) * 2020-06-22 2021-12-30 울산과학기술원 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자
KR20230058333A (ko) * 2020-06-22 2023-05-03 충남대학교산학협력단 비정질 탄화수소 박막의 패시베이션에 의한 전하 채널층의 전하이동도 향상 방법
KR102670420B1 (ko) * 2018-04-24 2024-05-28 어플라이드 머티어리얼스, 인코포레이티드 카본 하드-마스크의 플라즈마-강화 화학 기상 증착

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8227352B2 (en) 2010-04-30 2012-07-24 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
EP2525416A2 (en) * 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6311963B2 (ja) * 2011-10-24 2018-04-18 株式会社ユーテック 成膜方法及び磁気記録媒体の製造方法
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9093495B2 (en) * 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102637582B (zh) * 2012-04-09 2015-03-11 上海华力微电子有限公司 一种防止磷硅酸盐玻璃或硼磷硅酸盐玻璃薄膜吸水的方法
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN104099661B (zh) * 2014-07-12 2016-08-17 吉林大学 一种低温、自组织生长非晶碳杂合单晶纳米石墨的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
KR102462349B1 (ko) * 2014-08-14 2022-11-01 어플라이드 머티어리얼스, 인코포레이티드 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9793108B2 (en) * 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127233A1 (en) * 2016-01-20 2017-07-27 Applied Materials, Inc. Hybrid carbon hardmask for lateral hardmask recess reduction
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11358869B2 (en) 2017-08-08 2022-06-14 H Quest Vanguard, Inc. Methods and systems for microwave assisted production of graphitic materials
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
WO2019032554A1 (en) * 2017-08-08 2019-02-14 H Quest Vanguard, Inc. NON-THERMAL PLASMA CONVERSION OF HYDROCARBONS
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7407121B2 (ja) * 2018-04-09 2023-12-28 アプライド マテリアルズ インコーポレイテッド パターニング用途のためのカーボンハードマスク及び関連方法
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11164725B2 (en) 2018-06-11 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Generation of hydrogen reactive species for processing of workpieces
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110890376B (zh) * 2018-09-11 2022-08-02 长鑫存储技术有限公司 半导体器件的制备方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200328063A1 (en) * 2019-04-15 2020-10-15 Applied Materials, Inc. Electrostatic chucking process
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210131441A (ko) 2019-04-30 2021-11-02 매슨 테크놀로지 인크 메틸화 처리를 사용한 선택적 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
KR20210100535A (ko) * 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09128708A (ja) * 1995-10-27 1997-05-16 Hitachi Ltd 薄膜磁気ヘッド及び磁気ディスク記録再生装置
JPH09315811A (ja) * 1996-03-22 1997-12-09 Sanyo Electric Co Ltd 非晶質半導体及びその製造方法並びに光起電力装置
JPH10500936A (ja) * 1995-11-02 1998-01-27 オリオン エレクトリック カンパニー,リミテッド ダイヤモンド様炭素(dlc)膜の製法、それにより製造したdlc膜、その使用法、電界エミッタアレイ及び電界エミッタカソード
JPH11150115A (ja) * 1997-08-25 1999-06-02 Internatl Business Mach Corp <Ibm> 多層構造およびその製造方法
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
WO2006124966A2 (en) * 2005-05-17 2006-11-23 Applied Materials, Inc. Low temperature absorption layer deposition and high speed optical annealing system
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001254170A (ja) * 2000-03-09 2001-09-18 Komatsu Ltd 非晶質炭素膜の成膜装置とその成膜方法
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080008842A1 (en) * 2006-07-07 2008-01-10 Applied Materials, Inc. Method for plasma processing
KR20080088748A (ko) * 2007-03-30 2008-10-06 삼성전자주식회사 플라즈마 화학기상증착설비 및 그를 이용한 플라즈마화학기상증착방법
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09128708A (ja) * 1995-10-27 1997-05-16 Hitachi Ltd 薄膜磁気ヘッド及び磁気ディスク記録再生装置
JPH10500936A (ja) * 1995-11-02 1998-01-27 オリオン エレクトリック カンパニー,リミテッド ダイヤモンド様炭素(dlc)膜の製法、それにより製造したdlc膜、その使用法、電界エミッタアレイ及び電界エミッタカソード
JPH09315811A (ja) * 1996-03-22 1997-12-09 Sanyo Electric Co Ltd 非晶質半導体及びその製造方法並びに光起電力装置
JPH11150115A (ja) * 1997-08-25 1999-06-02 Internatl Business Mach Corp <Ibm> 多層構造およびその製造方法
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体
WO2006124966A2 (en) * 2005-05-17 2006-11-23 Applied Materials, Inc. Low temperature absorption layer deposition and high speed optical annealing system
JP2008546179A (ja) * 2005-05-17 2008-12-18 アプライド マテリアルズ インコーポレイテッド 低温吸収層の堆積と高速光アニーリングシステム

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015530742A (ja) * 2012-08-08 2015-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理のための流動可能な炭素
KR102076000B1 (ko) * 2012-08-08 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 유동성 탄소
KR20150041122A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 유동성 탄소
JP2019024043A (ja) * 2017-07-24 2019-02-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
JP6991323B2 (ja) 2017-10-03 2022-01-12 マトソン テクノロジー インコーポレイテッド 有機ラジカルを使用した炭素含有膜の表面処理
JP2020536385A (ja) * 2017-10-03 2020-12-10 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 有機ラジカルを使用した炭素含有膜の表面処理
KR102670420B1 (ko) * 2018-04-24 2024-05-28 어플라이드 머티어리얼스, 인코포레이티드 카본 하드-마스크의 플라즈마-강화 화학 기상 증착
JP2021522413A (ja) * 2018-04-24 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カーボンハードマスクのプラズマ強化化学気相堆積
JP7442459B2 (ja) 2018-04-24 2024-03-04 アプライド マテリアルズ インコーポレイテッド カーボンハードマスクのプラズマ強化化学気相堆積
KR20200083324A (ko) * 2018-12-31 2020-07-08 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
KR102347279B1 (ko) * 2018-12-31 2022-01-05 충남대학교산학협력단 탄화수소 박막의 제조방법
KR102314727B1 (ko) * 2018-12-31 2021-10-19 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
KR20210047287A (ko) * 2018-12-31 2021-04-29 충남대학교산학협력단 탄화수소 박막의 제조방법
WO2021251800A1 (ko) * 2020-06-12 2021-12-16 충남대학교 산학협력단 고유전막 및 이를 포함하는 반도체 또는 커패시터 소자
WO2021261868A1 (ko) * 2020-06-22 2021-12-30 울산과학기술원 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자
KR20230058333A (ko) * 2020-06-22 2023-05-03 충남대학교산학협력단 비정질 탄화수소 박막의 패시베이션에 의한 전하 채널층의 전하이동도 향상 방법
KR102559901B1 (ko) 2020-06-22 2023-07-27 충남대학교산학협력단 비정질 탄화수소 박막의 패시베이션에 의한 전하 채널층의 전하이동도 향상 방법

Also Published As

Publication number Publication date
CN102187432B (zh) 2013-07-31
KR20110074904A (ko) 2011-07-04
CN102187432A (zh) 2011-09-14
TW201026877A (en) 2010-07-16
TWI421364B (zh) 2014-01-01
US8105465B2 (en) 2012-01-31
WO2010045153A2 (en) 2010-04-22
KR101357181B1 (ko) 2014-01-29
WO2010045153A3 (en) 2010-07-29
US20100093187A1 (en) 2010-04-15

Similar Documents

Publication Publication Date Title
KR101357181B1 (ko) 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US20210225650A1 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US8361906B2 (en) Ultra high selectivity ashable hard mask film
KR101184072B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US8349741B2 (en) Amorphous carbon deposition method for improved stack defectivity
US20160086794A9 (en) Nitrogen doped amorphous carbon hardmask
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US20070286954A1 (en) Methods for low temperature deposition of an amorphous carbon layer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121012

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130409

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20130409

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131212

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140401

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20140826