CN111433896B - 使用有机自由基对硅或硅锗表面的表面处理 - Google Patents
使用有机自由基对硅或硅锗表面的表面处理 Download PDFInfo
- Publication number
- CN111433896B CN111433896B CN201880078077.7A CN201880078077A CN111433896B CN 111433896 B CN111433896 B CN 111433896B CN 201880078077 A CN201880078077 A CN 201880078077A CN 111433896 B CN111433896 B CN 111433896B
- Authority
- CN
- China
- Prior art keywords
- surface treatment
- chamber
- silicon
- workpiece
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000004381 surface treatment Methods 0.000 title claims abstract description 122
- 229910052710 silicon Inorganic materials 0.000 title claims description 45
- 239000010703 silicon Substances 0.000 title claims description 45
- 229910000577 Silicon-germanium Inorganic materials 0.000 title claims description 26
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 title claims description 15
- 238000000034 method Methods 0.000 claims abstract description 365
- 230000008569 process Effects 0.000 claims abstract description 272
- 239000000463 material Substances 0.000 claims abstract description 68
- 229930195733 hydrocarbon Natural products 0.000 claims abstract description 55
- 150000002430 hydrocarbons Chemical class 0.000 claims abstract description 55
- 239000004215 Carbon black (E152) Substances 0.000 claims abstract description 54
- 239000000203 mixture Substances 0.000 claims abstract description 44
- 239000004065 semiconductor Substances 0.000 claims abstract description 39
- 239000007789 gas Substances 0.000 claims description 77
- 238000000926 separation method Methods 0.000 claims description 49
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 44
- 150000002500 ions Chemical class 0.000 claims description 40
- 229910052739 hydrogen Inorganic materials 0.000 claims description 31
- 239000001257 hydrogen Substances 0.000 claims description 25
- 238000001914 filtration Methods 0.000 claims description 19
- 239000011261 inert gas Substances 0.000 claims description 17
- 238000009616 inductively coupled plasma Methods 0.000 claims description 14
- 230000001939 inductive effect Effects 0.000 claims description 12
- 238000009736 wetting Methods 0.000 claims description 11
- 230000011987 methylation Effects 0.000 claims description 10
- 238000007069 methylation reaction Methods 0.000 claims description 10
- 238000010438 heat treatment Methods 0.000 claims description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 7
- 239000001307 helium Substances 0.000 claims description 7
- 229910052734 helium Inorganic materials 0.000 claims description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 4
- 238000010494 dissociation reaction Methods 0.000 claims description 4
- 230000005593 dissociations Effects 0.000 claims description 4
- 238000000197 pyrolysis Methods 0.000 claims description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 3
- 229910052757 nitrogen Inorganic materials 0.000 claims description 3
- 238000006243 chemical reaction Methods 0.000 claims description 2
- 238000002156 mixing Methods 0.000 abstract description 4
- 150000003254 radicals Chemical class 0.000 description 174
- 210000002381 plasma Anatomy 0.000 description 122
- 239000000126 substance Substances 0.000 description 28
- -1 hydrogen radicals Chemical class 0.000 description 23
- 239000010410 layer Substances 0.000 description 23
- 239000000243 solution Substances 0.000 description 21
- 230000007935 neutral effect Effects 0.000 description 18
- 239000000758 substrate Substances 0.000 description 14
- 239000002245 particle Substances 0.000 description 12
- 229920002120 photoresistant polymer Polymers 0.000 description 10
- QEGNUYASOUJEHD-UHFFFAOYSA-N 1,1-dimethylcyclohexane Chemical compound CC1(C)CCCCC1 QEGNUYASOUJEHD-UHFFFAOYSA-N 0.000 description 8
- ODNRTOSCFYDTKF-UHFFFAOYSA-N 1,3,5-trimethylcyclohexane Chemical compound CC1CC(C)CC(C)C1 ODNRTOSCFYDTKF-UHFFFAOYSA-N 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- RGSFGYAAUTVSQA-UHFFFAOYSA-N Cyclopentane Chemical compound C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 description 8
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 8
- 230000008901 benefit Effects 0.000 description 8
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 8
- UAEPNZWRGJTJPN-UHFFFAOYSA-N methylcyclohexane Chemical compound CC1CCCCC1 UAEPNZWRGJTJPN-UHFFFAOYSA-N 0.000 description 8
- 238000004140 cleaning Methods 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 238000002347 injection Methods 0.000 description 7
- 239000007924 injection Substances 0.000 description 7
- 239000011148 porous material Substances 0.000 description 7
- 239000010409 thin film Substances 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 6
- 239000010408 film Substances 0.000 description 5
- 230000002209 hydrophobic effect Effects 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- 238000005389 semiconductor device fabrication Methods 0.000 description 5
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 4
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 4
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 4
- 239000005977 Ethylene Substances 0.000 description 4
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 4
- 150000001336 alkenes Chemical class 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 150000001924 cycloalkanes Chemical class 0.000 description 4
- DMEGYFMYUHOHGS-UHFFFAOYSA-N heptamethylene Natural products C1CCCCCC1 DMEGYFMYUHOHGS-UHFFFAOYSA-N 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- GYNNXHKOJHMOHS-UHFFFAOYSA-N methyl-cycloheptane Natural products CC1CCCCCC1 GYNNXHKOJHMOHS-UHFFFAOYSA-N 0.000 description 4
- 239000001294 propane Substances 0.000 description 4
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 4
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 3
- 239000011324 bead Substances 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000003628 erosive effect Effects 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- WCYWZMWISLQXQU-UHFFFAOYSA-N methyl Chemical compound [CH3] WCYWZMWISLQXQU-UHFFFAOYSA-N 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 239000011241 protective layer Substances 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 238000007704 wet chemistry method Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 229910052724 xenon Inorganic materials 0.000 description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000001311 chemical methods and process Methods 0.000 description 2
- 230000003750 conditioning effect Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- JRZJOMJEPLMPRA-UHFFFAOYSA-N olefin Natural products CCCCCCCC=C JRZJOMJEPLMPRA-UHFFFAOYSA-N 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/3003—Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D67/00—Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
- B01D67/0081—After-treatment of organic or inorganic membranes
- B01D67/009—After-treatment of organic or inorganic membranes with wave-energy, particle-radiation or plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
- C23F1/10—Etching compositions
- C23F1/12—Gaseous compositions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32733—Means for moving the material to be treated
- H01J37/32743—Means for moving the material to be treated for introducing the material into processing chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32899—Multiple chambers, e.g. cluster tools
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/02252—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02321—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F4/00—Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/006—Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
Claims (24)
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762567295P | 2017-10-03 | 2017-10-03 | |
US62/567,295 | 2017-10-03 | ||
US15/958,560 US10354883B2 (en) | 2017-10-03 | 2018-04-20 | Surface treatment of silicon or silicon germanium surfaces using organic radicals |
US15/958,560 | 2018-04-20 | ||
PCT/US2018/051699 WO2019070402A1 (en) | 2017-10-03 | 2018-09-19 | SURFACE TREATMENT OF SILICON OR SILICON SURFACES AND GERMANIUM USING ORGANIC RADICALS |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111433896A CN111433896A (zh) | 2020-07-17 |
CN111433896B true CN111433896B (zh) | 2023-04-21 |
Family
ID=65896836
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880078060.1A Active CN111433895B (zh) | 2017-10-03 | 2018-09-19 | 使用有机自由基对含碳膜的表面处理 |
CN201880078077.7A Active CN111433896B (zh) | 2017-10-03 | 2018-09-19 | 使用有机自由基对硅或硅锗表面的表面处理 |
CN201880078107.4A Active CN111433893B (zh) | 2017-10-03 | 2018-09-19 | 用有机前体通过远程等离子体表面处理含硅和碳的膜 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880078060.1A Active CN111433895B (zh) | 2017-10-03 | 2018-09-19 | 使用有机自由基对含碳膜的表面处理 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880078107.4A Active CN111433893B (zh) | 2017-10-03 | 2018-09-19 | 用有机前体通过远程等离子体表面处理含硅和碳的膜 |
Country Status (6)
Country | Link |
---|---|
US (5) | US10354883B2 (zh) |
JP (3) | JP6991324B2 (zh) |
KR (3) | KR20200039815A (zh) |
CN (3) | CN111433895B (zh) |
TW (3) | TWI743396B (zh) |
WO (3) | WO2019070404A1 (zh) |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11164725B2 (en) | 2018-06-11 | 2021-11-02 | Beijing E-town Semiconductor Technology Co., Ltd. | Generation of hydrogen reactive species for processing of workpieces |
US11495456B2 (en) | 2018-10-15 | 2022-11-08 | Beijing E-Town Semiconductor Technology, Co., Ltd | Ozone for selective hydrophilic surface treatment |
CN112335017B (zh) | 2018-11-16 | 2024-06-18 | 玛特森技术公司 | 腔室上光以通过减少化学成分改善刻蚀均匀性 |
US10403492B1 (en) * | 2018-12-11 | 2019-09-03 | Mattson Technology, Inc. | Integration of materials removal and surface treatment in semiconductor device fabrication |
TW202040692A (zh) | 2018-12-21 | 2020-11-01 | 美商得昇科技股份有限公司 | 工件的表面平滑化 |
CN111837221B (zh) * | 2019-02-14 | 2024-03-05 | 株式会社日立高新技术 | 半导体制造装置 |
JP7311628B2 (ja) * | 2019-04-30 | 2023-07-19 | マトソン テクノロジー インコーポレイテッド | メチル化処理を使用した選択的な堆積 |
CN110335802B (zh) * | 2019-07-11 | 2022-03-22 | 北京北方华创微电子装备有限公司 | 预清洗腔室及其过滤装置 |
US11189464B2 (en) | 2019-07-17 | 2021-11-30 | Beijing E-town Semiconductor Technology Co., Ltd. | Variable mode plasma chamber utilizing tunable plasma potential |
CN110491760B (zh) * | 2019-08-23 | 2020-09-15 | 江苏鲁汶仪器有限公司 | 一种法拉第清洗装置及等离子体处理系统 |
TW202125622A (zh) * | 2019-08-28 | 2021-07-01 | 美商得昇科技股份有限公司 | 使用氟自由基處理工件的方法 |
CN110349830B (zh) | 2019-09-09 | 2020-02-14 | 北京北方华创微电子装备有限公司 | 等离子体系统以及应用于等离子体系统的过滤装置 |
CN116057672A (zh) * | 2020-08-20 | 2023-05-02 | 应用材料公司 | 用于氮化钛膜的处理方法 |
CN113488367A (zh) * | 2020-12-14 | 2021-10-08 | 北京屹唐半导体科技股份有限公司 | 具有等离子体处理系统和热处理系统的工件处理装置 |
CN113471046B (zh) * | 2020-12-14 | 2023-06-20 | 北京屹唐半导体科技股份有限公司 | 具有等离子体处理系统和热处理系统的工件处理装置 |
EP4068000A1 (en) * | 2021-03-30 | 2022-10-05 | ASML Netherlands B.V. | Conditioning apparatus and method |
KR102585950B1 (ko) * | 2021-05-24 | 2023-10-05 | 성균관대학교산학협력단 | 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법 |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
JP2002299264A (ja) * | 2001-04-04 | 2002-10-11 | Sony Corp | 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法 |
US6514855B1 (en) * | 2000-02-07 | 2003-02-04 | Canon Sales Co., Inc. | Semiconductor device manufacturing method having a porous insulating film |
JP2004006607A (ja) * | 2002-01-31 | 2004-01-08 | Tosoh Corp | 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス |
JP2004193544A (ja) * | 2002-05-08 | 2004-07-08 | Nec Electronics Corp | 半導体装置、および半導体装置の製造方法 |
JP2005093688A (ja) * | 2003-09-17 | 2005-04-07 | Jsr Corp | 半導体装置および半導体装置の製造方法 |
JP2007227958A (ja) * | 2002-05-08 | 2007-09-06 | Nec Electronics Corp | 半導体装置 |
CN101295145A (zh) * | 2007-04-19 | 2008-10-29 | 应用材料公司 | 用水蒸汽和稀释气体增强的氢灰化 |
CN101378850A (zh) * | 2006-02-21 | 2009-03-04 | 应用材料股份有限公司 | 加强用于介电膜层的远程等离子体源清洁 |
CN102187432A (zh) * | 2008-10-14 | 2011-09-14 | 应用材料股份有限公司 | 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 |
Family Cites Families (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5275798A (en) * | 1986-07-11 | 1994-01-04 | Kyocera Corporation | Method for producing diamond films |
US4885067A (en) * | 1987-08-31 | 1989-12-05 | Santa Barbara Research Center | In-situ generation of volatile compounds for chemical vapor deposition |
JPH09190979A (ja) * | 1996-01-10 | 1997-07-22 | Nec Corp | 選択シリコンエピタキシャル成長方法及び成長装置 |
DE19744060C2 (de) | 1997-10-06 | 1999-08-12 | Fraunhofer Ges Forschung | Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten |
EP0948080A1 (fr) * | 1998-03-31 | 1999-10-06 | Koninklijke Philips Electronics N.V. | Appareil électronique portable muni d'une antenne |
US6028015A (en) | 1999-03-29 | 2000-02-22 | Lsi Logic Corporation | Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption |
US6989108B2 (en) | 2001-08-30 | 2006-01-24 | Micron Technology, Inc. | Etchant gas composition |
US7541200B1 (en) | 2002-01-24 | 2009-06-02 | Novellus Systems, Inc. | Treatment of low k films with a silylating agent for damage repair |
JP2003332317A (ja) * | 2002-05-16 | 2003-11-21 | Japan Steel Works Ltd:The | プラズマを用いたレジスト剥離装置及び方法 |
US20040086434A1 (en) * | 2002-11-04 | 2004-05-06 | Gadgil Pradad N. | Apparatus and method for treating objects with radicals generated from plasma |
US7711675B2 (en) * | 2002-07-22 | 2010-05-04 | Microsoft Corporation | Database simulation of data types |
US6677251B1 (en) | 2002-07-29 | 2004-01-13 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion |
US20040154743A1 (en) * | 2002-11-29 | 2004-08-12 | Savas Stephen E. | Apparatus and method for low temperature stripping of photoresist and residues |
CN101457338B (zh) | 2003-02-14 | 2011-04-27 | 应用材料股份有限公司 | 利用含氢自由基清洁自生氧化物的方法和设备 |
US7256134B2 (en) * | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
WO2005029550A2 (en) * | 2003-09-16 | 2005-03-31 | The Trustees Of Columbia University In The City Of New York | Method and system for producing crystalline thin films with a uniform crystalline orientation |
JP2005268312A (ja) * | 2004-03-16 | 2005-09-29 | Semiconductor Leading Edge Technologies Inc | レジスト除去方法及びそれを用いて製造した半導体装置 |
JP4470557B2 (ja) | 2004-03-31 | 2010-06-02 | 日本電気株式会社 | 携帯電話機 |
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7539329B2 (en) * | 2004-07-01 | 2009-05-26 | Hewlett-Packard Development Company, L.P. | Method and apparatus for enhancing the usability of an electronic device having an integrated fingerprint sensor |
US20060081273A1 (en) * | 2004-10-20 | 2006-04-20 | Mcdermott Wayne T | Dense fluid compositions and processes using same for article treatment and residue removal |
CA2575479C (en) * | 2005-03-25 | 2012-05-22 | Institut National De La Recherche Scientifique | Methods and apparatuses for purifying carbon filamentary structures |
US7642195B2 (en) * | 2005-09-26 | 2010-01-05 | Applied Materials, Inc. | Hydrogen treatment to improve photoresist adhesion and rework consistency |
US7901743B2 (en) | 2005-09-30 | 2011-03-08 | Tokyo Electron Limited | Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system |
US7695567B2 (en) * | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US20080026140A1 (en) * | 2006-07-25 | 2008-01-31 | Clark E Bradley | Graffiti furniture |
US7500397B2 (en) | 2007-02-15 | 2009-03-10 | Air Products And Chemicals, Inc. | Activated chemical process for enhancing material properties of dielectric films |
JP4884268B2 (ja) * | 2007-03-22 | 2012-02-29 | 東京エレクトロン株式会社 | アッシング方法 |
JP4823952B2 (ja) * | 2007-03-26 | 2011-11-24 | 三菱電機株式会社 | 半導体装置の製造方法 |
KR100777043B1 (ko) | 2007-05-22 | 2007-11-16 | 주식회사 테스 | 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법 |
US7838426B2 (en) * | 2007-08-20 | 2010-11-23 | Lam Research Corporation | Mask trimming |
US20090084501A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Processing system for producing a negative ion plasma |
JP5173396B2 (ja) | 2007-12-25 | 2013-04-03 | 大陽日酸株式会社 | 絶縁膜のダメージ回復処理方法 |
WO2009111719A2 (en) | 2008-03-07 | 2009-09-11 | Advanced Technology Materials, Inc. | Non-selective oxide etch wet clean composition and method of use |
JP2011253832A (ja) * | 2008-07-24 | 2011-12-15 | Canon Anelva Corp | レジストトリミング方法及びトリミング装置 |
US8805844B2 (en) * | 2008-08-04 | 2014-08-12 | Liveperson, Inc. | Expert search |
JP4799623B2 (ja) * | 2009-01-19 | 2011-10-26 | 株式会社東芝 | カーボンナノチューブ成長方法 |
DE102009023379B4 (de) * | 2009-05-29 | 2014-08-21 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung |
US8501145B2 (en) | 2009-07-12 | 2013-08-06 | Mahmood Ghoanneviss | Method for growing carbon nanowalls |
US8617993B2 (en) * | 2010-02-01 | 2013-12-31 | Lam Research Corporation | Method of reducing pattern collapse in high aspect ratio nanostructures |
US9099851B2 (en) * | 2010-03-12 | 2015-08-04 | Optoplan As | Rotary joint/swivel device |
JP6133218B2 (ja) * | 2011-03-07 | 2017-05-24 | インテグリス・インコーポレーテッド | 化学機械平坦化パッドコンディショナー |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
US8741775B2 (en) * | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
JP5989119B2 (ja) | 2011-08-19 | 2016-09-07 | マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. | プラズマリアクタ及びプラズマを生成する方法 |
US8575041B2 (en) * | 2011-09-15 | 2013-11-05 | Globalfoundries Inc. | Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment |
KR101321424B1 (ko) | 2011-11-22 | 2013-10-22 | 김일욱 | 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치 |
JP5398853B2 (ja) | 2012-01-26 | 2014-01-29 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
KR101331566B1 (ko) * | 2012-03-28 | 2013-11-21 | 한국과학기술연구원 | 나노결정다이아몬드 박막 및 그 제조방법 |
KR20150005533A (ko) * | 2012-04-11 | 2015-01-14 | 도쿄엘렉트론가부시키가이샤 | 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치 |
KR101897062B1 (ko) * | 2012-05-31 | 2018-09-12 | 엘지이노텍 주식회사 | 탄화규소 에피 웨이퍼 및 이의 제조 방법 |
WO2014013941A1 (ja) * | 2012-07-18 | 2014-01-23 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US8821987B2 (en) | 2012-12-17 | 2014-09-02 | Intermolecular, Inc. | Combinatorial processing using a remote plasma source |
US10006121B2 (en) * | 2013-03-14 | 2018-06-26 | Eugene Technology Co., Ltd. | Method and apparatus for manufacturing three-dimensional-structure memory device |
WO2014146937A2 (en) * | 2013-03-22 | 2014-09-25 | Vlyte Innovations Limited | An electrophoretic device having a transparent light state |
US20140342569A1 (en) * | 2013-05-16 | 2014-11-20 | Applied Materials, Inc. | Near surface etch selectivity enhancement |
US20150239759A1 (en) * | 2014-02-25 | 2015-08-27 | Energy Onvector, LLC | Microbubble Generator for Enhanced Plasma Treatment of Liquid |
US9190290B2 (en) * | 2014-03-31 | 2015-11-17 | Applied Materials, Inc. | Halogen-free gas-phase silicon etch |
US9469912B2 (en) | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
US9412581B2 (en) * | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US20160042916A1 (en) * | 2014-08-06 | 2016-02-11 | Applied Materials, Inc. | Post-chamber abatement using upstream plasma sources |
US20160049293A1 (en) | 2014-08-14 | 2016-02-18 | Air Products And Chemicals, Inc. | Method and composition for providing pore sealing layer on porous low dielectric constant films |
US9735009B2 (en) | 2014-09-15 | 2017-08-15 | Applied Materials, Inc. | Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel |
US9502255B2 (en) | 2014-10-17 | 2016-11-22 | Lam Research Corporation | Low-k damage repair and pore sealing agents with photosensitive end groups |
CN105762109B (zh) * | 2014-12-19 | 2019-01-25 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9881805B2 (en) * | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10475626B2 (en) * | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US10280512B2 (en) * | 2015-07-27 | 2019-05-07 | Varian Semiconductor Equipment Associates, Inc. | Apparatus and method for carbon film deposition profile control |
JP2017050304A (ja) * | 2015-08-31 | 2017-03-09 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR102148833B1 (ko) | 2016-02-26 | 2020-08-28 | 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 | 주입형 포토레지스트 스트리핑 공정 |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
-
2018
- 2018-04-20 US US15/958,560 patent/US10354883B2/en active Active
- 2018-04-20 US US15/958,635 patent/US10804109B2/en active Active
- 2018-04-20 US US15/958,601 patent/US10269574B1/en active Active
- 2018-09-19 KR KR1020207009811A patent/KR20200039815A/ko not_active Application Discontinuation
- 2018-09-19 CN CN201880078060.1A patent/CN111433895B/zh active Active
- 2018-09-19 KR KR1020207009697A patent/KR20200039809A/ko not_active Application Discontinuation
- 2018-09-19 WO PCT/US2018/051714 patent/WO2019070404A1/en active Application Filing
- 2018-09-19 JP JP2020519129A patent/JP6991324B2/ja active Active
- 2018-09-19 WO PCT/US2018/051706 patent/WO2019070403A1/en active Application Filing
- 2018-09-19 CN CN201880078077.7A patent/CN111433896B/zh active Active
- 2018-09-19 KR KR1020207009762A patent/KR20200039017A/ko not_active Application Discontinuation
- 2018-09-19 WO PCT/US2018/051699 patent/WO2019070402A1/en active Application Filing
- 2018-09-19 CN CN201880078107.4A patent/CN111433893B/zh active Active
- 2018-09-19 JP JP2020519055A patent/JP6991323B2/ja active Active
- 2018-09-19 JP JP2020519096A patent/JP7021344B2/ja active Active
- 2018-09-26 TW TW107133841A patent/TWI743396B/zh active
- 2018-09-26 TW TW107133840A patent/TW201933431A/zh unknown
- 2018-09-26 TW TW107133839A patent/TWI715872B/zh active
-
2019
- 2019-03-19 US US16/357,800 patent/US10910228B2/en active Active
- 2019-06-18 US US16/444,146 patent/US11062910B2/en active Active
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US6514855B1 (en) * | 2000-02-07 | 2003-02-04 | Canon Sales Co., Inc. | Semiconductor device manufacturing method having a porous insulating film |
JP2002299264A (ja) * | 2001-04-04 | 2002-10-11 | Sony Corp | 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法 |
JP2004006607A (ja) * | 2002-01-31 | 2004-01-08 | Tosoh Corp | 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス |
JP2004193544A (ja) * | 2002-05-08 | 2004-07-08 | Nec Electronics Corp | 半導体装置、および半導体装置の製造方法 |
JP2007227958A (ja) * | 2002-05-08 | 2007-09-06 | Nec Electronics Corp | 半導体装置 |
JP2005093688A (ja) * | 2003-09-17 | 2005-04-07 | Jsr Corp | 半導体装置および半導体装置の製造方法 |
CN101378850A (zh) * | 2006-02-21 | 2009-03-04 | 应用材料股份有限公司 | 加强用于介电膜层的远程等离子体源清洁 |
CN101295145A (zh) * | 2007-04-19 | 2008-10-29 | 应用材料公司 | 用水蒸汽和稀释气体增强的氢灰化 |
CN102187432A (zh) * | 2008-10-14 | 2011-09-14 | 应用材料股份有限公司 | 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 |
Also Published As
Publication number | Publication date |
---|---|
TW201928105A (zh) | 2019-07-16 |
JP6991323B2 (ja) | 2022-01-12 |
TWI715872B (zh) | 2021-01-11 |
CN111433896A (zh) | 2020-07-17 |
US20190304793A1 (en) | 2019-10-03 |
US20190103270A1 (en) | 2019-04-04 |
US20190103280A1 (en) | 2019-04-04 |
CN111433893A (zh) | 2020-07-17 |
CN111433895B (zh) | 2023-04-07 |
JP2020536385A (ja) | 2020-12-10 |
US20190214262A1 (en) | 2019-07-11 |
TWI743396B (zh) | 2021-10-21 |
TW201933431A (zh) | 2019-08-16 |
JP2020536387A (ja) | 2020-12-10 |
KR20200039017A (ko) | 2020-04-14 |
US20190103279A1 (en) | 2019-04-04 |
JP2020536389A (ja) | 2020-12-10 |
WO2019070402A1 (en) | 2019-04-11 |
US10910228B2 (en) | 2021-02-02 |
US10804109B2 (en) | 2020-10-13 |
JP7021344B2 (ja) | 2022-02-16 |
CN111433895A (zh) | 2020-07-17 |
US11062910B2 (en) | 2021-07-13 |
US10269574B1 (en) | 2019-04-23 |
JP6991324B2 (ja) | 2022-02-03 |
WO2019070404A1 (en) | 2019-04-11 |
CN111433893B (zh) | 2024-04-02 |
KR20200039809A (ko) | 2020-04-16 |
US10354883B2 (en) | 2019-07-16 |
KR20200039815A (ko) | 2020-04-16 |
TW201915211A (zh) | 2019-04-16 |
WO2019070403A1 (en) | 2019-04-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111433896B (zh) | 使用有机自由基对硅或硅锗表面的表面处理 | |
CN112368803B (zh) | 半导体设备制造中材料去除和表面处理的整合 | |
CN112219266B (zh) | 以使用烷基卤化物生成的反应性核素处理工件 | |
US10950416B2 (en) | Chamber seasoning to improve etch uniformity by reducing chemistry | |
US20210111017A1 (en) | Post Etch Defluorination Process | |
CN112313777B (zh) | 用于使用臭氧选择性亲水表面处理的方法 | |
WO2021041389A1 (en) | Methods for processing a workpiece using fluorine radicals | |
CN116110775A (zh) | 提高远程等离子体产生的氧化膜的质量的表面预处理工艺 | |
US11094528B2 (en) | Surface treatment of substrates using passivation layers | |
US20240165659A1 (en) | Methods of processing workpieces using organic radicals | |
CN115039209A (zh) | 用于硬掩模去除的系统和方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
CB02 | Change of applicant information | ||
CB02 | Change of applicant information |
Address after: California, USA Applicant after: MATTSON TECHNOLOGY, Inc. Applicant after: Beijing Yitang Semiconductor Technology Co.,Ltd. Address before: California, USA Applicant before: MATTSON TECHNOLOGY, Inc. Applicant before: Beijing Yitang Semiconductor Technology Co.,Ltd. |
|
CB02 | Change of applicant information | ||
CB02 | Change of applicant information |
Address after: California, USA Applicant after: MATTSON TECHNOLOGY, Inc. Applicant after: Beijing Yitang Semiconductor Technology Co.,Ltd. Address before: California, USA Applicant before: MATTSON TECHNOLOGY, Inc. Applicant before: Beijing Yitang Semiconductor Technology Co.,Ltd. |
|
GR01 | Patent grant | ||
GR01 | Patent grant |