KR20200039815A - 유기 라디칼을 사용한 실리콘 또는 실리콘 게르마늄 표면의 표면 처리 - Google Patents

유기 라디칼을 사용한 실리콘 또는 실리콘 게르마늄 표면의 표면 처리 Download PDF

Info

Publication number
KR20200039815A
KR20200039815A KR1020207009811A KR20207009811A KR20200039815A KR 20200039815 A KR20200039815 A KR 20200039815A KR 1020207009811 A KR1020207009811 A KR 1020207009811A KR 20207009811 A KR20207009811 A KR 20207009811A KR 20200039815 A KR20200039815 A KR 20200039815A
Authority
KR
South Korea
Prior art keywords
workpiece
surface treatment
silicon
radicals
treatment process
Prior art date
Application number
KR1020207009811A
Other languages
English (en)
Inventor
마이클 엑스. 양
후아 청
신리앙 루
Original Assignee
맷슨 테크놀로지, 인크.
베이징 이-타운 세미컨덕터 테크놀로지, 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맷슨 테크놀로지, 인크., 베이징 이-타운 세미컨덕터 테크놀로지, 컴퍼니 리미티드 filed Critical 맷슨 테크놀로지, 인크.
Publication of KR20200039815A publication Critical patent/KR20200039815A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0081After-treatment of organic or inorganic membranes
    • B01D67/009After-treatment of organic or inorganic membranes with wave-energy, particle-radiation or plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

워크피스의 표면 처리를 위한 프로세스가 제공된다. 일 예시적인 실시형태에서, 방법은 워크피스에 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 제 1 챔버에서 하나 이상의 종을 생성하는 단계를 포함할 수 있다. 표면 처리 프로세스는 하나 이상의 탄화수소 분자를 종과 혼합하여 혼합물을 생성하는 단계를 포함할 수 있다. 혼합물은 하나 이상의 유기 라디칼을 포함할 수 있다. 표면 처리 프로세스는 워크피스 상의 반도체 재료를 제 2 챔버에서 혼합물에 노출시키는 단계를 포함할 수 있다.

Description

유기 라디칼을 사용한 실리콘 또는 실리콘 게르마늄 표면의 표면 처리
본 출원은 2017년 10월 3일자로 출원되고 발명의 명칭이 "유기 전구체를 사용한 원격 플라즈마에 의한 실리콘 및 탄소 함유 필름의 표면 처리"인 미국 가특허 출원 제 62/567,295호에 대한 우선권을 주장한, 2018년 4월 20일자로 출원되고 발명의 명칭이 "유기 라디칼을 사용한 실리콘 또는 실리콘 게르마늄 표면의 표면 처리"인 미국 특허 출원 제 15/958,560에 대한 우선권을 주장하며, 이들 문헌은 모든 목적을 위해 본원에 참조로 편입된다.
본 개시는 일반적으로 유기 라디칼을 이용한 워크피스의 표면 처리에 관한 것이다.
플라즈마 가공은 반도체 산업에서 반도체 웨이퍼 및 다른 기판의 증착, 에칭, 레지스트 제거 및 관련 가공에 널리 사용된다. 플라즈마 소스 (예, 마이크로웨이브, ECR, 유도성(inductive) 등)는 종종 기판 가공을 위한 고밀도 플라즈마 및 반응성 종(reactive species)을 생성하기 위해 플라즈마 가공에 사용된다. 포스트-주입 포토레지스트(post-implantation photoresist), 포스트-에칭 잔류물 및 기타 마스크 및/또는 재료 제거는 플라즈마 드라이 스트립 프로세스를 사용하여 달성되어 왔다. 플라즈마 드라이 스트립 프로세스에서, 원격 플라즈마 챔버(remote plasma chamber)에서 생성된 플라즈마로부터의 중성 입자는 분리 그리드를 통해 가공 챔버로 이동하여 반도체 웨이퍼와 같은 기판을 처리한다.
본 개시의 실시형태의 양태 및 이점은 다음의 설명에서 부분적으로 설명될 것이거나, 설명으로부터 학습될 수도 있고, 또는 실시형태의 실시를 통해 학습될 수도 있다.
본 개시의 일 예시적인 양태는 워크피스를 가공하는 방법에 관한 것이다. 워크피스는 반도체 재료를 포함할 수 있다. 일 예시적인 구현에서, 방법은 워크피스에 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 제 1 챔버에서 하나 이상의 종(species)을 생성하는 단계를 포함할 수 있다. 표면 처리 프로세스는 하나 이상의 탄화수소 분자를 종과 혼합하여 혼합물을 생성하는 단계를 포함할 수 있다. 혼합물은 하나 이상의 유기 라디칼을 포함할 수 있다. 표면 처리 프로세스는 워크피스 상의 반도체 재료를 제 2 챔버에서 혼합물에 노출시키는 단계를 포함할 수 있다.
본 개시의 다른 예시적인 양태는 워크피스의 표면 처리를 위한 시스템, 방법 및 장치에 관한 것이다.
다양한 실시형태의 이들 및 다른 특징, 양태 및 이점은 다음의 설명 및 첨부된 청구범위를 참조하여 더 잘 이해될 것이다. 본 명세서에 포함되어 일부를 구성하는 첨부 도면은 본 개시의 실시형태를 예시하고, 상세한 설명과 함께 관련 원리를 설명하는 역할을 한다.
당해 분야의 통상의 기술자를 대상으로하는 실시형태의 상세한 설명은 본 명세서에 제시되며, 첨부 도면을 참조한다:
도 1은 실리콘 및/또는 실리콘 게르마늄 재료를 함유하는 예시적인 워크피스 구조를 도시하며;
도 2는 워크피스 상의 예시적인 고 애스펙트 비 구조를 도시하며;
도 3은 본 개시의 예시적인 실시형태에 따른 예시적인 플라즈마 프로세스 장치를 도시하며;
도 4는 본 개시의 예시적인 실시형태에 따른 예시적인 표면 처리 프로세스의 플로우 다이어그램을 도시하며;
도 5는 본 개시의 예시적인 실시형태에 따른 예시적인 표면 처리 프로세스의 플로우 다이어그램을 도시하며;
도 6은 본 개시의 예시적인 실시형태에 따른 표면 처리 프로세스 동안 포스트(post) 플라즈마 가스 주입의 예시를 도시하며;
도 7은 본 개시의 예시적인 실시형태에 따른 예시적인 수소 라디칼의 소스를 도시하며;
도 8은 본 개시의 예시적인 실시형태에 따른 예시적인 방법의 플로우 다이어그램을 도시하며;
도 9는 본 개시의 예시적인 실시형태에 따른 예시적인 방법의 플로우 다이어그램을 도시하며;
도 10은 본 개시의 예시적인 실시형태에 따른 실리콘 함유 유전체 재료의 표면 습윤 각도의 예시적인 변형을 도시하며;
도 11은 본 개시의 예시적인 실시형태에 따른 예시적인 방법의 플로우 다이어그램을 도시하며; 그리고
도 12는 본 개시의 예시적인 실시형태에 따른 예시적인 방법의 플로우 다이어그램을 도시한다.
이제, 하나 이상의 예가 도면에 도시된, 실시형태를 상세하게 참조할 것이다. 각각의 예는 본 개시의 제한이 아니라, 실시형태의 설명을 위해 제공된다. 실제로, 본 개시의 범위 또는 사상을 벗어나지 않고, 실시형태에 대한 다양한 변형 및 변경이 이루어질 수 있음이 당업자에게 명백할 것이다. 예를 들어, 일 실시형태의 일부로서 도시되거나 설명된 특징은 다른 실시형태와 함께 사용되어 또 다른 실시형태를 산출할 수 있다. 따라서, 본 개시의 양태는 이러한 변형 및 변경을 포함하는 것으로 의도된다.
본 개시의 예시적인 양태는 실리콘 및 실리콘 게르마늄 표면을 처리하고/하거나 반도체 웨이퍼와 같은 워크피스 상의 실리콘 함유 유전체막(dielectric films)을 처리하기 위한 표면 처리 프로세스에 관한 것이다. 드라이 스트립 프로세스를 수행하여 반도체 가공 중에 워크피스를 처리할 수 있다. 예를 들어, 드라이 스트립 프로세스는 포토레지스트 제거, 화학 잔류물 제거 또는 다른 재료 제거에 사용될 수 있다.
일부 예시적인 드라이 스트립 프로세스에서, 워크피스는 가공 챔버에서 받침대 또는 다른 기판 상에 배치될 수 있다. 플라즈마가 공정 가스 또는 다른 혼합물에서 이온 및 중성 라디칼을 발생시키기 위해 원격 플라즈마 챔버에서 유도될 수 있다 (예, 유도 결합 플라즈마 소스를 사용하여). 플라즈마 챔버를 가공 챔버로부터 분리하는 분리 그리드는 이온을 필터링하고 분리 그리드의 홀을 통해 중성 라디칼이 가공 챔버로 이동하도록 한다. 중성 라디칼은 워크피스의 표면에 노출되어 워크피스로부터 재료를 제거할 수 있다 (예, 포토레지스트, 잔류물 등).
드라이 스트립 프로세스 동안, 예를 들어 높은 포토레지스트 스트립 레이트(rate), 하부 재료(underlying material)에 대해 제거되는 포토레지스트 재료의 높은 재료-에칭 선택성, 실리콘 및 실리콘 게르마늄과 같은 하부 재료의 산화 방지 및 임계 치수(critical dimension, CD) 제어를 포함하는, 몇 가지 요구 사항이 충족될 필요가 있다.
예를 들어, 도 1은 드라이 스트립 표면 프로세스 동안 워크피스 (50)상의 반도체 재료 (53)에 발생할 수 있는 손상 (55)을 도시한다. 중성 라디칼 (51)은 포토레지스트 (52) (및 다른 표면 잔류물)를 제거하는데 사용될 수 있다. 중성 라디칼은 또한 반도체 기판 (54)의 표면 상에 존재하는 박막 재료 (53)를 공격할 수 있다. 일부 실시형태에서, 박막 재료 (53)는 실리콘 (Si) 박막 또는 실리콘-게르마늄 (SiGe) 박막일 수 있고, 반도체 기판 (54)은 Si 기판일 수 있다.
Si 및/또는 SiGe와 같은 박막 재료는 또한 프로세스에서 및/또는 대기 주변 노출 및 후속 제조 단계에서 산화되기 쉬워서, 재료 손실을 초래할 수 있다. 고급 반도체 구조(advanced semiconductor structures)는 3 차원으로 진행되고 있으며 재료 손실로 인해 디바이스의 임계 치수 (CD)가 변화되어 디바이스 무결성(integrity)이 저하될 수 있다. 따라서, 드라이 스트립 프로세스 동안 Si 및/또는 SiGe 막 손실과 같은 박막 손실을 감소시키는 것이 디바이스의 성능을 보존하는데 중요하다.
본 개시의 예시적인 양태에 따르면, 유기 라디칼 기반 표면 처리 프로세스는 워크피스 상의 실리콘 표면 및 실리콘 게르마늄 표면과 같은 박막 표면을 보호하기 위해 드라이 스트립 프로세스와 함께 및/또는 드라이 스트립 프로세스와 별개의 단계로서 수행될 수 있다. 보다 구체적으로, 유기 라디칼 기반 표면 처리 프로세스는 반도체 (예, 실리콘 및/또는 실리콘 게르마늄) 표면을 중성 유기 라디칼 (예, CH3 라디칼)에 노출시킬 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 반도체 표면의 적어도 일부에 유기 라디칼의 부착을 초래할 수 있다 (예, 기상(gas phase)에서의 CH3 라디칼에 기초한 메틸화). 유기 라디칼은 실리콘 및/또는 실리콘 게르마늄 층 상에 보호층 (예, 패시베이션 층)을 형성하여, 드라이 스트립 프로세스 동안 그리고 이후의 재료 손상을 감소시킬 수 있다. 이러한 방식에서, 드라이 스트립 프로세스에 대해 개선된 임계 치수 제어를 얻을 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 분리 그리드에 의해 가공 챔버로부터 분리되는 플라즈마 챔버에서 하나 이상의 종을 생성하는 단계를 포함할 수 있다. 상기 종은 예를 들어 공정 가스에서 플라즈마를 유도함으로써 생성될 수 있다. 일부 실시형태에서, 공정 가스는 불활성 가스, 예컨대 헬륨, 아르곤, 크세논 등일 수 있다. 불활성 가스에서 유도 플라즈마 소스를 사용하여 생성된 유도 플라즈마는 하나 이상의 여기된 불활성 가스 분자 (예, 여기된 헬륨)를 생성할 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 분리 그리드에 의해 가공 챔버로부터 분리되는 플라즈마 챔버에서 하나 이상의 수소 라디칼을 생성하는 단계를 포함할 수 있다. 수소 라디칼은 예를 들어 공정 가스에서 플라즈마를 유도함으로써 생성될 수 있다. 공정 가스는, 예를 들어 수소 (H2) 및 질소 (N2)를 포함하는 혼합물 일 수 있거나, H2 및 헬륨 (He)을 포함하는 혼합물일 수 있거나, H2 및 아르곤 (Ar)을 포함하는 혼합물일 수 있다. 일부 다른 실시형태에서, 수소 라디칼은 예를 들어 가열된 필라멘트, 예컨대 가열된 텅스텐 필라멘트를 사용하여 생성될 수 있다.
유기 라디칼 기반 표면 처리 프로세스는, 중성물(neutrals) (예, 여기된 불활성 가스 분자 및/또는 수소 라디칼)의 통과가 워크피스에 노출시키기 위한 중성물을 갖는 필터링된 혼합물을 생성하도록 하면서, 이온을 필터링하는 단계를 포함할 수 있다. 예를 들어, 분리 그리드를 사용하여 플라즈마 챔버에서 생성된 이온을 필터링하고 워크피스에 노출되도록 중성물이 분리 그리드의 홀을 통해 가공 챔버로 이동하도록 할 수 있다.
일부 실시형태에서, 중성물은 하나 이상의 유기 라디칼, 예컨대 메틸 (CH3) 라디칼을 포함할 수 있다. 유기 라디칼은 Si 및/또는 SiGe 층의 표면과 반응하여 (예, 메틸화를 통해) 드라이 스트립 프로세스를 위한 보호층을 형성할 수 있다. 유기 라디칼은 다른 가스 및 라디칼, 예컨대 수소와 혼합될 수 있다.
일부 실시형태에서, 유기 라디칼 (예, CH3 라디칼)은 플라즈마 챔버에서 하나 이상의 탄화수소 분자를 해리(dissociating)함으로써 생성될 수 있다. 예시적인 탄화수소 분자는 예를 들어 비-사이클릭알칸(non-cyclic alkanes) CnH2n+2를 포함할 수 있으며, 여기서 n은 1 이상 그리고 10 이하이다. 예를 들어, 탄화수소 분자는 비-사이클릭알칸, 예컨대 메탄 CH4, 에탄 C2H6, 프로판 또는 이소-프로판 C3H8 등을 포함할 수 있다.
일부 실시형태에서, 탄화수소 분자는 사이클릭알칸 CnH2n을 포함할 수 있으며, 여기서 n은 5 이상 그리고 10 이하이다. 예를 들어, 탄화수소 전구체는 사이클릭알칸, 예컨대 사이클로펜탄 C5H10, 사이클로헥산 C6H12, 메틸-사이클로헥산, C7H14, 디메틸-사이클로헥산 C8H16, 1,3,5-트리메틸-사이클로헥산 C9H18 등을 포함할 수 있다. 일부 실시형태에서, 탄화수소 전구체는 알켄 CnH2n (n은 2 이상 그리고 10 이하이다), 예컨대 에틸렌 C2H4, 프로펜 C3H6 등을 포함할 수 있다.
일부 실시형태에서, 유기 라디칼 (예, CH3 라디칼)은 가스를 포스트 플라즈마 혼합물(post plasma mixtures)에 주입함으로써 생성될 수 있다. 예를 들어, 플라즈마 (예, H2 플라즈마 또는 불활성 가스 플라즈마, 예컨대 He 플라즈마)가 원격 플라즈마 챔버에서 생성될 수 있다. 혼합물은 이온 필터링을 위해 분리 그리드 어셈블리를 통해 통과할 수 있다. 이온 필터링 후, 탄화수소 (CH4 등)는 유기 라디칼 (예, CH3 라디칼)의 생성을 위해 필터링된 혼합물에 주입될 수 있다.
유기 라디칼은 다른 접근법을 사용하여 생성될 수 있다. 예를 들어, 유기 라디칼은 분자 (예, 아조메탄 CH3-N=N-CH3)의 피롤리시스(pyrolysis)(열분해) 또는 UV 보조 분자 해리 (예, 아세톤 CH3COCH3)를 사용하여 생성될 수 있다.
워크피스는 받침대 상에 지지될 수 있다. 받침대는 가공 동안 워크피스 온도의 온도를 제어하기 위해 사용되는 온도 조절 시스템 (예, 하나 이상의 전기 히터)을 포함할 수 있다. 일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 약 20℃ 내지 약 500℃ 범위의 온도에서 워크피스로 수행될 수 있다.
유기 라디칼 기반 표면 처리 프로세스는 드라이 스트립 프로세스와 함께 수행될 수 있다. 예를 들어, 일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 드라이 스트립 프로세스와 동일한 프로세스 단계에서 수행될 수 있다. 이 예시적인 실시형태에서, 플라즈마 소스 (예, 유도 결합 플라즈마 소스, 축전 결합 플라즈마 소스(capacitively coupled plasma source) 등)는 플라즈마 챔버에서 공정 가스로부터 하나 이상의 수소 라디칼을 생성하는데 사용될 수 있다. 공정 가스는 예를 들어 H2 및 N2를 포함하는 혼합물 및/또는 H2 및 He를 포함하는 혼합물 및/또는 H2 및 Ar을 포함하는 혼합물을 포함할 수 있다. 플라즈마 소스는 또한 동일한 프로세스 단계의 일부로서 하나 이상의 탄화수소 분자를 해리하여 유기 라디칼 (예, CH3 라디칼)을 생성하는데 사용될 수 있다. 수소 라디칼 및 유기 라디칼은 워크피스에 노출시키기 위해 분리 그리드를 통해 가공 챔버로 이동할 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 드라이 스트립 프로세스와 별개의 단계로서 수행될 수 있다. 예를 들어, 반도체 기판을 가공하는 방법은 드라이 스트립 프로세스를 수행하는 단계를 포함할 수 있다. 드라이 스트립 프로세스 동안, 플라즈마 챔버에서 드라이 스트립 프로세스를 수행하기 위한 하나 이상의 라디칼을 생성하기 위해 플라즈마 소스가 사용될 수 있다. 라디칼은 건조 스트립 프로세스를 수행하기 위해 워크피스에 노출시키기 위해 분리 그리드를 통해 이동할 수 있다.
별도의 프로세스 단계에서, 하나 이상의 유기 라디칼 (예, CH3) 라디칼은 본 개시의 예시적인 실시형태에 따른 유기 라디칼 기반 표면 처리 프로세스의 일부로서 워크피스에 노출될 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 드라이 스트립 프로세스 전 및/또는 후에 수행될 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 습식 프로세스(wet process)와 함께 수행될 수 있다. 습식 프로세스 (예, 습식 세정 프로세스 및/또는 습식 에칭 프로세스)는 재료의 제거, 워크피스의 세정 등을 위해 워크피스를 습식 화학 용액에 노출시킬 수 있다. 워크피스 상의 일부 재료의 표면은 친수성일 수 있고, 다른 것은 소수성일 수 있다. 일부 경우에, 습식 화학 용액은 수성 (수 기반(water based)) 또는 유기성일 수 있다. 표면 친수성/소수성 및 화학 용액 조성물 사이의 불일치는 디바이스 제조에 어려움을 야기할 수 있다. 예를 들어, 애스펙트 비 구조의 워크피스를 가공하는 동안, 표면 친수성/소수성 및 화학 용액 사이의 불일치는 습식 화학 용액의 애스펙트 비 구조의 바닥에 대한 접근의 어려움 또는 너무 큰 표면 장력을 갖는 모세관 효과로 인한 패턴 붕괴를 초래할 수 있다.
일부 예에서, 워크피스 상의 다수의 재료가 동시에 습식 프로세스에 노출된다. 또한 고급 로직(advanced logic) 및 메모리 디바이스의 제조는 새로운 재료를 편입한다. 임계 치수 무결성에 대한 요구가 점점 엄격해짐에 따라, 습식 세정 및 습식 에칭 프로세스는 매우 선택적(selective)이어야 한다. 결과적으로, 습식 화학 제제는 더욱 복잡해지고, 관련된 화학 물질의 타입뿐만 아니라 적용 방법도 다양한 안전 위험을 나타낼 수 있다. 임계 치수가 작아지고 필름이 얇아짐에 따라, 표면 영역이 디바이스 성능에서 더 중요한 역할을 한다. 습식 프로세스의 화학 용액은 재료 표면 특성의 큰 변화를 초래할 수 있으며, 이는 디바이스 성능에 악영향을 초래할 수 있다.
일부 경우에, 습식 프로세스의 완료시, 잔류 화학 용액은 저/고 애스펙트-비 구조 내에 포획될 수 있고/있거나 워크피스 표면에 남을 수 있다. 예를 들어, 도 2는 습식 프로세스를 수행한 후의 고 애스펙트 비 구조 (60)의 예를 도시한다. 습식 화학 용액 (65)은 고 애스펙트 비 구조 (60)에 포획(trap)될 수 있다. 습식 화학 용액 (65)과 관련된 표면 장력은 고 애스펙트 비 구조의 붕괴를 초래할 수 있고, 이는 붕괴된 구조 (62)를 초래할 수 있다.
일부 경우에, 잔류 화학 용액은 대기 주변(air ambient) 노출시, 추가적인 산소 및 수분 흡수를 할 수 있다. 이는 미립자 형성 및 재료 부식을 초래하여, 바람직하지 않은 디바이스 성능 변화 및 디바이스 수율 저하를 초래할 수 있다.
본 개시의 예시적인 양태에 따르면, 유기 라디칼 기반 표면 처리 프로세스는 표면 소수성/친수성을 변경시킬 수 있다(예, 메틸화를 통해). 예를 들어, 유기 라디칼 기반 표면 처리 프로세스는 실리콘 함유 유전체 재료 (예, SiO2 및/또는 Si3N4)를 유기 라디칼 (예, CH3)에 노출시켜 재료의 표면 습윤 각도를 변경할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 습식 프로세스 전 및/또는 후에 수행될 수 있다.
예를 들어, 일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 표면 습윤 각도의 정확한 제어를 위해 습식 프로세스 전에 제조 플로우에 삽입될 수 있다. 이는 웨이퍼 표면 및 패턴화된 구조 내부의 화학적 세정을 용이하게 할 수 있고, 동시에 고 애스펙트 비 패턴 붕괴를 방지하기 위해 표면 장력을 조절할 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 표면을 부동태화하고, 기판 재료에 대한 화학적 공격을 감소시키고/시키거나 바람직하지 않은 재료 손실 또는 물질 변경 (예, 산화)을 감소시키기 위해 습식 프로세스 전에 제조 플로우에 삽입될 수 있다. 이러한 방식에서, 유기 라디칼 기반 표면 처리 프로세스는 유기기(organic groups)의 안정한 층으로 표면을 부동태화할 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 습식 프로세스 후 워크피스 상의 잔류 화학 물질을 제거(scavenge)할 수 있다. 따라서, 유기 라디칼 기반 표면 처리 프로세스는 습식 프로세스 후에 제조 플로우에 삽입되어 재료 부식 및 표면 열화를 감소시키고/시키거나 미립자(particulate) 형성을 감소시키시킬 수 있다.
본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스는 반도체 디바이스 제조에서 가스 분자-기반 또는 이온-기반 프로세스와 대조될 수 있다. 가스 분자는 다양한 확산(diffusion)/어닐링 및 화학 기상 증착 프로세스에 사용될 수 있으며, 이온 프로세스는 이온 주입(ion implantation) 및 이온 에칭을 포함할 수 있다. 일반적으로 가스 분자-기반 프로세스는 표면 충전(surface charging)에 문제가 없지만 저온에서 낮은 반응성을 가질 수 있다. 대조적으로, 이온은 반응성이 높고 낮은 표면 온도에서 반응할 수 있지만, 직접 이온 충격(bombardment)으로 인해 재료 손실, 표면 충전(charging), 및 표면 손상에 악영향을 줄 수 있다. 가스 분자 및 이온-기반 프로세스와 비교하여, 라디칼 기반 프로세스는 반응성이 높을 수 있으며, 동시에 이온 프로세스와 관련된 재료 손실 및 표면 충전/손상 문제를 감소시킬 수 있다.
본 개시의 양태는 예시 및 논의의 목적으로 "웨이퍼" 또는 반도체 웨이퍼를 참조하여 논의된다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본 개시의 예시적인 양태가 임의의 반도체 기판 또는 다른 적합한 기판과 관련하여 사용될 수 있음을 이해할 것이다. 또한, 수치와 함께 용어 "약"의 사용은 언급된 수치의 10 퍼센트 (10%) 이내를 의미하는 것으로 의도된다. "받침대(pedestal)"은 워크피스를 지지하는데 사용할 수 있는 임의의 구조를 지칭한다.
본 개시의 일 예시적인 실시형태는 워크피스를 가공하는 방법에 관한 것이다. 워크피스는 반도체 재료 (실리콘 및/또는 실리콘 게르마늄)를 포함할 수 있다. 방법은 워크피스에 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 제 1 챔버에서 하나 이상의 종을 생성하는 단계를 포함할 수 있다. 표면 처리 프로세스는 하나 이상의 탄화수소 분자를 종과 혼합하여 혼합물을 생성하는 단계를 포함할 수 있다. 혼합물은 하나 이상의 유기 라디칼을 포함할 수 있다. 표면 처리 프로세스는 반도체 재료를제 2 챔버에서 혼합물에 노출시키는 단계를 포함할 수 있다.
일부 실시형태에서, 하나 이상의 탄화수소 분자는 화학식 CnH2n+2를 가지며, 여기서 n은 1 이상 그리고 10 이하이다. 일부 실시형태에서, 하나 이상의 탄화수소 분자는 화학식 CnH2n을 가지며, 여기서 n은 2 이상 그리고 10 이하이다.
일부 실시형태에서, 하나 이상의 유기 라디칼은 제 1 챔버에서 하나 이상의 탄화수소 분자를 해리함으로써 생성된다. 일부 실시형태에서, 방법은 제 1 챔버를 제 2 챔버로부터 분리하는 분리 그리드를 사용하여 하나 이상의 이온을 필터링하는 단계를 포함한다.
일부 실시형태에서, 하나 이상의 유기 라디칼은 하나 이상의 탄화수소 분자와 종의 반응에 의해 생성된다. 일부 실시형태에서, 하나 이상의 유기 라디칼은 CH3 라디칼을 포함한다. 일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 반도체 재료의 적어도 일부에서 메틸화를 초래한다.
일부 실시형태에서, 하나 이상의 종은 제 1 챔버의 공정 가스에서 유도된 플라즈마에 의해 생성될 수 있다. 일부 실시형태에서, 공정 가스는 불활성 가스, 예컨대 헬륨일 수 있다. 일부 실시형태에서, 공정 가스는 수소 가스를 포함하고 종은 수소 라디칼을 포함할 수 있다.
일부 실시형태에서, 종은 가열된 필라멘트를 사용하여 생성된 하나 이상의 수소 라디칼을 포함할 수 있다. 일부 실시형태에서, 하나 이상의 유기 라디칼은 분자의 피롤리시스 또는 UV-보조 분자 해리를 사용하여 생성된다.
일부 실시형태에서, 워크피스는 실리콘 함유 유전체층을 포함한다. 일부 예에서, 실리콘 함유 유전체층은 실리콘 산화물 층을 포함하고, 실리콘 산화물 층에서 산소 대 실리콘(oxygen to silicon) 비율은 1을 초과한다. 일부 예에서, 실리콘 함유 유전체층은 실리콘 질화물 층(silicon nitride layer)을 포함하고, 실리콘 질화물 층에서 질소 대 실리콘(nitrogen to silicon) 비율은 0.5를 초과한다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 실리콘 함유 유전체층 상에 수행되어, 실리콘 함유 유전체층의 표면 습윤 각도를 조정한다. 일부 실시형태에서, 습식 프로세스는 유기 라디칼 기반 표면 처리 프로세스를 수행 한 후에 수행될 수 있다. 일부 실시형태에서, 습식 프로세스는 유기 라디칼 기반 표면 처리 프로세스 전에 수행될 수 있다.
본 개시의 다른 예시적인 실시형태는 반도체 워크피스를 가공하는 방법에 관한 것이다. 워크피스는 반도체 재료를 포함한다. 반도체 재료는 실리콘 또는 실리콘 게르마늄을 포함한다. 상기 방법은 반도체 재료에 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함한다. 유기 라디칼 기반 표면 처리 프로세스는: 유도 결합 플라즈마 소스로 불활성 가스에서 플라즈마를 유도함으로써, 플라즈마 챔버에서 불활성 가스에서 하나 이상의 여기된 종을 생성하는 단계; 하나 이상의 탄화수소 분자를 여기된 종과 혼합함으로써 하나 이상의 유기 라디칼을 생성하는 단계 (여기서 하나 이상의 탄화수소 분자는 CnH2n+2 또는 CnH2n의 화학식 가지며, 여기서 n은 1 이상 그리고 1 이하이다); 및 가공 챔버에서 반도체 재료를 유기 라디칼에 노출시키는 단계 (가공 챔버는 분리 그리드에 의해 플라즈마 챔버로부터 분리된다)를 포함한다. 일부 실시형태에서, 하나 이상의 유기 라디칼은 메틸 (CH3) 라디칼을 포함한다.
도 3은 본 개시의 예시적인 실시형태에 따른 표면 처리 프로세스를 수행하는 데 사용될 수 있는 예시적인 플라즈마 가공 장치 (100)를 도시한다. 도시된 바와 같이, 플라즈마 가공 장치 (100)는 가공 챔버 (110) 및 가공 챔버 (110)로부터 분리된 플라즈마 챔버 (120)를 포함한다. 가공 챔버 (110)는 프로세스될 워크피스 (114), 예컨대 반도체 웨이퍼를 유지하도록 작동가능한 기판 홀더 또는 받침대 (112)를 포함한다. 이 예시적인 예시에서, 플라즈마는 유도 결합 플라즈마 소스 (135)에 의해 플라즈마 챔버 (120) (즉, 플라즈마 생성 영역)에서 생성되고, 원하는 종은 분리 그리드 어셈블리 (200)를 통해 플라즈마 챔버 (120)로부터 기판 (114)의 표면으로 보내진다(channeled).
본 개시의 양태는 예시 및 논의의 목적으로 유도 결합 플라즈마 소스를 참조하여 논의된다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 임의의 플라즈마 소스 (예, 유도 결합 플라즈마 소스, 축전 결합 플라즈마 소스 등)가 본 개시의 범위를 벗어나지 않고 사용될 수 있음을 이해할 것이다.
플라즈마 챔버 (120)는 유전체 측벽 (122) 및 천장(ceiling) (124)을 포함한다. 유전체 측벽 (122), 천장 (124) 및 분리 그리드 (200)는 플라즈마 챔버 내부 (125)를 형성한다. 유전체 측벽 (122)은 유전체 재료, 예컨대 석영 및/또는 알루미나로 형성될 수 있다. 유도 결합 플라즈마 소스 (135)는 플라즈마 챔버 (120) 주위의 유전체 측벽 (122)에 인접하게 배치된 유도 코일 (130)을 포함할 수 있다. 유도 코일 (130)은 적절한 매칭 네트워크 (132)를 통해 RF 파워 발생기 (134)에 커플링된다. 공정 가스 (예, 반응물 및/또는 캐리어 가스)는 가스 공급부 (150) 및 환형 가스 분배 채널 (151) 또는 다른 적절한 가스 도입 메커니즘으로부터 챔버 내부로 제공될 수 있다. 유도 코일 (130)이 RF 파워 발생기 (134)로부터 RF 파워로 에너지를 공급받을 때, 플라즈마가 플라즈마 챔버 (120)에서 생성될 수 있다. 특정 실시형태에서, 플라즈마 가공 장치 (100)는 플라즈마에 대한 유도 코일 (130)의 용량 결합(capacitive coupling)을 감소시키기 위해 선택적인 접지된 패러데이 쉴드 (128)를 포함할 수 있다.
도 3에 도시된 바와 같이, 분리 그리드 (200)는 플라즈마 챔버 (120)를 가공 챔버 (110)로부터 분리한다. 분리 그리드 (200)는 플라즈마 챔버 (120)에서 플라즈마에 의해 생성된 혼합물로부터 이온 필터링을 수행하여 필터링된 혼합물을 생성하는데 사용될 수 있다. 필터링된 혼합물은 가공 챔버 (110)에서 워크피스 (114)에 노출될 수 있다.
일부 실시형태에서, 분리 그리드 (200)는 다중-플레이트 분리 그리드일 수 있다. 예를 들어, 분리 그리드 (200)는 서로 병렬 관계로 이격된 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)를 포함할 수 있다. 제 1 그리드 플레이트 (210)와 제 2 그리드 플레이트 (220)은 거리를 두고 분리될 수 있다.
제 1 그리드 플레이트 (210)는 복수의 홀을 갖는 제 1 그리드 패턴을 가질 수 있다. 제 2 그리드 플레이트 (220)는 복수의 홀을 갖는 제 2 그리드 패턴을 가질 수 있다. 제 1 그리드 패턴은 제 2 그리드 패턴과 동일하거나 상이할 수 있다. 하전된 입자는 분리 그리드 (200)에서 각각의 그리드 플레이트 (210, 220)의 홀을 통해 이의 경로에서 벽에 재결합될 수 있다. 중성물(neutrals) (예, 라디칼)은 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)의 홀을 통해 비교적 자유롭게 흐를 수 있다. 각 그리드 플레이트 (210 및 220)의 홀의 크기 및 두께는 하전 입자 및 중성 입자 모두에 대한 투명성에 영향을 줄 수 있다.
일부 실시형태에서, 제 1 그리드 플레이트 (210)는 금속 (예, 알루미늄) 또는 기타 전기 전도성 재료로 제조될 수 있고/있거나 제 2 그리드 플레이트 (220)는 전기 전도성 재료 또는 유전체 재료 (예, 석영, 세라믹 등)로 제조될 수 있다. 일부 실시형태에서, 제 1 그리드 플레이트 (210) 및/또는 제 2 그리드 플레이트 (220)는 기타 재료, 예컨대 실리콘 또는 실리콘 카바이드로 제조될 수 있다. 그리드 플레이트가 금속 또는 기타 전기 전도성 재료로 제조되는 경우, 그리드 플레이트는 접지될 수 있다.
도 4는 본 개시의 예시적인 양태에 따른 예시적인 유기 라디칼 기반 표면 처리 프로세스 (300)의 플로우 다이어그램을 도시한다. 유기 라디칼 기반 표면 처리 프로세스 (300)은 플라즈마 가공 장치 (100)를 사용하여 구현될 수 있다. 그러나, 아래에서 상세히 논의되는 바와 같이, 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스는, 본 개시의 범위를 벗어나지 않고, 다른 접근법을 사용하여 구현될 수 있다. 도 4는 예시 및 논의의 목적으로 특정 순서로 수행되는 단계를 도시한다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본원에 기재된 임의의 방법의 다양한 단계가 본 개시의 범위를 벗어나지 않고 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변형될 수 있음을 이해할 것이다. 또한, 본 개시의 범위를 벗어나지 않고, 다양한 추가 단계 (미도시)가 수행될 수 있다.
(302)에서, 유기 라디칼 기반 표면 처리 프로세스는 워크피스를 가열하는 단계를 포함할 수 있다. 예를 들어, 워크피스 (114)는 가공 챔버 (110)에서 프로세스 온도로 가열될 수 있다. 워크피스 (114)은 예를 들어 받침대 (112)와 관련된 하나 이상의 가열 시스템을 사용하여 가열될 수 있다. 일부 실시형태에서, 워크피스는 약 20℃ 내지 약 400℃ 범위의 프로세스 온도로 가열될 수 있다.
(304)에서, 표면 처리 프로세스는 공정 가스를 플라즈마 챔버 내로 유입되도록 하는 단계를 포함할 수 있다. 예를 들어, 공정 가스는 환형 가스 분배 채널 (151) 또는 다른 적절한 가스 도입 메커니즘을 통해 가스 소스 (150)로부터 플라즈마 챔버 내부 (125)로 유입될 수 있다. 일부 실시형태에서, 공정 가스는 하나 이상의 탄화수소 분자를 포함할 수 있다. 예시적인 탄화수소 분자는 예를 들어, 비-사이클릭알칸 CnH2n+2를 포함할 수 있으며, 여기서 n은 1 이상 그리고 10 이하이다. 예를 들어, 탄화수소 분자는 비-사이클릭알칸, 예컨대 메탄 CH4, 에탄 C2H6, 프로판 또는 이소-프로판 C3H8 등을 포함할 수 있다.
일부 실시형태에서, 탄화수소 분자는 사이클릭알칸 CnH2n을 포함할 수 있으며, 여기서 n은 5 이상 그리고 10 이하이다. 예를 들어, 탄화수소 전구체는 사이클릭알칸, 예컨대 사이클로펜탄 C5H10, 사이클로헥산 C6H12, 메틸-사이클로헥산, C7H14, 디메틸-사이클로헥산 C8H16, 1,3,5-트리메틸-사이클로헥산 C9H18 등을 포함할 수 있다. 일부 실시형태에서, 탄화수소 전구체는 알켄 CnH2n (여기서, n은 2 이상 그리고 10 이하이다), 예컨대 에틸렌 C2H4, 프로펜 C3H6을 포함할 수 있다.
(306)에서, 표면 처리 프로세스는 수소 가스 (H2)와 같은 반응성 가스와 같은 제 2 가스가 플라즈마 챔버 내로 유입되도록 하는 단계를 포함할 수 있다 (예, 선택적으로 포함). 예를 들어, 제 2 가스는 공정 가스의 일부로서 플라즈마 챔버 내로 유입될 수 있다. 공정 가스는 H2 및 N2를 포함하는 혼합물 및/또는 H2 및 He를 포함하는 혼합물 및/또는 H2 및 Ar을 포함하는 혼합물을 포함할 수 있다. 일부 실시형태에서, 공정 가스는 불활성 가스, 예컨대 헬륨, 아르곤 또는 크세논이다.
(308)에서, 표면 처리 프로세스는 플라즈마 챔버에서 플라즈마를 생성하기 위해 유도 결합 플라즈마 소스에 에너지를 공급하는 단계(energizing)를 포함할 수 있다. 예를 들어, 유도 코일 (130)에 플라즈마 챔버 내부 (125)에서 플라즈마를 생성하기 위해 RF 파워 발생기 (134)로부터 RF 에너지로 에너지가 공급될 수 있다. 일부 실시형태에서, 유도 결합 파워 소스는 펄스 파워로 에너지가 공급되어 감소된 플라즈마 에너지를 갖는 원하는 라디칼을 얻을 수 있다. 플라즈마는 수소 가스로부터 하나 이상의 수소 라디칼을 생성하는데 사용될 수 있다.
(310)에서, 표면 처리 프로세스는 플라즈마를 사용하여 플라즈마 챔버 내부의 혼합물에서 하나 이상의 탄화수소 분자를 해리하는 단계를 포함할 수 있다. 예를 들어, 유도 결합 플라즈마 소스 (135)를 사용하여 플라즈마 챔버 내부 (125)에서 유도된 플라즈마는 공정 가스에서 탄화수소 분자 및 다른 분자를 해리하여 라디칼 및 이온을 생성할 수 있다. 예를 들어, 하나 이상의 탄화수소 분자는 플라즈마에서 해리되어 유기 라디칼, 예컨대 CH3 라디칼을 생성할 수 있다.
(312)에서, 표면 처리 프로세스는 혼합물에서 플라즈마에 의해 생성된 하나 이상의 이온을 필터링하여 필터링된 혼합물을 생성하는 단계를 포함할 수 있다. 필터링된 혼합물은 탄화수소 분자의 해리에 의해 생성된 라디칼, 예컨대 CH3 라디칼을 포함할 수 있다.
일부 실시형태에서, 하나 이상의 이온은 워크피스가 위치된 가공 챔버로부터 플라즈마 챔버를 분리하는 분리 그리드 어셈블리를 사용하여 필터링될 수 있다. 예를 들어, 분리 그리드 (200)는 플라즈마에 의해 생성된 이온을 필터링하는데 사용될 수 있다. 분리 그리드 (200)는 복수의 홀을 가질 수 있다. 하전된 입자 (예, 이온)는 복수의 홀을 통한 이의 경로에서 벽에 재결합될 수 있다. 중성물 (예, CH3 라디칼과 같은 라디칼)은 홀을 통해 이동할 수 있다.
일부 실시형태에서, 분리 그리드 (200)는 약 90% 이상, 예컨대 약 95% 이상의 효율로 이온을 필터링하도록 구성될 수 있다. 이온 필터링에 대한 퍼센트 효율은 혼합물의 총 이온 수에 대한 혼합물에서 제거된 이온의 양을 지칭한다. 예를 들어, 약 90%의 효율은 필터링 동안 이온의 약 90%가 제거됨을 나타낸다. 약 95%의 효율은 필터링 동안 이온의 약 95%가 제거됨을 나타낸다.
일부 실시형태에서, 분리 그리드는 다중-플레이트 분리 그리드(multi-plate separation grid)일 수 있다. 다중-플레이트 분리 그리드는 다수의 분리 그리드 플레이트를 병렬로 가질 수 있다. 그리드 플레이트에서 홀의 배열 및 정렬은 약 95% 이상과 같은 이온 필터링에 대한 원하는 효율을 제공하도록 선택될 수 있다.
예를 들어, 분리 그리드 (200)는 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)를 서로 병렬 관계로 가질 수 있다. 제 1 그리드 플레이트 (210)는 복수의 홀을 갖는 제 1 그리드 패턴을 가질 수 있다. 제 2 그리드 플레이트 (220)는 복수의 홀을 갖는 제 2 그리드 패턴을 가질 수 있다. 제 1 그리드 패턴은 제 2 그리드 패턴과 동일하거나 상이할 수 있다. 하전된 입자 (예, 이온)는 분리 그리드 (200)에서 각각의 그리드 플레이트 (210, 220)의 홀을 통해 이의 경로에서 벽에 재결합될 수 있다. 중성 종 (예, 라디칼)은 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)의 홀을 통해 비교적 자유롭게 흐를 수 있다.
도 4의 (314)에서. 표면 처리 프로세스는 워크피스를 필터링된 혼합물에 노출시키는 단계를 포함할 수 있다. 보다 구체적으로, 워크피스는 플라즈마에서 생성되고 분리 그리드 어셈블리를 통해 이동하는 라디칼 (예, CH3 라디칼)에 노출될 수 있다. 예로서, 유기 라디칼 (예, CH3 라디칼)은 분리 그리드 (200)를 통해 이동하여 워크피스 (114) 상에 노출될 수 있다. 일부 실시형태에서, 워크피스를 유기 라디칼에 노출시키는 단계는 유기 라디칼을 반도체 재료의 적어도 일부에 부착시킬 수 있다.
유기 라디칼 기반 표면 처리 프로세스는 본 개시의 범위를 벗어나지 않고 다른 접근법을 사용하여 구현될 수 있다. 예를 들어, 일부 실시형태에서, 유기 라디칼은 포스트 플라즈마 가스 주입(post plasma gas injection)을 사용하여 적어도 부분적으로 생성될 수 있다.
예를 들어, 도 5는 본 개시의 예시적인 실시형태에 따라 포스트 플라즈마 가스 주입을 사용하여 유기 라디칼이 생성되는, 예시적인 표면 처리 프로세스 (400)의 플로우 다이어그램을 도시한다. 프로세스 (400)는 예로서 도 3의 플라즈마 가공 장치 (100)를 참조하여 논의될 것이다. 도 5는 예시 및 논의의 목적으로 특정 순서로 수행되는 단계를 도시한다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본원에 기재된 임의의 방법의 다양한 단계가 본 개시의 범위를 벗어나지 않고, 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변형될 수 있음을 이해할 것이다. 또한, 다양한 단계 (미도시)가 본 개시의 범위를 벗어나지 않고 수행될 수 있다.
(402)에서, 표면 처리 프로세스는 워크피스를 가열하는 단계를 포함할 수 있다. 예를 들어, 워크피스 (114)는 가공 챔버 (110)에서 프로세스 온도로 가열될 수 있다. 워크피스 (114)은 예를 들어 받침대 (112)와 관련된 하나 이상의 가열 시스템을 사용하여 가열될 수 있다. 일부 실시형태에서, 워크피스는 약 50℃ 내지 약 400℃ 범위의 온도로 가열될 수 있다.
(404)에서, 표면 처리 프로세스는 공정 가스 혼합물이 플라즈마 챔버로 유입되도록 하는 단계를 포함할 수 있다. 예를 들어, 공정 가스는 환형 가스 분배 채널 (151) 또는 다른 적절한 가스 도입 메커니즘을 통해 가스 소스 (150)로부터 플라즈마 챔버 내부 (125)로 유입될 수 있다. 일부 실시형태에서, 공정 가스는 반응성 가스, 예컨대 수소 가스 (H2)를 포함할 수 있다. 공정 가스는 캐리어 가스, 예컨대 N2 및/또는 He 및/또는 Ar을 포함할 수 있다. 예를 들어, 일부 실시형태에서, 공정 가스는 H2 및 N2를 포함하는 혼합물일 수 있다. 일부 다른 실시형태에서, 공정 가스는 H2 및 He를 포함하는 혼합물일 수 있다. 또 다른 일부 실시형태에서, 공정 가스는 H2 및 Ar을 포함하는 혼합물일 수 있다.
일부 실시형태에서, 공정 가스는 불활성 가스일 수 있다. 예를 들어, 공정 가스는 반응성 가스가 없는 불활성 가스일 수 있다. 특정 실시형태에서, 공정 가스는 헬륨, 아르곤, 크세논 또는 다른 불활성 가스일 수 있다.
(406)에서, 표면 처리 프로세스는 플라즈마 챔버에서 플라즈마를 생성하기 위해 유도 결합 플라즈마 소스에 에너지를 공급하는 단계를 포함할 수 있다. 예를 들어, 유도 코일 (130)에 플라즈마 챔버 내부 (125)에서 플라즈마를 생성시키기 위해 RF 파워 발생기 (134)로부터 RF 에너지로 에너지가 공급될 수 있다. 일부 실시형태에서, 유도 결합 파워 소스는 펄스 파워로 에너지를 공급 받아 감소된 플라즈마 에너지를 갖는 원하는 종을 얻을 수 있다.
(408)에서, 표면 처리 프로세스는 공정 가스로부터 플라즈마에서 하나 이상의 종을 생성하는 단계를 포함할 수 있다. 예를 들어, 유도 결합 플라즈마 소스 (135)를 사용하여 반응성 공정 가스 (예, H2)로부터 플라즈마 챔버 내부 (125)에서 유도된 플라즈마는 공정 가스 혼합물에서 분자를 해리하여 라디칼 (예, H 라디칼) 및 이온을 생성할 수 있다. 다른 예로서, 유도 결합 플라즈마 소스 (135)를 사용하여 불활성 공정 가스 (예, He)로부터 플라즈마 챔버 내부 (125)에서 유도된 플라즈마는 하나 이상의 여기된 불활성 가스 분자 (예, 여기된 He 분자)를 생성할 수 있다.
(410)에서, 표면 처리 프로세스는 혼합물에서 플라즈마에 의해 생성된 하나 이상의 이온을 필터링하여 필터링된 혼합물을 생성하는 단계를 포함할 수 있다. 필터링된 혼합물은 공정 가스로부터 플라즈마에서 생성된 종을 포함할 수 있다.
일부 실시형태에서, 하나 이상의 이온은 워크피스가 위치된 가공 챔버로부터 플라즈마 챔버를 분리하는 분리 그리드 어셈블리를 사용하여 필터링될 수 있다. 예를 들어, 분리 그리드 (200)는 플라즈마에 의해 생성된 이온을 필터링하는데 사용될 수 있다.
분리 그리드 (200)는 복수의 홀을 가질 수 있다. 하전된 입자 (예, 이온)는 복수의 홀을 통해 이의 경로에서 벽에 재결합될 수 있다. 중성 입자 (예, 라디칼)는 홀을 통해 이동할 수 있다. 일부 실시형태에서, 분리 그리드 (200)는 약 90% 이상, 예컨대 약 95% 이상의 효율로 이온을 필터링하도록 구성될 수 있다.
일부 실시형태에서, 분리 그리드는 다중-플레이트 분리 그리드일 수 있다. 다중-플레이트 분리 그리드는 다수의 분리 그리드 플레이트를 병렬로 가질 수 있다. 그리드 플레이트에서 홀의 배열 및 정렬은 약 95% 이상과 같은 이온 필터링에 대한 원하는 효율을 제공하도록 선택될 수 있다.
(412)에서, 프로세스는 필터링 후 필터링된 혼합물에 탄화수소 분자를 주입하는 단계를 포함할 수 있다. 탄화수소 분자는 수소 라디칼과 반응하여 원하는 라디칼 (예, CH3 라디칼)을 생성할 수 있다.
탄화수소 분자의 예는 예를 들어 비-사이클릭알칸 CnH2n+2를 포함할 수 있으며, 여기서 n은 1 이상 그리고 10 이하이다. 예를 들어, 탄화수소 분자는 비-사이클릭알칸, 예컨대 메탄 CH4, 에탄 C2H6, 프로판 또는 이소-프로판 C3H8 등을 포함할 수 있다. 탄화수소 분자(들)는 사이클릭알칸 CnH2n을 포함할 수 있으며, 여기서 n은 5 이상 그리고 10 이하이다. 예를 들어, 탄화수소 분자(들)는 사이클릭알칸, 예컨대 사이클로펜탄 C5H10, 사이클로헥산 C6H12, 메틸-사이클로헥산, C7H14, 디메틸-사이클로헥산 C8H16, 1,3,5-트리메틸-사이클로헥산 C9H18 등을 포함할 수 있다. 일부 실시형태에서, 탄화수소 분자(들)는 알켄 CnH2n (여기서, n은 1 이상 그리고 10 이하이다), 예컨대 에틸렌 C2H4, 프로펜 C3H6 등을 포함할 수 있다.
도 6은 본 개시의 예시적인 실시형태에 따른 이온 필터링 후(post ion filtering) 탄화수소 분자의 주입을 위한 예시적인 분리 그리드 (200)를 도시한다. 보다 구체적으로, 분리 그리드 (200)는 이온/UV 필터링을 위해 병렬 관계로 배치된 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)를 포함한다.
제 1 그리드 플레이트 (210)와 제 2 그리드 플레이트 (220)는 서로 병렬 관계로 될 수 있다. 제 1 그리드 플레이트 (210)는 복수의 홀을 갖는 제 1 그리드 패턴을 가질 수 있다. 제 2 그리드 플레이트 (220)는 복수의 홀을 갖는 제 2 그리드 패턴을 가질 수 있다. 제 1 그리드 패턴은 제 2 그리드 패턴과 동일하거나 상이할 수 있다. 플라즈마로부터의 중성 입자 및 하전 입자 (215)는 분리 그리드 (200)에 노출될 수 있다. 하전된 입자 (예, 이온)는 분리 그리드 (200)에서 각각의 그리드 플레이트 (210, 220)의 홀을 통해 이의 경로에서 벽에 재결합(recombine)될 수 있다. 중성 종 (예, H 라디칼 또는 여기된 불활성 가스 분자)은 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)의 홀을 통해 비교적 자유롭게 흐를 수 있다.
제 2 그리드 플레이트 (220)에 이어서, 가스 주입 소스 (230)는 탄화수소 가스가 필터링된 혼합물 내로 유입되게 하도록 구성될 수 있다. 탄화수소 가스의 주입으로 인한 라디칼 (예, CH3 라디칼) (225)은 워크피스에 노출되도록 제 3 그리드 플레이트 (235)를 통해 이동할 수 있다.
본 예는 예시의 목적으로 3 개의 그리드 플레이트를 갖는 분리 그리드를 참조하여 논의된다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본 개시의 범위를 벗어나지 않고, 더 많거나 적은 그리드 플레이트가 사용될 수 있음을 이해할 것이다.
도 5의 (414)에서, 표면 처리 프로세스는 워크피스를 필터링된 혼합물에 노출시키는 단계를 포함할 수 있다. 보다 특히, 워크피스는 탄화수소 분자의 주입 후에 라디칼 (예, CH3 라디칼)에 노출될 수 있다. 예로서, 라디칼 (예, CH3 라디칼)은 제 3 그리드 플레이트 (235)를 통해 이동할 수 있고 (도 6), 워크피스 (114) 상에 노출될 수 있다. 일부 실시형태에서, 워크피스를 유기 라디칼에 노출시키는 단계는 반도체 재료의 적어도 일부의 메틸화를 초래할 수 있다.
일부 실시형태에서, 수소 라디칼은 상이한 수소 라디칼 소스를 사용하여 생성될 수 있다. 예를 들어, 도 7에 도시된 바와 같이, 수소 가스 H2는 가열된 필라멘트 (예, 텅스텐 필라멘트)를 통과하여 제 1 챔버에서 수소 라디칼을 생성할 수 있다. 수소 라디칼은 분리 그리드 (200)를 통해 이동할 수 있다.
분리 그리드 (200)는 병렬 관계로 배치된 제 1 그리드 플레이트 (210) 및 제 2 그리드 플레이트 (220)를 포함한다. 제 1 그리드 플레이트 (210)는 복수의 홀을 갖는 제 1 그리드 패턴을 가질 수 있다. 제 2 그리드 플레이트 (220)는 복수의 홀을 갖는 제 2 그리드 패턴을 가질 수 있다. 제 1 그리드 패턴은 제 2 그리드 패턴과 동일하거나 상이할 수 있다.
제 1 그리드 플레이트 (210)에 이어서, 가스 주입 소스 (230)는 탄화수소 가스가 필터링된 혼합물로 유입되게 하도록 구성될 수 있다. 탄화수소 가스의 주입으로 인한 라디칼 (예, CH3) 라디칼 (264)은 워크피스에 노출되도록 제 2 그리드 플레이트 (220)를 통해 이동할 수 있다.
탄화수소 가스는 하나 이상의 탄화수소 분자를 포함할 수 있다. 예시적인 탄화수소 분자는 예를 들어, 비-사이클릭알칸 CnH2n+2를 포함할 수 있으며, 여기서 n은 1 이상 그리고 10 이하이다. 예를 들어, 탄화수소 분자는 비-사이클릭알칸, 예컨대 메탄 CH4, 에탄 C2H6, 프로판 또는 이소-프로판 C3H8 등을 포함할 수 있다. 탄화수소 분자(들)는 사이클릭알칸 CnH2n을 포함할 수 있으며, 여기서 n은 5 이상 그리고 10 이하이다. 예를 들어, 탄화수소 분자(들)는 사이클릭알칸, 예컨대 사이클로펜탄 C5H10, 사이클로헥산 C6H12, 메틸-사이클로헥산, C7H14, 디메틸-사이클로헥산 C8H16, 1,3,5-트리메틸-사이클로헥산 C9H18 등을 포함할 수 있다. 일부 실시형태에서, 탄화수소 분자(들)는 알켄 CnH2n (여기서, n은 1 이상 그리고 10 이하이다), 예컨대 에틸렌 C2H4, 프로펜 C3H6 등을 포함할 수 있다.
본 예는 예시의 목적으로 2 개의 그리드 플레이트를 갖는 분리 그리드를 참조하여 논의된다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본 개시의 범위를 벗어나지 않고, 더 많거나 적은 그리드 플레이트가 사용될 수 있음을 이해할 것이다.
유기 라디칼 (예, CH3 라디칼)은 본 개시의 범위를 벗어나지 않고 다른 접근법을 사용하여 생성될 수 있다. 일 예로서, 유기 라디칼 (예, CH3 라디칼)은 분자 (예, 아조메탄 CH3-N=N-CH3)의 피롤리시스 (열분해)를 사용하여 생성될 수 있다. 다른 예로서, 유기 라디칼이 UV-보조 분자 해리 (예, 아세톤 CH3COCH3)에 의해 생성될 수 있다.
도 8은 본 개시의 예시적인 양태에 따른 반도체 디바이스 제조를 위한 일 예시적인 방법 (500)의 플로우 다이어그램을 도시한다. 방법 (500)은 예로서 도 3의 플라즈마 가공 장치 (100)를 참조하여 논의될 것이다. 방법 (500)은 예를 들어 분리 그리드에 의해 제 2 챔버로부터 분리된 제 1 챔버를 갖는 임의의 적절한 가공 장치에서 구현될 수 있다. 도 8은 예시 및 논의의 목적으로 특정 순서로 수행되는 단계를 도시한다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본원에 기재된 임의의 방법의 다양한 단계가 본 개시의 범위를 벗어나지 않고, 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변형될 수 있음을 이해할 것이다. 또한, 다양한 단계 (미도시)가 본 개시의 범위를 벗어나지 않고 수행될 수 있다.
(502)에서, 방법은 본 개시의 예시적인 실시형태에 따른 유기 라디칼 기반 표면 처리 프로세스를 수행하기 위한 가공 장치를 컨디셔닝하는 단계를 포함할 수 있다. 예를 들어, 방법은 표면 처리 프로세스를 수행하기 위해 플라즈마 챔버 (120) 및/또는 가공 챔버 (110)를 컨디셔닝하는 단계를 포함할 수 있다. 일부 실시형태에서, 플라즈마 가공 장치 (100)를 컨디셔닝하는 단계는 워크피스를 가공 챔버 (110) 내로 도입하기 전에 플라즈마 챔버 (120)에서 산소 기반 플라즈마를 생성하는 단계를 포함할 수 있다. 다른 산화-기반 화학 프로세스가 본 개시의 범위를 벗어나지 않고 플라즈마 가공 장치를 컨디셔닝하기 위해 수행될 수 있다.
(504)에서, 방법은 워크피스를 플라즈마 가공 장치의 가공 챔버 내에 배치하는 단계를 포함할 수 있다. 가공 챔버는 플라즈마 챔버로부터 분리될 수 있다 (예, 분리 그리드 어셈블리에 의해 분리됨). 예를 들어, 방법은 워크피스 (114)를 가공 챔버 (110) 내의 받침대 (112) 상에 배치하는 단계를 포함할 수 있다.
도 8을 참조하면, 방법은 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스 (506)를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 본원에 개시된 임의의 유기 라디칼 기반 표면 처리 프로세스일 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 프로세스는 도 4-7을 참조하여 논의된 예시적인 표면 처리 프로세스(들)일 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 반도체 재료의 표면의 적어도 일부의 메틸화를 초래하는 메틸 라디칼 기반 프로세스일 수 있다.
일부 실시형태에서, 유기 라디칼 기반 표면 처리 프로세스는 단일 단계로서 드라이 스트립 프로세스와 동시에 수행될 수 있다. 예를 들어, 이 예시적인 실시형태에서, 플라즈마 소스 (135)는 플라즈마 챔버 (120)에서 공정 가스로부터 하나 이상의 수소 라디칼을 생성하는데 사용될 수 있다. 공정 가스는 예를 들어 H2와 N2의 혼합물 및/또는 H2와 He의 혼합물 및/또는 H2와 Ar의 혼합물을 포함할 수 있다. 플라즈마 소스 (135)는 또한 동일한 프로세스 단계의 일부로서 하나 이상의 탄화수소 분자를 해리하여 유기 라디칼 (예, CH3 라디칼)을 생성하는데 사용될 수 있다. 라디칼 (예, CH3 라디칼을 포함)은 워크피스 (114)에 노출되도록 분리 그리드 (200)를 통해 가공 챔버 (110)로 이동할 수 있다. 라디칼은 예를 들어 포토레지스트, 잔류물 또는 다른 재료의 제거를 위해 사용될 수 있다. CH3 라디칼은 드라이 스트립 프로세스 동안 Si 및/또는 SiGe 표면의 재료 손실을 감소시키기 위해 워크피스 상의 Si 및/또는 SiGe 표면에 보호층을 형성할 수 있다.
도 8의 (508)에서, 방법은 가공 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다. 예를 들어, 워크피스 (114)는 가공 챔버 (110) 내의 받침대 (112)로부터 제거될 수 있다. 그 후, 플라즈마 가공 장치는 추가 워크피스의 장래의 가공을 위해 컨디셔닝될 수 있다.
도 9는 본 개시의 예시적인 양태에 따른 반도체 디바이스 제조를 위한 일 예시적인 방법 (600)의 플로우 다이어그램을 도시한다. 방법 (600)은 예로서, 도 3의 플라즈마 가공 장치 (100)를 참조하여 논의될 것이다. 방법 (600)은 예를 들어 분리 그리드에 의해 제 2 챔버로부터 분리된 제 1 챔버를 갖는 임의의 적절한 가공 장치에서 구현될 수 있다. 도 9는 예시 및 논의의 목적으로 특정 순서로 수행되는 단계를 도시한다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본원에 기재된 임의의 방법의 다양한 단계가 본 개시의 범위를 벗어나지 않고 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변형될 수 있음을 이해할 것이다. 또한, 다양한 단계 (미도시)가 본 개시의 범위를 벗어나지 않고 수행될 수 있다.
(602)에서, 방법은 본 개시의 예시적인 실시형태에 따른 유기 라디칼 기반 표면 처리 프로세스를 수행하기 위해 가공 장치를 컨디셔닝하는 단계를 포함할 수 있다. 예를 들어, 방법은 표면 처리 프로세스를 수행하기 위해 플라즈마 챔버 (120) 및/또는 가공 챔버 (110)를 컨디셔닝하는 단계를 포함할 수 있다. 일부 실시형태에서, 플라즈마 가공 장치 (100)를 컨디셔닝하는 단계는 워크피스를 가공 챔버 (110) 내로 도입하기 전에 플라즈마 챔버 (120)에서 산소-기반 플라즈마를 생성하는 단계를 포함할 수 있다. 다른 산화-기반 화학 프로세스가 본 개시의 범위를 벗어나지 않고 플라즈마 가공 장치를 컨디셔닝하기 위해 수행될 수 있다.
(604)에서, 방법은 워크피스를 플라즈마 가공 장치의 가공 챔버 내에 배치하는 단계를 포함할 수 있다. 가공 챔버는 플라즈마 챔버로부터 분리될 수 있다 (예, 분리 그리드 어셈블리에 의해 분리됨). 예를 들어, 방법은 워크피스 (114)를 가공 챔버 (110) 내의 받침대 (112) 상에 배치하는 단계를 포함할 수 있다.
(606)에서, 방법은 드라이 스트립 프로세스를 수행하는 단계를 포함할 수 있다. 드라이 스트립 프로세스는 유기 라디칼 기반 표면 처리 프로세스와 관련하여 별도의 단계로서 수행될 수 있다. 드라이 스트립 프로세스는 하나 이상의 이온 및 라디칼을 생성하기 위해 유도 결합 플라즈마 소스 (135)를 사용하여 플라즈마 챔버 (120)에서 공정 가스에서 플라즈마를 유도하는 단계를 포함할 수 있다. 공정 가스는, 예를 들어 H2와 N2를 갖는 혼합물 및/또는 H2와 He를 갖는 혼합물 및/또는 H2와 Ar을 갖는 혼합물일 수 있다. 이온 및 라디칼은 분리 그리드에 제공될 수 있다. 분리 그리드는 하나 이상의 이온을 필터링할 수 있고, 라디칼이 워크피스에 노출되도록 분리 그리드를 통해 가공 챔버로 이동하도록 할 수 있다. 라디칼은, 예를 들어 포토레지스트 제거 또는 다른 드라이 스트립 프로세스에 사용될 수 있다.
(608)에서, 방법은 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 본원에 개시된 임의의 유기 라디칼 기반 표면 처리 프로세스일 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 프로세스는 도 4-7을 참조하여 논의된 예시적인 표면 처리 프로세스(들)일 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 반도체 재료의 표면의 적어도 일부의 메틸화를 초래하는 메틸 라디칼 기반 프로세스일 수 있다.
도 9의 (610)에서, 방법은 가공 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다. 예를 들어, 워크피스 (114)는 가공 챔버 (110) 내의 받침대 (112)로부터 제거될 수 있다. 그 후, 플라즈마 가공 장치는 추가 워크피스의 장래의 가공을 위해 컨디셔닝될 수 있다.
일부 실시형태에서, 본 개시의 예시적인 실시형태에 따른 유기 라디칼 기반 표면 처리 프로세스는 습식 프로세스 (예, 습식 세정 프로세스 및/또는 습식 에칭 프로세스)와 함께 수행될 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 프로세스는 워크피스 상의 재료를 유기 라디칼 (예, CH3 라디칼)에 노출시킬 수 있다. 유기 라디칼은 습식 프로세스 성능을 향상시키기 위해 재료의 표면 습윤 각도를 조정할 수 있다.
일 예로서, 도 10은 기판 (702) (예, Si 기판) 상에 실리콘 함유 유전체층 (704) (예, SiO2 층 및/또는 Si3N4 층)을 갖는 워크피스 (700)를 도시한다. 습식 프로세스 동안 사용되는 화학 용액 (710)은 실리콘 함유 유전체층의 친수성 특성의 결과로서 실리콘 함유 유전체층의 표면에 걸쳐 스프레드(spread)될 수 있다. 보다 구체적으로, 본 개시의 양태에 따른 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계 전에 실리콘 함유 유전체층 (704)은 비교적 소수성이 아니다 (예, 친수성임).
도 10에 도시된 바와 같이, (705)에서, 화살표 (730)로 표시된 바와 같이, 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스를 수행한 후, 실리콘 함유 유전체층 (704)의 표면 특성은 보다 소수성으로 변경될 수 있다. 이는 표면 처리 프로세스 동안 실리콘 함유 유전체층 (704)을 유기 라디칼 (예, CH3)에 노출시킴으로써 야기될 수 있다. 도시된 바와 같이, 습식 프로세스 동안 사용된 화학 용액 (720)은 실리콘 함유 유전체층 (704)의 표면 상에서 비딩(bead)(구슬모양으로 됨)될 수 있다. 이 방식으로, 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스는 습식 세정 프로세스 및/또는 습식 에칭 프로세스와 같은 습식 프로세스가 개선되도록 하는, 표면 습윤 각도의 조절에 사용될 수 있다.
본 개시의 예시적인 양태는 예시 및 논의의 목적으로 실리콘-함유 유전체 재료 (예, 실리콘 산화물 및/또는 실리콘 질화물)의 표면 습윤 각도를 조정하는 것을 참조하여 논의된다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 방법이 본 개시의 범위를 벗어나지 않고 워크피스 상의 다른 적절한 재료의 특성을 조정하는데 사용될 수 있음을 이해할 것이다.
도 11은 본 개시의 예시적인 양태에 따른 반도체 디바이스 제조를 위한 일 예시적인 방법 (800)의 플로우 다이어그램을 도시한다. 방법 (800)은 예로서 도 3의 플라즈마 가공 장치 (100)를 참조하여 논의될 것이다. 방법 (800)은 예를 들어 분리 그리드에 의해 제 2 챔버로부터 분리된 제 1 챔버를 갖는 임의의 적절한 가공 장치에서 구현될 수 있다. 도 11은 예시 및 논의의 목적으로 특정 순서로 수행되는 단계를 도시한다. 당업자는, 본원에 제공된 개시 내용을 사용하여, 본원에 기재된 임의의 방법의 다양한 단계가 본 개시의 범위를 벗어나지 않고, 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변형될 수 있음을 이해할 것이다. 또한, 다양한 단계 (미도시)가 본 개시의 범위를 벗어나지 않고 수행될 수 있다.
(802)에서, 방법은 습식 프로세스를 수행하는 단계를 포함할 수 있다. 습식 프로세스는 예를 들어, 습식 세정 프로세스 및/또는 습식 에칭 프로세스를 포함할 수 있다. 습식 프로세스는 워크피스를 화학 용액 (예, 수성 화학 용액)에 노출시키는 단계를 포함할 수 있다. 화학 용액은, 예를 들어 워크피스로부터 다양한 잔류물 또는 다른 물질을 제거하기 위해 사용될 수 있다.
(804)에서, 방법은 플라즈마 가공 장치의 가공 챔버 내에 워크피스를 배치하는 단계를 포함할 수 있다. 가공 챔버는 플라즈마 챔버로부터 분리될 수 있다 (예, 분리 그리드 어셈블리에 의해 분리됨). 예를 들어, 방법은 워크피스 (114)를 가공 챔버 (110) 내의 받침대 (112) 상에 배치하는 단계를 포함할 수 있다.
도 11을 참조하여, 방법은 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스 (806)를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 본원에 개시된 임의의 유기 라디칼 기반 표면 처리 프로세스일 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 프로세스는 도 4-7을 참조하여 논의된 예시적인 표면 처리 프로세스(들)일 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 워크피스의 표면의 적어도 일부의 메틸화를 초래하는 유기 라디칼 기반 프로세스일 수 있다.
유기 라디칼 기반 표면 처리 프로세스는 워크피스 상의 재료 (예, 실리콘 함유 유전체 재료)의 표면 습윤 각도를 조정하는데 사용될 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 특성은 습식 세정 프로세스 동안 사용된 화학 용액이 재료 상에 비드 업(baed up)되도록(구슬모양으로 형성되도록) 재료를 보다 소수성이 되도록 하는데 사용될 수 있다. 이는 워크피스로부터 화학 용액의 제거를 용이하게할 수 있다. 이 방식에서, 표면 처리 프로세스는 재료 부식 및 표면 열화(surface deterioration)를 감소시키고, 습식 프로세스 후 워크피스 상에 잔류하는 화학 잔류물로 인한 미립자 형성을 감소시키기 위해 사용될 수 있다.
도 11의 (808)에서, 방법은 가공 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다. 예를 들어, 워크피스 (114)는 가공 챔버 (110) 내의 받침대 (112)로부터 제거될 수 있다.
도 12는 본 개시의 예시적인 양태에 따른 반도체 디바이스 제조를 위한 일 예시적인 방법 (900)의 플로우 다이어그램을 도시한다. 방법 (900)은 예로써 도 3의 플라즈마 가공 장치 (100)를 참조하여 논의될 것이다. 방법 (900)은 예를 들어 분리 그리드에 의해 제 2 챔버로부터 분리된 제 1 챔버를 갖는 임의의 적절한 가공 장치에서 구현될 수 있다. 도 12는 예시 및 논의의 목적으로 특정 순서로 수행되는 단계를 도시한다.
당업자는, 본원에 제공된 개시 내용을 사용하여, 본원에 기재된 임의의 방법의 다양한 단계가 본 개시의 범위를 벗어나지 않고, 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변형될 수 있음을 이해할 것이다. 또한, 다양한 단계 (미도시)가 본 개시의 범위를 벗어나지 않고 수행될 수 있다.
(902)에서, 방법은 워크피스를 플라즈마 가공 장치의 가공 챔버 내에 배치하는 단계를 포함할 수 있다. 가공 챔버는 플라즈마 챔버로부터 분리될 수 있다 (예, 분리 그리드 어셈블리에 의해 분리됨). 예를 들어, 방법은 워크피스 (114)를 가공 챔버 (110) 내의 받침대 (112) 상에 배치하는 단계를 포함할 수 있다.
(904)에서, 방법은 본 개시의 예시적인 양태에 따른 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함할 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 본원에 개시된 임의의 유기 라디칼 기반 표면 처리 프로세스일 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 프로세스는 도 4-7을 참조하여 논의된 예시적인 표면 처리 프로세스(들)일 수 있다. 유기 라디칼 기반 표면 처리 프로세스는 워크피스의 표면의 적어도 일부의 메틸화를 초래하는 메틸 라디칼 기반 프로세스일 수 있다.
유기 라디칼 기반 표면 처리 프로세스는 워크피스 상의 재료 (예, 실리콘 함유 유전체 재료)의 표면 습윤 각도를 조정하는데 사용될 수 있다. 예를 들어, 유기 라디칼 기반 표면 처리 특성은 습식 세정 프로세스 동안 사용된 화학 용액이 재료 상에 구슬모양으로 형성(bead up)되도록 재료를 보다 소수성이 되도록 하는데 사용될 수 있다.
도 12의 (906)에서, 방법은 가공 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다. 예를 들어, 워크피스 (114)는 가공 챔버 (110) 내의 받침대 (112)로부터 제거될 수 있다.
(908)에서, 방법은 습식 프로세스를 수행하는 단계를 포함할 수 있다. 습식 프로세스는 예를 들어 습식 세정 프로세스 및/또는 습식 에칭 프로세스를 포함할 수 있다. 습식 프로세스는 워크피스를 화학 용액 (예, 액체 화학 용액)에 노출시키는 단계를 포함할 수 있다. 화학 용액은, 예를 들어 워크피스로부터 다양한 잔류물 또는 다른 재료를 제거하기 위해 사용될 수 있다.
습식 프로세스 전에 유기 라디칼 기반 표면 처리 프로세스를 수행함으로써, 습식 프로세스 동안 개선된 프로세스 성능을 제공하도록 워크피스 상의 다양한 재료의 표면 습윤 각도가 조정될 수 있다. 이는 패턴화된 구조의 상부 및 내부에서 화학적 세정을 용이하게 할 수 있고 동시에 고 애스펙트 비 패턴 붕괴를 방지하기 위해 표면 장력을 조절할 수 있다. 또한, 유기 라디칼 기반 표면 처리 프로세스는 유기기의 안정한 층으로 표면을 부동태화할 수 있다. 이는 워크피스 재료에 대한 화학적 공격을 감소시킬 수 있고 바람직하지 않은 재료 손실 또는 재료 변형 (예, 산화)을 감소시킬 수 있다.
본 주제를 이의 특정한 예시적인 실시형태에 대하여 상세히 설명하였지만, 당업자는 상기한 내용을 이해하면, 이러한 실시형태의 변형, 변경 및 균등물을 용이하게 생성할 수 있음을 이해할 것이다. 따라서, 본 개시의 범위는 제한적인 것이 아니라 예시적인 것이며, 본 개시는 당업자에게 자명한 바와 같이, 본 주제에 대한 이러한 변형, 변경 및/또는 추가를 포함하는 것을 배제하지 않는다.

Claims (24)

  1. 워크피스를 가공하는 방법으로서,
    상기 워크피스는 반도체 재료를 포함하고,
    상기 방법은 상기 워크피스 상에 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함하고,
    상기 유기 라디칼 기반 표면 처리 프로세스는:
    제 1 챔버에서 하나 이상의 종을 생성하는 단계;
    하나 이상의 탄화수소 분자를 상기 종과 혼합하여, 하나 이상의 유기 라디칼을 포함하는, 혼합물을 생성하는 단계; 및
    제 2 챔버에서 상기 반도체 재료를 상기 혼합물에 노출시키는 단계를 포함하는, 워크피스를 가공하는 방법.
  2. 제 1 항에 있어서,
    상기 반도체 재료는 실리콘을 포함하는, 워크피스를 가공하는 방법.
  3. 제 1 항에 있어서,
    상기 반도체 재료는 실리콘 게르마늄을 포함하는, 워크피스를 가공하는 방법.
  4. 제 1 항에 있어서,
    상기 하나 이상의 유기 라디칼은 제 1 챔버에서 상기 하나 이상의 탄화수소 분자를 해리함으로써 생성되는, 워크피스를 가공하는 방법.
  5. 제 1 항에 있어서,
    상기 하나 이상의 탄화수소 분자는 화학식 CnH2n+2를 가지며, 여기서 n은 1 이상 그리고 10 이하인, 워크피스를 가공하는 방법.
  6. 제 1 항에 있어서,
    상기 하나 이상의 탄화수소 분자는 화학식 CnH2n을 가지며, 여기서 n은 2 이상이고 n은 10 이하인, 워크피스를 가공하는 방법.
  7. 제 1 항에 있어서,
    상기 하나 이상의 유기 라디칼은 상기 하나 이상의 탄화수소 분자와 상기 종의 반응에 의해 생성되는, 워크피스를 가공하는 방법.
  8. 제 1 항에 있어서,
    상기 하나 이상의 유기 라디칼이 CH3 라디칼을 포함하는, 워크피스를 가공하는 방법.
  9. 제 1 항에 있어서,
    상기 유기 라디칼 기반 표면 처리 프로세스는 상기 반도체 재료의 적어도 일부에서 메틸화를 초래하는, 워크피스를 가공하는 방법.
  10. 제 1 항에 있어서,
    상기 하나 이상의 종은 상기 제 1 챔버에서 공정 가스에서 유도된 플라즈마에 의해 생성되는, 워크피스를 가공하는 방법.
  11. 제 10 항에 있어서,
    상기 공정 가스는 불활성 가스인, 워크피스를 가공하는 방법.
  12. 제 11 항에 있어서,
    상기 불활성 가스는 헬륨인, 워크피스를 가공하는 방법.
  13. 제 10 항에 있어서,
    상기 공정 가스는 수소 가스를 포함하고, 상기 종은 수소 라디칼을 포함하는, 워크피스를 가공하는 방법.
  14. 제 1 항에 있어서,
    상기 종은 가열된 필라멘트를 사용하여 생성된 하나 이상의 수소 라디칼을 포함하는, 워크피스를 가공하는 방법.
  15. 제 1 항에 있어서,
    상기 하나 이상의 유기 라디칼은 분자의 피롤리시스(pyrolysis) 또는 UV-보조 분자 해리(UV-assisted molecule dissociation)를 사용하여 생성되는, 워크피스를 가공하는 방법.
  16. 제 10 항에 있어서,
    상기 방법은 상기 제 1 챔버를 상기 제 2 챔버로부터 분리하는 분리 그리드를 사용하여 하나 이상의 이온을 필터링하는 단계를 포함하는, 워크피스를 가공하는 방법.
  17. 제 1 항에 있어서,
    상기 워크피스는 실리콘 함유 유전체층을 포함하는, 워크피스를 가공하는 방법.
  18. 제 17 항에 있어서,
    상기 유기 라디칼 기반 표면 처리 프로세스는 상기 실리콘 함유 유전체층 상에 수행되어 상기 실리콘 함유 유전체층의 표면 습윤 각도를 조정하는, 워크피스를 가공하는 방법.
  19. 제 18 항에 있어서,
    상기 유기 라디칼 기반 표면 처리 프로세스를 수행한 후에, 상기 방법은 상기 워크피스 상에 습식 프로세스를 수행하는 단계를 포함하는, 워크피스를 가공하는 방법.
  20. 제 18 항에 있어서,
    상기 유기 라디칼 기반 표면 처리 프로세스를 수행하기 전에, 상기 방법은 워크피스 상에 습식 프로세스를 수행하는 단계를 포함하는, 워크피스를 가공하는 방법.
  21. 제 17 항에 있어서,
    상기 실리콘 함유 유전체층은 실리콘 산화물 층을 포함하고, 상기 실리콘 산화물 층에서 산소 대 실리콘 비율이 1을 초과하는, 워크피스를 가공하는 방법.
  22. 제 17 항에 있어서,
    상기 실리콘 함유 유전체층은 실리콘 질화물 층을 포함하고, 상기 실리콘 질화물 층에서 질소 대 실리콘 비율은 0.5를 초과하는, 워크피스를 가공하는 방법.
  23. 반도체 워크피스를 가공하는 방법으로서,
    상기 워크피스는 반도체 재료를 포함하고, 상기 반도체 재료는 실리콘 또는 실리콘 게르마늄을 포함하고,
    상기 방법은 상기 반도체 재료 상에 유기 라디칼 기반 표면 처리 프로세스를 수행하는 단계를 포함하고, 상기 유기 라디칼 기반 표면 처리 프로세스는:
    유도 결합 플라즈마 소스로 불활성 가스에서 플라즈마를 유도함으로써 플라즈마 챔버에서 불활성 가스에서 하나 이상의 여기된 종을 생성하는 단계;
    하나 이상의 탄화수소 분자를 상기 여기된 종과 혼합함으로써 하나 이상의 유기 라디칼을 생성하는 단계로서, 상기 하나 이상의 탄화수소 분자는 화학식 CnH2n+2 또는 CnH2n을 가지며, 여기서 n은 1 이상 그리고 10 이하인, 하나 이상의 유기 라디칼을 생성하는 단계; 및
    분리 그리드에 의해 상기 플라즈마 챔버로부터 분리되는, 가공 챔버에서 상기 반도체 재료를 상기 유기 라디칼에 노출시키는 단계를 포함하는, 반도체 워크피스를 가공하는 방법.
  24. 제 23 항에 있어서,
    상기 하나 이상의 유기 라디칼이 메틸 (CH3) 라디칼을 포함하는, 반도체 워크피스를 가공하는 방법.
KR1020207009811A 2017-10-03 2018-09-19 유기 라디칼을 사용한 실리콘 또는 실리콘 게르마늄 표면의 표면 처리 KR20200039815A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762567295P 2017-10-03 2017-10-03
US62/567,295 2017-10-03
US15/958,560 2018-04-20
US15/958,560 US10354883B2 (en) 2017-10-03 2018-04-20 Surface treatment of silicon or silicon germanium surfaces using organic radicals
PCT/US2018/051699 WO2019070402A1 (en) 2017-10-03 2018-09-19 SURFACE TREATMENT OF SILICON OR SILICON SURFACES AND GERMANIUM USING ORGANIC RADICALS

Publications (1)

Publication Number Publication Date
KR20200039815A true KR20200039815A (ko) 2020-04-16

Family

ID=65896836

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207009762A KR20200039017A (ko) 2017-10-03 2018-09-19 유기 라디칼을 이용한 탄소 함유 필름의 표면 처리
KR1020207009811A KR20200039815A (ko) 2017-10-03 2018-09-19 유기 라디칼을 사용한 실리콘 또는 실리콘 게르마늄 표면의 표면 처리
KR1020207009697A KR20200039809A (ko) 2017-10-03 2018-09-19 유기 전구체의 원격 플라즈마에 의한 실리콘 및 탄소 함유 필름의 표면처리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207009762A KR20200039017A (ko) 2017-10-03 2018-09-19 유기 라디칼을 이용한 탄소 함유 필름의 표면 처리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207009697A KR20200039809A (ko) 2017-10-03 2018-09-19 유기 전구체의 원격 플라즈마에 의한 실리콘 및 탄소 함유 필름의 표면처리

Country Status (6)

Country Link
US (5) US10804109B2 (ko)
JP (3) JP7021344B2 (ko)
KR (3) KR20200039017A (ko)
CN (3) CN111433896B (ko)
TW (3) TWI715872B (ko)
WO (3) WO2019070402A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220158431A (ko) * 2021-05-24 2022-12-01 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102363121B1 (ko) 2018-06-11 2022-02-15 매슨 테크놀로지 인크 워크피스의 처리를 위한 수소 반응성 종의 생성
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
CN112368807A (zh) 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
WO2020165990A1 (ja) * 2019-02-14 2020-08-20 株式会社日立ハイテクノロジーズ 半導体製造装置
US11164742B2 (en) 2019-04-30 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Selective deposition using methylation treatment
CN110335802B (zh) * 2019-07-11 2022-03-22 北京北方华创微电子装备有限公司 预清洗腔室及其过滤装置
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
CN110491760B (zh) * 2019-08-23 2020-09-15 江苏鲁汶仪器有限公司 一种法拉第清洗装置及等离子体处理系统
CN112771649B (zh) * 2019-08-28 2022-04-19 玛特森技术公司 用于使用氟自由基处理工件的方法
CN110349830B (zh) * 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
CN116057672A (zh) * 2020-08-20 2023-05-02 应用材料公司 用于氮化钛膜的处理方法
CN113488367A (zh) 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN113471046B (zh) * 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
EP4068000A1 (en) * 2021-03-30 2022-10-05 ASML Netherlands B.V. Conditioning apparatus and method

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH09190979A (ja) * 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
DE19744060C2 (de) 1997-10-06 1999-08-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten
EP0948080A1 (fr) * 1998-03-31 1999-10-06 Koninklijke Philips Electronics N.V. Appareil électronique portable muni d'une antenne
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
JP4644964B2 (ja) * 2001-04-04 2011-03-09 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4863182B2 (ja) * 2002-01-31 2012-01-25 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP4034227B2 (ja) * 2002-05-08 2008-01-16 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US7711675B2 (en) * 2002-07-22 2010-05-04 Microsoft Corporation Database simulation of data types
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
WO2005029550A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for producing crystalline thin films with a uniform crystalline orientation
JP2005093688A (ja) * 2003-09-17 2005-04-07 Jsr Corp 半導体装置および半導体装置の製造方法
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
JP4470557B2 (ja) 2004-03-31 2010-06-02 日本電気株式会社 携帯電話機
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7539329B2 (en) * 2004-07-01 2009-05-26 Hewlett-Packard Development Company, L.P. Method and apparatus for enhancing the usability of an electronic device having an integrated fingerprint sensor
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
CA2748064A1 (en) * 2005-03-25 2006-09-28 Institut National De La Recherche Scientifique Method and apparatus for recovering carbon filamentary structures
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20080026140A1 (en) * 2006-07-25 2008-01-31 Clark E Bradley Graffiti furniture
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4884268B2 (ja) * 2007-03-22 2012-02-29 東京エレクトロン株式会社 アッシング方法
JP4823952B2 (ja) * 2007-03-26 2011-11-24 三菱電機株式会社 半導体装置の製造方法
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
JP5173396B2 (ja) * 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
JP2011253832A (ja) * 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
US8805844B2 (en) * 2008-08-04 2014-08-12 Liveperson, Inc. Expert search
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
DE102009023379B4 (de) * 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
US8501145B2 (en) 2009-07-12 2013-08-06 Mahmood Ghoanneviss Method for growing carbon nanowalls
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
WO2011110667A2 (en) * 2010-03-12 2011-09-15 Optoplan As Rotary joint/swivel device
CN103688343B (zh) * 2011-03-07 2016-09-07 恩特格里公司 化学机械抛光垫修整器
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9214319B2 (en) 2011-08-19 2015-12-15 Mattson Technology, Inc. High efficiency plasma source
US8575041B2 (en) * 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
KR101321424B1 (ko) 2011-11-22 2013-10-22 김일욱 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
JP5398853B2 (ja) * 2012-01-26 2014-01-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR101331566B1 (ko) * 2012-03-28 2013-11-21 한국과학기술연구원 나노결정다이아몬드 박막 및 그 제조방법
WO2013153777A1 (ja) * 2012-04-11 2013-10-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
KR101897062B1 (ko) * 2012-05-31 2018-09-12 엘지이노텍 주식회사 탄화규소 에피 웨이퍼 및 이의 제조 방법
KR101692170B1 (ko) 2012-07-18 2017-01-02 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8821987B2 (en) 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US10006121B2 (en) * 2013-03-14 2018-06-26 Eugene Technology Co., Ltd. Method and apparatus for manufacturing three-dimensional-structure memory device
WO2014146937A2 (en) * 2013-03-22 2014-09-25 Vlyte Innovations Limited An electrophoretic device having a transparent light state
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150239759A1 (en) * 2014-02-25 2015-08-27 Energy Onvector, LLC Microbubble Generator for Enhanced Plasma Treatment of Liquid
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9502255B2 (en) * 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
CN105762109B (zh) * 2014-12-19 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
JP2017050304A (ja) * 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
WO2017147365A1 (en) 2016-02-26 2017-08-31 Mattson Technology, Inc. Implanted photoresist stripping process
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220158431A (ko) * 2021-05-24 2022-12-01 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법

Also Published As

Publication number Publication date
US10269574B1 (en) 2019-04-23
JP2020536387A (ja) 2020-12-10
CN111433896B (zh) 2023-04-21
US10804109B2 (en) 2020-10-13
CN111433893B (zh) 2024-04-02
US11062910B2 (en) 2021-07-13
CN111433893A (zh) 2020-07-17
WO2019070404A1 (en) 2019-04-11
CN111433895A (zh) 2020-07-17
US10354883B2 (en) 2019-07-16
KR20200039017A (ko) 2020-04-14
US20190103270A1 (en) 2019-04-04
JP6991323B2 (ja) 2022-01-12
US20190214262A1 (en) 2019-07-11
CN111433895B (zh) 2023-04-07
KR20200039809A (ko) 2020-04-16
WO2019070403A1 (en) 2019-04-11
TWI715872B (zh) 2021-01-11
TW201933431A (zh) 2019-08-16
JP2020536389A (ja) 2020-12-10
US20190103280A1 (en) 2019-04-04
TW201915211A (zh) 2019-04-16
US20190304793A1 (en) 2019-10-03
US10910228B2 (en) 2021-02-02
TWI743396B (zh) 2021-10-21
JP7021344B2 (ja) 2022-02-16
JP2020536385A (ja) 2020-12-10
CN111433896A (zh) 2020-07-17
TW201928105A (zh) 2019-07-16
US20190103279A1 (en) 2019-04-04
JP6991324B2 (ja) 2022-02-03
WO2019070402A1 (en) 2019-04-11

Similar Documents

Publication Publication Date Title
US11062910B2 (en) Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10964528B2 (en) Integration of materials removal and surface treatment in semiconductor device fabrication
US11387111B2 (en) Processing of workpieces with reactive species generated using alkyl halide
US20210111017A1 (en) Post Etch Defluorination Process
WO2021076843A1 (en) Selective etch process using hydrofluoric acid and ozone gases
CN112313777A (zh) 用于选择性亲水表面处理的臭氧
US11257680B2 (en) Methods for processing a workpiece using fluorine radicals
US11495437B2 (en) Surface pretreatment process to improve quality of oxide films produced by remote plasma
US20240165659A1 (en) Methods of processing workpieces using organic radicals
US11164727B2 (en) Processing of workpieces using hydrogen radicals and ozone gas

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application