CN111433893A - 用有机前体通过远程等离子体表面处理含硅和碳的膜 - Google Patents

用有机前体通过远程等离子体表面处理含硅和碳的膜 Download PDF

Info

Publication number
CN111433893A
CN111433893A CN201880078107.4A CN201880078107A CN111433893A CN 111433893 A CN111433893 A CN 111433893A CN 201880078107 A CN201880078107 A CN 201880078107A CN 111433893 A CN111433893 A CN 111433893A
Authority
CN
China
Prior art keywords
plasma
chamber
surface treatment
treatment process
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880078107.4A
Other languages
English (en)
Other versions
CN111433893B (zh
Inventor
杨晓晅
仲華
吕新亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of CN111433893A publication Critical patent/CN111433893A/zh
Application granted granted Critical
Publication of CN111433893B publication Critical patent/CN111433893B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0081After-treatment of organic or inorganic membranes
    • B01D67/009After-treatment of organic or inorganic membranes with wave-energy, particle-radiation or plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供用于处理低k介电材料的表面处理工艺。一个示例性实施可包括用于处理工件的方法。工件可包括含硅和碳的膜材料。方法可包括用表面处理工艺处理工件。表面处理工艺可包括在第一腔室中生成一种或多种核素;将一种或多种烃分子与核素混合以形成包括一种或多种有机自由基的混合物;和在第二腔室中将在工件上的含硅和碳的层暴露于混合物。

Description

用有机前体通过远程等离子体表面处理含硅和碳的膜
优先权声明
本申请要求2018年4月20日提交的名称为“Surface Treatment Of Silicon AndCarbon Containing Films By Remote Plasma With Organic Precursors(用有机前体通过远程等离子体表面处理含硅和碳的膜)”的美国申请序列号15/958,635的优先权,其要求2017年10月3日提交的名称为“Surface Treatment of Silicon and Carbon ContainingFilms by Remote Plasma with Organic Precursors(用有机前体通过远程等离子体表面处理含硅和碳的膜)”的美国临时申请序列号62/567,295的优先权,其为了所有目的通过引用并入本文。
技术领域
本公开总体上涉及表面处理如半导体工件的基材。
背景技术
含碳低介电常数(k)的介电材料正越来越多地用于半导体器件的制造中。例如,SiOCN可用作高级半导体器件的生产线前道工序(front-end-of-line)(FEOL)应用中的隔离物材料。SiOC可用作生产线后道工序(back-end-of-line)(BEOL)应用中的互连电介质。
含碳低k介电材料如多孔的低k介电材料可容易受到半导体制造工艺步骤(例如,含氧等离子体干法刻蚀、等离子体干灰、湿法清洗、化学机械抛光(CMP)等等)的损坏。这种损坏可包括,例如与碳膜暴露的表面区域(例如,顶表面、侧壁等等)的消耗和用Si-OH(羟基)键置换Si-CH3(甲基)键相关的介电常数(k)的增加。
发明内容
本公开的实施方式的方面和优点将在以下描述中部分地阐述,或可以从描述中得知,或可以通过实施方式的实践得知。
本公开的一个示例性方面涉及用于处理工件的方法。工件可包括含硅和碳的膜材料。方法可包括在含硅和碳的膜材料上进行基于有机自由基的表面处理工艺。表面处理工艺可包括在第一腔室中生成一种或多种核素(species);将一种或多种烃分子与核素混合以形成包括一种或多种有机自由基的混合物;和在第二腔室中将在工件上的含硅和碳的层暴露于混合物。
本公开的其他示例性方面涉及用于工件的表面处理的系统、方法和仪器。
参考以下描述和所附权利要求,各种实施方式的这些和其他特征、方面和优点将变得更好理解。并入在该说明书中并构成该说明书的部分的附图阐明了本公开的实施方式,并且与描述一起用来解释相关原理。
附图说明
针对本领域技术人员的实施方式的详细讨论参照了所附附图的说明书中陈述,其中:
图1描述了示例性生产线前道工序(FEOL)隔离物(spacer)结构;
图2描述了示例性生产线后道工序(BEOL)互连结构;
图3描述了根据本公开的示例性实施方式的示例性等离子处理仪器;
图4描述了根据本公开的示例性实施方式的示例性方法的流程图;
图5描述了根据本公开的示例性实施方式的示例性表面处理工艺的流程图;
图6描述了根据本公开的示例性实施方式的示例性表面处理工艺的流程图;
图7描述了根据本公开的示例性实施方式在表面处理工艺期间在离子过滤后示例性气体注入;
图8描述了根据本公开的示例性实施方式的示例性方法的流程图;以及
图9描述了根据本公开的示例性实施方式的有机自由基的示例性生成。
具体实施方式
现在将详细参考实施方式,在附图中阐明了其一个或多个实例。通过实施方式(非限制本公开)的说明提供每个实例。实际上,对本领域技术人员是显而易见的,在不脱离本公开的范围或精神的情况下,可以对实施方式进行各种修改和变化。例如,作为一个实施方式的部分阐明或描述的特征可以与另一个实施方式一起使用以产生仍进一步的实施方式。因此,意欲本公开的各方面覆盖这样的修改和变化。
本公开的示例性方面涉及在如半导体晶片的工件上用于处理含硅和碳的低k介电膜材料的表面处理工艺。低介电常数(例如,“低k”)介电材料可在高级半导体器件的制造中使用。低k介电材料可具有小于约3.0,如小于约2.5,如小于约2.2的介电常数。如本文使用的,术语“约”与数值联合的使用可指的是所述数值的20%之内。
低k介电材料可用在例如生产线前道工序(FEOL)应用中,作为栅极和源极之间和/或栅极和漏极之间的隔离物。图1描述了具有示例性低k隔离物结构的工件50。更具体地,工件50包括具有晶体管结构54(例如,栅极)的半导体基材52(例如,硅)。介电层55可配置在晶体管结构54与半导体基材52之间。隔离物结构(例如,栅极侧壁隔离物结构)可至少部分地围绕晶体管结构54。隔离物结构可包括含硅和碳的薄膜57。在一些实施方式中,膜57可另外包括氮。在一些实施方式中,膜57可另外包括氧。在一些实施方式中,膜57可以为碳氮化硅(SiCN)膜。在一些实施方式中,膜57可以为氧碳氮化硅(SiOCN)膜。如含氧等离子体干法刻蚀、等离子体干灰、湿法清洗等等的半导体制造工艺可导致对用作FEOL应用中的隔离物结构的部分的膜57的损坏(例如,增加k值)。
低k电介质也可用在生产线后道工序(BEOL)应用中,如互连结构(例如Cu互连结构)的部分。例如,图2描述了用于制造BEOL中的铜互连结构的示例性工艺流程。如示出,工件60包括抗蚀剂层(resist layer)62和在具有铜部分67的层65上形成的含硅和碳的低k介电膜层64。在一些实施方式中,低k介电膜层64可另外包括氧。在一些实施方式中,低k介电膜层64可以为氧碳化硅(SiOC)层。在一些实施方式中,低k介电膜层64可为多孔的。例如,低k介电膜层可具有约1%至约50%的范围内的孔隙率。如本文使用的,“孔隙率”可以为相对于材料的总体积的材料中的空隙或空的空间的体积的度量。
工件60可进行等离子蚀刻工艺以在低k介电膜层64中生成沟槽66和/或通路结构(via structure)。等离子蚀刻工艺可以为含氧干法刻蚀工艺。等离子蚀刻工艺后面可以是超薄Cu层的沉积,其在Cu种子沉积和整体电镀(bulk plating)(没有示出)之前。等离子蚀刻工艺可导致损坏和/或增加低k介电膜层64的介电常数。例如,低k介电膜层64可通过暴露的表面区域(例如,侧墙等等)中的甲基(CH3基团)消耗和用Si-O(氧)键(例如Si-OH键)置换Si-C(碳)键(例如Si-CH3键)被损坏。损坏可导致低k介电膜64的介电常数的增加。
可以进行表面处理工艺以恢复低k介电材料(例如,隔离物结构55中的层57、用作互连结构60的部分的低k介电膜层64等等)。此外,在BEOL互连应用中的Cu沉积之前,进行表面处理工艺(例如,BEOL互连结构制造)以密封低k介电材料的孔。
可在低k介电材料上在BEOL等离子刻蚀后进行的示例性表面处理工艺:紫外线(UV)辅助热固化;烃等离子体处理和仅气体或基于等离子体的甲硅烷基化工艺。UV热固化可去除在低k介电材料的等离子蚀刻期间形成的羟基基团。然而,在示例性实例中,这种热固化可仅在高温(例如,600℃至1000℃)有效,这不适合于BEOL应用。使用甲烷(CH4)或其他烃的烃等离子体处理可在低k介电材料上建立富碳层,但低k介电材料没有显著地恢复。此外,等离子体处理可使工件器件暴露于潜在的等离子损坏。含硅和烃的甲硅烷基化前体可在低温(例如,小于300℃)与Si-OH键反应,并且可以完成损坏的低k介电材料的k值恢复。然而,甲硅烷基化前体可以与BEOL互连结构60中暴露的底层Cu表面67反应,并且不利地影响Cu线的电阻。结果,使用甲硅烷基化工艺对BEOL应用可能为不可行的选择。
根据本公开的示例性方面,在低k介电材料上进行的蚀刻后表面处理工艺可并入所需有机自由基前体(例如,CH3自由基)。基于有机自由基的表面处理工艺可导致有机自由基(例如,基于气相中的CH3自由基的甲基化)连接在低k介电材料的至少一部分上。为了使损坏的低k介电材料恢复(例如,k值恢复),所需有机自由基前体(例如,CH3自由基)可在低温(例如,小于300℃)用Si-C(例如,Si-CH3)键替代Si-OH键。
所需有机自由基前体(例如,CH3自由基)可恢复低k介电材料,而不会负面地影响例如底层Cu表面。所需有机自由基前体(例如,CH3自由基)也可减少在低k介电材料上碳层的沉积。
基于有机自由基的表面处理工艺可包括过滤离子,同时允许中性自由基通过以暴露于工件。例如,分离栅可用于过滤等离子体腔室中生成的离子并且允许中性自由基通过分离栅中的孔(hole)至处理腔室以暴露于工件。
在一些实施方式中,有机自由基可通过解离(disassociating)等离子体腔室中的一种或多种烃分子生成。例如,等离子体可用等离子体腔室中的烃前体生成(例如,使用感应等离子体源、电容等离子体源或其他等离子体源)以处理处理腔室中的具有含硅和碳的膜的工件。等离子体腔室可以远离(remote)处理腔室。分离栅组件可将等离子体腔室和处理腔室分开。分离栅组件可进行离子过滤以减少工件上的直接等离子体损坏。此外,自由基选择(例如,CH3自由基)可以用减少的等离子体能量实现(例如,使用脉冲功率以供给感应耦合等离子体源能量)。自由基选择也可以用在离子过滤后注入另外的气体的方案来实现。
在一些实施方式中,可以选择烃前体以避免可负面地与例如,BEOL应用中的底层Cu表面相互作用的元素。例如,烃前体可避免硅和氧。烃前体可被定制为有效扩散通过损坏的介电层和/或可配置为用于多孔的低k介电材料的孔密封。
示例性烃分子可包括,例如,非环状烷烃CnH2n+2,其中n为大于或等于1和小于或等于10,例如,烃分子可包括非环状烷烃,如甲烷CH4、乙烷C2H6、丙烷或异丙烷C3H8等等。
在一些实施方式中,烃分子可包括环烷烃CnH2n,其中n为大于或等于5和小于或等于10,例如,烃前体可包括环烷烃如环戊烷C5H10、环己烷C6H12、甲基环己烷C7H14、二甲基环己烷C8H16、1,3,5-三甲基环己烷C9H18等等。
在一些实施方式中,烃前体可包括烯烃CnH2n,其中n为大于或等于2和小于或等于10,如乙烯C2H4、丙烯C3H6等等。
在一些实施方式中,烃前体可与其他反应气体结合以用Si-CH3键取代损坏的低k介电材料中的不需要的Si-OH键用于k值恢复。例如,烃前体可与如氢气H2的反应气体结合。
在一些实施方式中,基于有机自由基的表面处理工艺可包括在通过分离栅与处理腔室分开的等离子体腔室中生成一种或多种核素。核素可例如,通过在工艺气体中诱导等离子体生成。在一些实施方式中,工艺气体可为惰性气体,如氦气、氩气、氙气等等。在惰性气体中使用感应等离子体源生成的感应等离子体可生成一种或多种激发的惰性气体分子(例如,激发的氦原子)。在一些实施方式中,工艺气体可以为氢气。在工艺气体中使用感应等离子体源生成的感应等离子体可生成一种或多种氢自由基。
在一些实施方式中,所需自由基(例如,CH3自由基)可通过将气体注入后等离子体混合物生成。例如,等离子体(例如,H2等离子体或惰性气体等离子体,如He等离子体)在远程等离子体腔室中生成。混合物可通过分离栅组件以进行离子过滤。离子过滤后,烃(例如,CH4)可注入至过滤的混合物中,以生产选择的自由基(例如,CH3自由基)。
有机自由基可使用其他方法生成。例如,有机自由基可使用分子(例如,偶氮甲烷CH3-N=N-CH3)的热解(热分解)或UV辅助的分子解离(例如,丙酮CH3COCH3)生成。
在一些实施方式中,可实施根据本公开的示例性实施方式的多个表面处理工艺。多个表面处理工艺可依次地进行。每个表面处理工艺可具有不同的特征。例如,较小尺寸烃分子可使用在第一表面处理工艺中用于有效扩散至损坏的低k材料用以k值恢复。较大和/或环状烃分子可使用在第二表面处理工艺中用于多孔低k材料的有效孔密封。作为另一个实例,供给感应耦合等离子体源能量以在远程等离子体腔室中生成等离子体的不同量的功率可用于多个表面处理工艺中的每个。作为另一个实例,不同压强可用于多个表面处理工艺中的每个。
为了阐述和讨论的目的,本公开的方面参照“晶片”或半导体晶片讨论。使用本文提供的公开内容的本领域普通技术人员将理解,本公开的示例性方面可与任何半导体基材或其他合适的基材联合使用。此外,术语“约”与数值联合的使用旨在提及所述数值的百分之十(10%)之内。“基座”指的是可用于支撑工件的任何结构。
本公开的一个示例性实施方式涉及用于处理工件的方法。工件包括含硅和碳的膜材料。方法包括在含硅和碳的膜材料上进行基于有机自由基的表面处理工艺。表面处理工艺可包括在第一腔室中生成一种或多种核素;将一种或多种烃分子与核素混合以形成包括一种或多种有机自由基(例如,CH3自由基)的混合物;和在第二腔室中将在工件上的含硅和碳的层暴露于混合物。在一些实施方式中,基于有机自由基的表面处理工艺可导致在含硅和碳的膜材料的至少一部分上的甲基化。
在一些实施方式中,含硅和碳的膜材料包括氧。在一些实施方式中,膜材料具有约1%至约50%的孔隙率。在一些实施方式中,含硅和碳的膜材料包括氮。在一些实施方式中,含硅和碳的膜材料用作生产线前道工序应用中形成的隔离物结构的至少部分。在一些实施方式中,含硅和碳的膜材料用作生产线后道工序应用中形成的互连结构的至少部分。
在一些实施方式中,一种或多种烃分子具有CnH2n+2的化学式,其中n为大于或等于1和小于或等于10。在一些实施方式中,一种或多种烃分子具有CnH2n的化学式,其中n为大于或等于2和小于或等于10。
在一些实施方式中,在第一腔室中一种或多种烃分子与核素混合。在一些实施方式中,在第一腔室中一种或多种烃分子与核素混合。
在一些实施方式中,方法包括在进行表面处理工艺后在第一腔室中生成等离子体并且从第二腔室移出工件。在一些实施方式中,在进行表面处理工艺后在第一腔室中生成等离子体包括生成一种或多种氧自由基。在一些实施方式中,方法包括使用从第一腔室中的等离子体生成的一种或多种自由基进行干式剥离(dry strip)工艺。
在一些实施方式中,使用感应耦合等离子体源在第一腔室中在来自工艺气体的等离子体中生成一种或多种核素。在一些实施方式中,工艺气体为如氦气的惰性气体。在一些实施方式中,工艺气体包括氢气并且核素包括氢自由基。
在一些实施方式中,核素包括使用加热丝(heated filament)生成的一种或多种氢自由基。在一些实施方式中,使用分子的热解或UV辅助分子解离生成一种或多种有机自由基。
在一些实施方式中,方法包括使用将第一腔室与第二腔室分开的分离栅过滤由等离子体生成的一种或多种离子以形成过滤的混合物。
本公开的另一个示例性实施方式涉及用于处理工件的方法。工件包括含硅和碳的膜材料。方法包括用基于有机自由基的表面处理工艺处理工件。表面处理工艺包括使用等离子处理仪器的等离子体腔室中生成的等离子体从工艺气体生成一种或多种核素;将一种或多种烃分子与核素混合以形成混合物;和将工件暴露于处理腔室中的混合物,处理腔室与等离子体腔室分开。
在一些实施方式中,工艺气体为如氦气的惰性气体。在一些实施方式中,工艺气体包括氢气。
在一些实施方式中,方法包括以用有机自由基的第二表面处理工艺处理工件。第二表面处理工艺可包括:使用等离子处理仪器的等离子体腔室中生成的等离子体从工艺气体生成一种或多种核素;将一种或多种烃分子与核素混合以形成混合物;和将工件暴露于处理腔室中的混合物,处理腔室与等离子体腔室分开。
在一些实施方式中,第二表面处理工艺的一种或多种烃分子与表面处理工艺的一种或多种烃分子不同。在一些实施方式中,相对于表面处理工艺使用提供给感应耦合等离子体源的不同功率生成第二表面处理工艺的等离子体。在一些实施方式中,第二表面处理工艺相对于表面处理工艺以不同压强或以不同温度进行。
图3描述了根据本公开的示例性实施方式的可用于进行表面处理工艺的示例性等离子处理仪器100。如图示,等离子体处理仪器100包括处理腔室110和与处理腔室110分开的等离子体腔室120。处理腔室110包括可操作以保持(hold)待处理的工件114如半导体晶片的基材支架或基座112。在该示例性图示中,等离子体是通过感应耦合等离子体源135在等离子体腔室120(即,等离子体生成区)中生成并且所需核素通过分离栅组件200从等离子体腔室120被引导至工件114的表面。
等离子体腔室120包括介电侧壁122和顶棚124。介电侧壁122、顶棚124和分离栅组件200限定等离子体腔室内部125。介电侧壁122可由如石英和/或氧化铝的介电材料形成。感应耦合等离子体源135可包括邻近围绕等离子体腔室120的介电侧壁122配置的感应线圈130。感应线圈130通过合适的匹配网络132耦合至RF功率发生器134。可以将反应物和/或运载气体从气体供应源150和环形气体分配通道151或其他合适的气体引入机制提供至腔室内部。当用来自RF功率发生器134的RF能量供给感应线圈130能量时,等离子体可在等离子体腔室120中生成。在特别的实施方式中,等离子体处理仪器100可包括任选的法拉第屏障(Faraday shield)128以减少感应线圈130与等离子体的电容耦合。
如图3中示出,分离栅组件200将等离子体腔室120与处理腔室110分开。分离栅组件200可用于从通过在等离子体腔室120中的等离子体生成的混合物进行离子过滤以生成过滤的混合物。过滤的混合物可暴露于处理腔室110中的工件114。
分离栅组件200可为多板分离栅。例如,分离栅组件200可包括以彼此平行关系间隔开的第一栅板210和第二栅板220。第一栅板210和第二栅板可间隔一定距离。
第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电颗粒可通过分离栅200中的每个栅板210、220的孔在它们的路径中的壁上重组。中性核素可相对自由地流过第一栅板210和第二栅板220中的孔。每个栅板210和220的孔尺寸和厚度可影响带电和中性核素二者的透明度。
在一些实施方式中,第一栅板210可由金属(例如,铝)或其他导电材料制成和/或第二栅板220可由导电材料或介电材料(例如,石英、陶瓷等等)制成。在一些实施方式中,第一栅板210和/或第二栅板220可由如硅或碳化硅的其他材料制成。如果栅板由金属或其他导电材料制成,则栅板可以接地。
图4描述了根据本公开的示例性实施方式用于半导体器件制造的示例性方法(300)的流程图。方法(300)将通过实例的方式参照图3的等离子体处理仪器讨论。方法(300)可在具有与处理腔室分开的等离子体腔室的任何等离子体处理仪器中实施。为了图示和讨论的目的,图4描述了以特定的顺序进行的步骤。使用本文提供的公开内容的本领域普通技术人员将理解,在不脱离本公开的范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。此外,在不脱离本公开的范围的情况下,可进行各种步骤(未图示)。
在(302),方法可包括调节根据本公开的示例性实施方式的用于进行表面处理工艺的等离子体处理仪器。例如,方法可包括调节用于进行表面处理工艺的等离子体腔室120和/或处理腔室110。在一些实施方式中,调节等离子体处理仪器100可包括在将工件引入处理腔室110之前在等离子体腔室120中生成基于氧的等离子体。在不脱离本公开的范围的情况下,可以进行其他基于氧化的化学工艺以调节等离子体处理仪器。
在(304),方法可包括将工件放置在等离子体处理仪器的处理腔室中。处理腔室可与等离子体腔室分开(例如,通过分离栅组件分开)。例如,方法可包括将工件114放置在处理腔室110中的基座112上。
工件可包括低k介电材料如含硅和碳的膜材料。在一些实施方式中,含硅和碳的膜材料可包括氧。在一些实施方式中,含硅和碳的膜材料可包括氮。在一些实施方式中,含硅和碳的膜材料可具有以约1%至约50%的范围的孔隙率。
在一个实例中,膜材料可为FEOL应用中的隔离物结构的部分。例如,膜材料可为在图1中图示的膜57。在另一实例中,膜材料可为BEOL应用中的互连结构的部分。例如,膜材料可为在图2中图示的层64。
参考图4,方法可包括进行根据本公开的示例性方面的表面处理工艺(306)。表面处理工艺可在工件上进行以恢复损坏的低k介电材料(例如,通过先前蚀刻工艺损坏的低k介电材料)。表面处理工艺可实现用于多孔低k介电材料的孔密封。示例性表面处理工艺将参照图5和6更详细地讨论。表面处理工艺可以为基于有机自由基的表面处理工艺。基于有机自由基的表面处理工艺可以为导致低k介电材料的表面的至少一部分甲基化的基于甲基自由基(CH3)的工艺。
在图4的(308),方法可包括将工件从处理腔室移出。例如,工件114可从处理腔室110中的基座112移出。然后,等离子体处理仪器可被调节用于另外的工件的进一步处理。
图5描述了根据本公开的示例性实施方式的示例性表面处理工艺(400)的流程图。工艺(400)将通过实例的方式参照图3的等离子体处理仪器100讨论。工艺(400)可在具有与处理腔室分开的等离子体腔室的任何等离子体处理仪器中实施。为了图示和讨论的目的,图5描述了以特定的顺序进行的步骤。使用本文提供的公开内容的本领域普通技术人员将理解,在不脱离本公开的范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。此外,在不脱离本公开的范围的情况下,可进行各种步骤(未图示)。
在(402),表面处理工艺可包括加热工件。例如,工件114可在处理腔室110中被加热至工艺温度。例如,可使用一种或多种与基座112相关的加热系统加热工件114。在一些实施方式中,工件可被加热至约20℃至约400℃的范围内的工艺温度。
在(404),表面处理工艺可包括允许工艺气体进入等离子体腔室。例如,可允许工艺气体通过环形气体分配通道151或其他合适的气体引入机制从气体源150进入等离子体腔室内部125。
在一些实施方式中,工艺气体可包括一种或多种烃分子。示例性烃分子可包括,例如,非环状烷烃CnH2n+2,其中n为大于或等于1和小于或等于10。例如,烃分子可包括甲烷CH4、乙烷C2H6、丙烷或异丙烷C3H8等等。
在一些实施方式中,烃分子可包括环烷烃CnH2n,其中n为大于或等于5和小于或等于10。例如,烃前体可包括环烷烃如环戊烷C5H10、环己烷C6H12、甲基环己烷C7H14、二甲基环己烷C8H16、1,3,5-三甲基环己烷C9H18等等。在一些实施方式中,烃前体可包括烯烃CnH2n,其中n为大于或等于2和小于或等于10,如乙烯C2H4、丙烯C3H6等等。
在(406),表面处理工艺可包括(例如,任选地包括)允许第二气体进入等离子体腔室,如反应气体,如氢气(H2)。例如,允许第二气体进入等离子体腔室作为工艺气体的部分。工艺气体可包括包含H2和氮(N2)的混合物和/或包含H2和氦(He)的混合物和/或包含H2和氩(Ar)的混合物。在一些实施方式中,工艺气体为如氦气、氩气或氙气的惰性气体。由等离子体中的工艺气体和第二气体的混合物生成的自由基可帮助用Si-C(例如Si-CH3)键置换损坏的低k介电材料中的Si-OH键,用于k值恢复。
在(408),表面处理工艺可包括供给感应耦合等离子体源能量以在等离子体腔室中生成等离子体。例如,感应线圈130可用来自RF功率发生器134的RF能量供给能量以生成等离子体腔室内部125中的等离子体。在一些实施方式中,可以用脉冲功率供给感应耦合电源能量以用减少的等离子体能量获得所需的自由基。
在(410),表面处理工艺可包括使用等离子体解离等离子体腔室内部中的混合物中的一种或多种烃分子。例如,使用感应耦合等离子体源135在等离子体腔室内部125中诱导的等离子体可解离工艺气体中的烃分子和其他分子以生成自由基和离子。例如,一种或多种烃分子可在等离子体中解离以生成CH3自由基。
在(412),表面处理工艺可包括过滤混合物中由等离子体生成的一种或多种离子以形成过滤的混合物。过滤的混合物可包括由烃分子的解离生成的自由基,如CH3自由基。
在一些实施方式中,一种或多种离子可使用将等离子体腔室与工件所在的处理腔室分离的分离栅组件过滤。例如,分离栅组件200可用于过滤通过等离子体生成的离子。
分离栅组件200可具有多个孔。带电颗粒(例如,离子)可通过多个孔在它们的路径中的壁上重组。中性颗粒(例如,自由基如CH3自由基)可通过孔。
在一些实施方式中,分离栅组件200可配置为以大于或等于约90%,如大于或等于约95%的效率过滤离子。离子过滤的效率百分比指的是相对于混合物中离子的总数从混合物中除去的离子的量。例如,约90%的效率表明在过滤期间除去约90%的离子。约95%的效率表明在过滤期间除去约95%的离子。
在一些实施方式中,分离栅组件可为多板分离栅。多板分离栅可具有平行的多个分离栅板。可以选择栅板中的孔的布置和排列以提供所需的离子过滤效率,例如大于或等于约95%。
例如,分离栅组件200可具有以彼此平行关系的第一栅板210和第二栅板220。第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。
在图5的(414),表面处理工艺可包括将工件暴露于过滤的混合物。更具体地,工件可暴露于等离子体中生成并且通过分离栅组件的自由基(例如,CH3自由基)。作为实例,自由基(例如,CH3自由基)可通过分离栅组件200并且暴露在工件114上。在一些实施方式中,工件暴露于有机自由基可导致有机自由基连接在低k介电材料的至少一部分上。
如以上讨论的,自由基(例如,CH3自由基)可提供低k材料的k值恢复。例如,自由基可用于用Si-CH3键替换损坏的低k介电材料中的Si-OH键,导致k值恢复。此外,自由基可提供多孔的低k材料的孔密封。而且,CH3自由基不会负面地影响其他材料(例如,BEOL互连结构60中的暴露的底层Cu层67)。
图6描述了根据本公开的示例性实施方式的示例性表面处理工艺(500)的流程图。工艺(500)将通过实例的方式参照图3的等离子体处理仪器100讨论。工艺(500)可在具有远离处理腔室的等离子体腔室的任何等离子体处理仪器中实施。为了图示和讨论的目的,图6描述了以特定的顺序进行的步骤。使用本文提供的公开内容的本领域普通技术人员将理解,在不脱离本公开的范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。此外,在不脱离本公开的范围的情况下,可进行各种步骤(未图示)。
在(502),表面处理工艺可包括加热工件。例如,工件114可在处理腔室中被加热至工艺温度。例如,可使用一种或多种与基座112相关的加热系统加热工件114。在一些实施方式中,可加热工件至约50℃至约400℃的范围内的温度。
在(504),表面处理工艺可包括允许工艺气体混合物进入等离子体腔室。例如,可允许工艺气体通过环形气体分配通道151或其他合适的气体引入机制从气体源150进入等离子体腔室内部125。工艺气体可包括如氢气(H2)的反应气体。工艺气体可包括如N2、Ar和/或He的运载气体。例如,在一些实施方式中,工艺气体可以为包括H2和N2的混合物。在一些其他实施方式中,工艺气体可为包括H2和He的混合物。在还一些其他实施方式中,工艺气体可以为包括H2和Ar的混合物。
在一些实施方式中,工艺气体可以为惰性气体。例如,工艺气体可以为不具有反应气体的惰性气体。在特别的实施方式中,工艺气体可为氦气、氩气、氙气或其他惰性气体。
在(506),表面处理工艺可包括供给感应耦合等离子体源能量以生成等离子体腔室中的等离子体。例如,感应线圈130可用来自RF功率发生器134的RF能量供给能量以生成等离子体腔室内部125中的等离子体。在一些实施方式中,可以用脉冲功率供给感应耦合电源能量以用减少的等离子体能量获得所需的自由基。
在(508),表面处理工艺可包括生成来自工艺气体的等离子体中的一种或多种核素。例如,使用感应耦合等离子体源135从反应性工艺气体(例如,H2)在等离子体腔室内部125中诱导的等离子体可解离工艺气体混合物中的分子以生成自由基(例如H自由基)和离子。作为另一实例,使用感应耦合等离子体源135从惰性工艺气体(例如,He)在等离子体腔室内部125中诱导的等离子体可生成一种或多种激发的惰性气体分子(例如,激发的He分子)。
在(510),表面处理工艺可包括过滤混合物中由等离子体生成的一种或多种离子以形成过滤的混合物。过滤的混合物可包括来自工艺气体的等离子体中生成的核素。
在一些实施方式中,可使用将等离子体腔室与工件所在的处理腔室分开的分离栅组件过滤一种或多种离子。例如,分离栅组件200可用于过滤通过等离子体生成的离子。
分离栅组件200可具有多个孔。带电颗粒(例如,离子)可通过多个孔在它们的路径中的壁上重组。中性颗粒(例如,自由基)可通过孔。在一些实施方式中,分离栅组件200可配置为用大于或等于约90%,如大于或等于约95%的效率过滤离子。
在一些实施方式中,分离栅组件可为多板分离栅。多板分离栅可具有平行的多个分离栅板。可以选择栅板中的孔的布置和排列以提供所需的离子过滤效率,例如大于或等于约95%。
在(512),方法可包括过滤后将烃分子注入至过滤的混合物中。烃分子可与过滤的混合物反应以生成所需的有机自由基(例如,CH3自由基)。
示例性烃分子可包括,例如,非环状烷烃CnH2n+2,其中n为大于或等于1和小于或等于10。例如,烃分子可包括甲烷CH4、乙烷C2H6、丙烷或异丙烷C3H8等等。
在一些实施方式中,烃分子可包括环烷烃CnH2n,其中n为大于或等于5和小于或等于10。例如,烃前体可包括环烷烃如环戊烷C5H10、环己烷C6H12、甲基环己烷C7H14、二甲基环己烷C8H16、1,3,5-三甲基环己烷C9H18等等。在一些实施方式中,烃前体可包括烯烃CnH2n,其中n为大于或等于2和小于或等于10,如乙烯C2H4、丙烯C3H6等等。
图7描述了根据本公开的示例性实施方式离子过滤后用于烃分子的注入的示例性分离栅组件200。更具体地,分离栅组件200包括用于离子/UV过滤的以平行关系配置的第一栅板210和第二栅板。
第一栅板210和第二栅板220可为彼此平行的关系。第一栅板210可具有多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电颗粒(例如,离子)可通过分离栅200中的每个栅板210、220的孔在它们的路径中的壁上重组。中性核素(例如,自由基)可相对自由地流过第一栅板210和第二栅板220中的孔。
在第二栅板220之后,气体注入源230可配置为允许烃气体进入过滤的混合物。来源于烃气体的注入的自由基(例如,CH3自由基)可通过第三栅板235以暴露于工件。
在图6的(514),表面处理工艺可包括将工件暴露于过滤的混合物。更具体地,在烃分子的注入后,工件可暴露于自由基(例如,CH3自由基)。作为实例,自由基(例如,CH3自由基)可通过第三栅板235(图7)并且可暴露在工件114上。在一些实施方式中,将工件暴露于有机自由基可导致低k介电材料的至少一部分的甲基化。
图8描述了根据本公开的示例性实施方式的用于半导体器件制造的示例性方法(600)的流程图。方法(600)将通过实例的方式参照图3的等离子体处理仪器100讨论。方法(600)可在具有远离处理腔室的等离子体腔室的任何等离子体处理仪器中实施。为了图示和讨论的目的,图8描述了以特定的顺序进行的步骤。使用本文提供的公开内容的本领域普通技术人员将理解在不脱离本公开的范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。此外,在不脱离本公开的范围的情况下,可进行各种步骤(未图示)。
在(602),方法可包括根据本公开的示例性实施方式调节用于进行表面处理工艺的等离子体处理仪器。例如,方法可包括调节用于进行表面处理工艺的等离子体腔室120和/或处理腔室110。在一些实施方式中,调节等离子体处理仪器100可包括在将工件引入至处理腔室110中之前在等离子体腔室120中生成基于氧的等离子体。在不脱离本公开的范围的情况下,可以进行其他基于氧化的化学工艺以调节等离子体处理仪器。
在(604),方法可包括将工件放置在等离子体处理仪器的处理腔室中。处理腔室可与等离子体腔室分开(例如,通过分离栅组件分开)。例如,方法可包括将工件114放置在处理腔室110中的基座112上。
工件可包括低k介电材料如含硅和碳的膜材料。在一些实施方式中,含硅和碳的膜材料可包括氧。在一些实施方式中,含硅和碳的膜材料可包括氮。在一些实施方式中,含硅和碳的膜材料可具有以约1%至约50%的范围的孔隙率。
在一个实例中,膜材料可为FEOL应用中的隔离物结构的部分。例如,膜材料可为在图1中图示的膜57。在另一实例中,膜材料可为BEOL应用中的互连结构的部分。例如,膜材料可为在图2中图示的层64。
参考图8,方法可包括进行根据本公开的示例性方面的表面处理工艺(606)。表面处理工艺可在工件上进行以恢复损坏的低k介电材料(例如,通过先前蚀刻工艺损坏的低k介电材料)。表面处理工艺可实现用于多孔的低k介电材料的孔密封。示例性表面处理工艺参照图5和6更详细地讨论。
在图8的(608),方法可包括进行根据本公开的示例性方面的一种或多种另外的表面处理工艺。一种或多种另外的表面处理工艺可在工件上进行以恢复损坏的低k介电材料(例如,通过先前蚀刻工艺损坏的低k介电材料)。一种或多种额外的表面处理工艺可实现多孔的低k介电材料的孔密封。示例性表面处理工艺将参照图5和6更详细地讨论。
在一些实施方式中,一种或多种另外的表面处理工艺可相对于以前进行的表面处理工艺可具有不同的工艺特征。例如,较小尺寸的烃分子可用在第一表面处理工艺中用于有效扩散至损坏的低k材料,用于k值恢复。较大和/或环状烃分子可使用在第二表面处理工艺中用于多孔的低k材料的有效的孔密封。作为另一实例,供给感应耦合等离子体源能量以在远程等离子体腔室中生成等离子体的不同量的功率可用于多个表面处理工艺中的每个。作为另一实例,不同压强可用于多个表面处理工艺中的每个。
在图8的(610),方法可包括将工件从处理腔室中移出。例如,工件114可从处理腔室110中的基座112移出。然后,等离子体处理仪器可被调节用于另外的工件的进一步处理。
在一些实施方式中,可使用不同来源的氢自由基生成有机自由基。例如,如在图9中示出,氢气H2可在加热丝(例如,钨丝)上通过以在第一腔室中生成氢自由基。氢自由基可通过分离栅200。
分离栅200包括以平行关系配置的第一栅板210和第二栅板220。第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电颗粒(例如,离子)可通过分离栅200中的每个栅板210、220的孔在它们的路径中的壁上重组。中性核素(例如,H自由基)可相对自由地流过第一栅板210和第二栅板220中的孔。
在第一栅板210之后,气体注入源230可配置为允许烃气体进入过滤的混合物。来源于烃气体的注入的自由基(例如,CH3自由基)264可通过第二栅板220以暴露于工件。
烃气体可包括一种或多种烃分子。示例性烃分子可包括,例如,非环状烷烃CnH2n+2,其中n为大于或等于1和小于或等于10。例如,烃分子可包括非环状烷烃,如甲烷CH4、乙烷C2H6,、丙烷或异丙烷C3H8等等。烃分子可包括环烷烃CnH2n,其中n为大于或等于5和小于或等于10,例如,烃分子可包括环烷烃如环戊烷C5H10、环己烷C6H12、甲基环己烷C7H14、二甲基环己烷C8H16、1,3,5-三甲基环己烷C9H18等等。在一些实施方式中,烃分子可包括烯烃CnH2n,其中n为大于或等于1和小于或等于10,如乙烯C2H4、丙烯C3H6等等。
为了示例性目的,本实例参照具有两个栅板的分离栅讨论。在不脱离本公开的范围的情况下,使用本文提供的公开内容的本领域普通技术人员将理解,可以使用更多或更少的栅板。
有机自由基(例如,CH3自由基)可使用脱离本公开的范围的其他方法生成。作为一个实例,有机自由基(例如,CH3自由基)可使用分子(例如,偶氮甲烷CH3-N=N-CH3)的热解(热分解)生成。作为另一实例,有机自由基可生成或UV辅助分子解离(例如,丙酮CH3COCH3)。
尽管已经关于其特定的示例性实施方式详细地描述了本主题,但是应当理解,本领域技术人员在获得前述的理解之后,可容易地对这些实施方式产生改变、变型和等同。因此,本公开的范围是通过实例的方式而不是通过限制的方式,并且本公开不排除包括对本领域技术人员而言是显而易见的对本主题的这种修改、变型和/或添加。

Claims (30)

1.一种用于加工工件的方法,所述工件包括含硅和碳的膜材料,所述方法包括在含硅和碳的膜材料上进行基于有机自由基的表面处理工艺,所述基于有机自由基的表面处理工艺包括:
在第一腔室中生成一种或多种核素;
将一种或多种烃分子与所述核素混合以形成包括一种或多种有机自由基的混合物;以及
在第二腔室中将在所述工件上的含硅和碳的层暴露于所述混合物。
2.根据权利要求1所述的方法,其中所述基于有机自由基的表面处理工艺导致在所述含硅和碳的膜材料的至少一部分上的甲基化。
3.根据权利要求1所述的方法,其中所述含硅和碳的膜材料包括氧。
4.根据权利要求1所述的方法,其中所述膜材料具有约1%至约50%的孔隙率。
5.根据权利要求1所述的方法,其中所述含硅和碳的膜材料包括氮。
6.根据权利要求1所述的方法,其中所述含硅和碳的膜材料用作生产线前道工序应用中形成的隔离物结构的至少部分。
7.根据权利要求1所述的方法,其中所述含硅和碳的膜材料作用生产线后道工序应用中形成的互连结构的至少部分。
8.根据权利要求1所述的方法,其中所述一种或多种烃分子具有CnH2n+2的化学式,其中n为大于或等于1和小于或等于10。
9.根据权利要求1所述的方法,其中所述一种或多种烃分子具有CnH2n的化学式,其中n为大于或等于2并且n小于或等于10。
10.根据权利要求1所述的方法,其中所述一种或多种烃分子与第一腔室中的核素混合。
11.根据权利要求1所述的方法,其中在所述第一腔室中所述一种或多种烃分子与所述核素混合。
12.根据权利要求1所述的方法,其中所述方法包括在进行表面处理工艺后在所述第一腔室中生成等离子体并且从所述第二腔室中移出所述工件。
13.根据权利要求12所述的方法,其中在进行表面处理工艺后在所述第一腔室中生成等离子体包括生成一种或多种氧自由基。
14.根据权利要求1所述的方法,其中所述一种或多种有机自由基包括CH3自由基。
15.根据权利要求1所述的方法,其中所述方法包括使用从第一腔室中的等离子体生成的一种或多种自由基进行干式剥离工艺。
16.根据权利要求1所述的方法,其中使用感应耦合等离子体源在第一腔室中在来自工艺气体的等离子体中生成所述一种或多种核素。
17.根据权利要求16所述的方法,其中所述工艺气体为惰性气体。
18.根据权利要求17所述的方法,其中所述惰性气体为氦气。
19.根据权利要求16所述的方法,其中所述工艺气体包括氢气并且所述核素包括氢自由基。
20.根据权利要求1所述的方法,其中所述核素包括使用加热丝生成的一种或多种氢自由基。
21.根据权利要求1所述的方法,其中所述一种或多种有机自由基是使用分子的热解或UV辅助分子解离生成的。
22.根据权利要求16所述的方法,其中使用将第一腔室与第二腔室分开的分离栅过滤由等离子体生成的一种或多种离子以形成过滤的混合物。
23.一种用于处理工件的方法,所述工件包括含硅和碳的膜材料,所述方法包括用基于有机自由基的表面处理工艺处理所述工件,所述表面处理工艺包括:
使用等离子处理仪器的等离子体腔室中生成的等离子体由工艺气体生成一种或多种核素;
将一种或多种烃分子与所述核素混合以形成混合物;
在处理腔室中将所述工件暴露于所述混合物,所述处理腔室与所述等离子体腔室分开。
24.根据权利要求23所述的方法,其中所述工艺气体为惰性气体。
25.根据权利要求23所述的方法,其中所述工艺气体包括氢。
26.根据权利要求23所述的方法,其中所述方法包括以用有机自由基的第二表面处理工艺处理所述工件。
27.根据权利要求26所述的方法,其中所述第二表面处理工艺包括:
使用等离子处理仪器的等离子体腔室中生成的等离子体由工艺气体生成一种或多种核素;
将一种或多种烃分子与所述核素混合以形成混合物;
在处理腔室中将所述工件暴露于所述混合物,所述处理腔室与所述等离子体腔室分开。
28.根据权利要求27所述的方法,其中所述第二表面处理工艺的一种或多种烃分子与所述表面处理工艺的所述一种或多种烃分子不同。
29.根据权利要求27所述的方法,其中相对于所述表面处理工艺使用提供给感应耦合等离子体源的不同功率生成所述第二表面处理工艺的等离子体。
30.根据权利要求27所述的方法,其中所述第二表面处理工艺相对于所述表面处理工艺以不同压强或以不同温度进行。
CN201880078107.4A 2017-10-03 2018-09-19 用有机前体通过远程等离子体表面处理含硅和碳的膜 Active CN111433893B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762567295P 2017-10-03 2017-10-03
US62/567,295 2017-10-03
US15/958,635 2018-04-20
US15/958,635 US10804109B2 (en) 2017-10-03 2018-04-20 Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
PCT/US2018/051714 WO2019070404A1 (en) 2017-10-03 2018-09-19 SURFACE TREATMENT OF FILMS CONTAINING SILICON AND CARBON BY REMOTE PLASMA WITH ORGANIC PRECURSORS

Publications (2)

Publication Number Publication Date
CN111433893A true CN111433893A (zh) 2020-07-17
CN111433893B CN111433893B (zh) 2024-04-02

Family

ID=65896836

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201880078077.7A Active CN111433896B (zh) 2017-10-03 2018-09-19 使用有机自由基对硅或硅锗表面的表面处理
CN201880078107.4A Active CN111433893B (zh) 2017-10-03 2018-09-19 用有机前体通过远程等离子体表面处理含硅和碳的膜
CN201880078060.1A Active CN111433895B (zh) 2017-10-03 2018-09-19 使用有机自由基对含碳膜的表面处理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880078077.7A Active CN111433896B (zh) 2017-10-03 2018-09-19 使用有机自由基对硅或硅锗表面的表面处理

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880078060.1A Active CN111433895B (zh) 2017-10-03 2018-09-19 使用有机自由基对含碳膜的表面处理

Country Status (6)

Country Link
US (5) US10269574B1 (zh)
JP (3) JP6991324B2 (zh)
KR (3) KR20200039815A (zh)
CN (3) CN111433896B (zh)
TW (3) TWI743396B (zh)
WO (3) WO2019070403A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
WO2020131989A1 (en) 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
KR102342124B1 (ko) * 2019-02-14 2021-12-22 주식회사 히타치하이테크 반도체 제조 장치
WO2020223326A1 (en) * 2019-04-30 2020-11-05 Mattson Technology, Inc. Selective deposition using methylation treatment
CN110335802B (zh) * 2019-07-11 2022-03-22 北京北方华创微电子装备有限公司 预清洗腔室及其过滤装置
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
CN110491760B (zh) * 2019-08-23 2020-09-15 江苏鲁汶仪器有限公司 一种法拉第清洗装置及等离子体处理系统
CN112771649B (zh) * 2019-08-28 2022-04-19 玛特森技术公司 用于使用氟自由基处理工件的方法
CN110349830B (zh) 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
JP2023538031A (ja) * 2020-08-20 2023-09-06 アプライド マテリアルズ インコーポレイテッド 窒化チタン膜の処理方法
CN113471046B (zh) * 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN113488367A (zh) * 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
EP4068000A1 (en) * 2021-03-30 2022-10-05 ASML Netherlands B.V. Conditioning apparatus and method
KR102585950B1 (ko) * 2021-05-24 2023-10-05 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
CN1624881A (zh) * 2003-08-01 2005-06-08 应用材料有限公司 选择性蚀刻掺杂碳的低介电常数材料的方法
US20050208756A1 (en) * 2004-03-16 2005-09-22 Semiconductor Leading Edge Technologies, Inc. Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
CN1961418A (zh) * 2004-04-19 2007-05-09 应用材料公司 改善低k电介质对导电材料粘附性的方法
JP2008277812A (ja) * 2007-04-19 2008-11-13 Applied Materials Inc 水蒸気及び希釈ガスにより改善された水素アッシング
US20100304566A1 (en) * 2009-05-29 2010-12-02 Daniel Fischer Establishing a hydrophobic surface of sensitive low-k dielectrics of microstructure devices by in situ plasma treatment
US20130072018A1 (en) * 2011-09-15 2013-03-21 Globalfoundries Inc. Repair of Damaged Surface Areas of Sensitive Low-K Dielectrics of Microstructure Devices After Plasma Processing by In Situ Treatment
US20160020089A1 (en) * 2014-07-16 2016-01-21 Applied Materials, Inc. Low-k dielectric gapfill by flowable deposition
CN105762109A (zh) * 2014-12-19 2016-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH09190979A (ja) * 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
DE19744060C2 (de) * 1997-10-06 1999-08-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten
EP0948080A1 (fr) * 1998-03-31 1999-10-06 Koninklijke Philips Electronics N.V. Appareil électronique portable muni d'une antenne
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
JP4644964B2 (ja) * 2001-04-04 2011-03-09 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4863182B2 (ja) * 2002-01-31 2012-01-25 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP4034227B2 (ja) * 2002-05-08 2008-01-16 Necエレクトロニクス株式会社 半導体装置の製造方法
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US7711675B2 (en) * 2002-07-22 2010-05-04 Microsoft Corporation Database simulation of data types
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
WO2004074932A2 (en) 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
WO2005029550A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for producing crystalline thin films with a uniform crystalline orientation
JP2005093688A (ja) * 2003-09-17 2005-04-07 Jsr Corp 半導体装置および半導体装置の製造方法
JP4470557B2 (ja) 2004-03-31 2010-06-02 日本電気株式会社 携帯電話機
US7539329B2 (en) * 2004-07-01 2009-05-26 Hewlett-Packard Development Company, L.P. Method and apparatus for enhancing the usability of an electronic device having an integrated fingerprint sensor
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
CA2575479C (en) * 2005-03-25 2012-05-22 Institut National De La Recherche Scientifique Methods and apparatuses for purifying carbon filamentary structures
US7642195B2 (en) 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20080026140A1 (en) * 2006-07-25 2008-01-31 Clark E Bradley Graffiti furniture
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4884268B2 (ja) * 2007-03-22 2012-02-29 東京エレクトロン株式会社 アッシング方法
JP4823952B2 (ja) 2007-03-26 2011-11-24 三菱電機株式会社 半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
JP5173396B2 (ja) * 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
JP2011253832A (ja) * 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
US8805844B2 (en) * 2008-08-04 2014-08-12 Liveperson, Inc. Expert search
KR101357181B1 (ko) * 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
US8501145B2 (en) 2009-07-12 2013-08-06 Mahmood Ghoanneviss Method for growing carbon nanowalls
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
NO345393B1 (no) * 2010-03-12 2021-01-18 Optoplan As Roterende ledd/svivelanordning
JP6133218B2 (ja) * 2011-03-07 2017-05-24 インテグリス・インコーポレーテッド 化学機械平坦化パッドコンディショナー
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP5989119B2 (ja) 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
KR101321424B1 (ko) 2011-11-22 2013-10-22 김일욱 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
JP5398853B2 (ja) * 2012-01-26 2014-01-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR101331566B1 (ko) * 2012-03-28 2013-11-21 한국과학기술연구원 나노결정다이아몬드 박막 및 그 제조방법
JPWO2013153777A1 (ja) 2012-04-11 2015-12-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
KR101897062B1 (ko) * 2012-05-31 2018-09-12 엘지이노텍 주식회사 탄화규소 에피 웨이퍼 및 이의 제조 방법
WO2014013941A1 (ja) 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8821987B2 (en) 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US10006121B2 (en) * 2013-03-14 2018-06-26 Eugene Technology Co., Ltd. Method and apparatus for manufacturing three-dimensional-structure memory device
WO2014146937A2 (en) * 2013-03-22 2014-09-25 Vlyte Innovations Limited An electrophoretic device having a transparent light state
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150239759A1 (en) * 2014-02-25 2015-08-27 Energy Onvector, LLC Microbubble Generator for Enhanced Plasma Treatment of Liquid
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9502255B2 (en) * 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US9881805B2 (en) * 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
JP2017050304A (ja) 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
KR102148833B1 (ko) 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
CN1624881A (zh) * 2003-08-01 2005-06-08 应用材料有限公司 选择性蚀刻掺杂碳的低介电常数材料的方法
US20050208756A1 (en) * 2004-03-16 2005-09-22 Semiconductor Leading Edge Technologies, Inc. Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
CN1961418A (zh) * 2004-04-19 2007-05-09 应用材料公司 改善低k电介质对导电材料粘附性的方法
JP2008277812A (ja) * 2007-04-19 2008-11-13 Applied Materials Inc 水蒸気及び希釈ガスにより改善された水素アッシング
US20100304566A1 (en) * 2009-05-29 2010-12-02 Daniel Fischer Establishing a hydrophobic surface of sensitive low-k dielectrics of microstructure devices by in situ plasma treatment
US20130072018A1 (en) * 2011-09-15 2013-03-21 Globalfoundries Inc. Repair of Damaged Surface Areas of Sensitive Low-K Dielectrics of Microstructure Devices After Plasma Processing by In Situ Treatment
US20160020089A1 (en) * 2014-07-16 2016-01-21 Applied Materials, Inc. Low-k dielectric gapfill by flowable deposition
CN105762109A (zh) * 2014-12-19 2016-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
CN111433896A (zh) 2020-07-17
US10910228B2 (en) 2021-02-02
JP2020536387A (ja) 2020-12-10
KR20200039815A (ko) 2020-04-16
CN111433893B (zh) 2024-04-02
WO2019070402A1 (en) 2019-04-11
WO2019070403A1 (en) 2019-04-11
TW201933431A (zh) 2019-08-16
CN111433895A (zh) 2020-07-17
JP2020536389A (ja) 2020-12-10
JP7021344B2 (ja) 2022-02-16
US11062910B2 (en) 2021-07-13
JP6991323B2 (ja) 2022-01-12
US10804109B2 (en) 2020-10-13
JP6991324B2 (ja) 2022-02-03
US20190103279A1 (en) 2019-04-04
US20190103270A1 (en) 2019-04-04
KR20200039809A (ko) 2020-04-16
TWI715872B (zh) 2021-01-11
TW201915211A (zh) 2019-04-16
TW201928105A (zh) 2019-07-16
US10269574B1 (en) 2019-04-23
US20190304793A1 (en) 2019-10-03
TWI743396B (zh) 2021-10-21
KR20200039017A (ko) 2020-04-14
US10354883B2 (en) 2019-07-16
CN111433896B (zh) 2023-04-21
JP2020536385A (ja) 2020-12-10
US20190214262A1 (en) 2019-07-11
US20190103280A1 (en) 2019-04-04
WO2019070404A1 (en) 2019-04-11
CN111433895B (zh) 2023-04-07

Similar Documents

Publication Publication Date Title
CN111433893B (zh) 用有机前体通过远程等离子体表面处理含硅和碳的膜
US10403492B1 (en) Integration of materials removal and surface treatment in semiconductor device fabrication
KR102363121B1 (ko) 워크피스의 처리를 위한 수소 반응성 종의 생성
US11626269B2 (en) Chamber seasoning to improve etch uniformity by reducing chemistry
CN112219266A (zh) 以使用烷基卤化物生成的反应性核素处理工件
CN112313777A (zh) 用于选择性亲水表面处理的臭氧
US20200350161A1 (en) Selective Deposition Using Methylation Treatment
CN118073178A (zh) 使用有机自由基处理工件的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: California, USA

Applicant after: MATTSON TECHNOLOGY, Inc.

Applicant after: Beijing Yitang Semiconductor Technology Co.,Ltd.

Address before: California, USA

Applicant before: MATTSON TECHNOLOGY, Inc.

Applicant before: Beijing Yitang Semiconductor Technology Co.,Ltd.

CB02 Change of applicant information
CB02 Change of applicant information

Address after: California, USA

Applicant after: MATTSON TECHNOLOGY, Inc.

Applicant after: Beijing Yitang Semiconductor Technology Co.,Ltd.

Address before: California, USA

Applicant before: MATTSON TECHNOLOGY, Inc.

Applicant before: Beijing Yitang Semiconductor Technology Co.,Ltd.

GR01 Patent grant
GR01 Patent grant