CN1624881A - 选择性蚀刻掺杂碳的低介电常数材料的方法 - Google Patents

选择性蚀刻掺杂碳的低介电常数材料的方法 Download PDF

Info

Publication number
CN1624881A
CN1624881A CNA2004100557774A CN200410055777A CN1624881A CN 1624881 A CN1624881 A CN 1624881A CN A2004100557774 A CNA2004100557774 A CN A2004100557774A CN 200410055777 A CN200410055777 A CN 200410055777A CN 1624881 A CN1624881 A CN 1624881A
Authority
CN
China
Prior art keywords
gas
plasma
chamber
etching
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100557774A
Other languages
English (en)
Other versions
CN100353505C (zh
Inventor
金洋山
辛南宏
陈一佑
邱杰
叶洋
田方
赵晓烨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1624881A publication Critical patent/CN1624881A/zh
Application granted granted Critical
Publication of CN100353505C publication Critical patent/CN100353505C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种在等离子体蚀刻室中选择性蚀刻基底上的低介电常数材料的等离子体蚀刻制程。此制程利用一蚀刻气体混合物进行蚀刻,此蚀刻气体混合物包括一种多氟的氟碳气体或氢氟碳气体、一种含氮气体以及一种或多种添加气体,添加气体例如是一种多氢的氢氟碳气体、一种惰性气体及/或一种碳-氧气体。以此制程蚀刻低介电常数材料层时,低介电常数材料层和光刻胶掩膜的蚀刻选择比约大于5∶1,低介电常数材料层和阻障/衬层的蚀刻选择比约大于10∶1,且低介电常数材料层的蚀刻率约大于4000埃/分钟。

Description

选择性蚀刻掺杂碳的低介电常数材料的方法
技术领域
本发明是有关于一种半导体制程技术,且特别是有关于一种在低介电常数材料层中蚀刻图案的方法。
背景技术
高操作速度的半导体器件通常会受到金属线的时间延迟效应T=RC的限制,其中R是金属线的电阻值,而C是金属线周围的介电绝缘材料的电容值。随着集成电路的特征尺寸持续的缩小,金属线将会变得更薄且更为密集,因而导致金属线的电阻值升高且会使电容值升高,因此将使得延迟时间更长。透过改变不同材料的方式,例如是使用高导电性的材料以作为金属线且使用低介电常数材料以作为绝缘材料,组件尺寸的缩小就不会冲击到操作速度。因此在许多半导体器件制程的后段制程中,已经利用铜以及低介电常数材料(例如是有机硅酸玻璃)来取代铝以及氧化硅。
将铜/低介电常数材料取代铝/氧化硅这样的改变对于后段制程而言会牵涉到许多的基础原则的改变。由于铜不容易蚀刻,因此需要新的制程,如”镶嵌”或是”双重镶嵌”制程。铜镶嵌/双重镶嵌制程是先在绝缘材料中蚀刻出介层洞以及沟道,之后再将铜填入介层洞以及沟道内且回研磨之,以使导电材料仅留在介层洞以及沟道内。在此制程所遭遇到的挑战之中,在低介电常数材料中蚀刻出介层洞以及沟道可能是较具有挑战性的,这是因为所使用的介电材料具有复杂化学成分且还会使用多种低介电常数材料。因此,蚀刻低介电常数材料的蚀刻化学物需配合材料中的碳、氢、硅、氟以及氧的含量来做搭配。
蚀刻低介电常数材料层的蚀刻速率对蚀刻邻近的不同材料的膜层的蚀刻速率的比例称为蚀刻选择比。通常在蚀刻制程中,光刻胶层会用来作为低介电常数材料的掩膜。随着特征尺寸的缩小,光刻胶的厚度也会随着缩小,才能适应因小尺寸所造成的微影制程相关的挑战。而对于薄的光刻胶层而言必须更小心的控制其介电蚀刻选择比。然而,由于许多低介电常数材料仍含有碳与氢,因此将使得光刻胶层难以达到蚀刻选择比的要求。因此,相较于传统的介电蚀刻制程,低介电常数材料的蚀刻选择比需由制程化学物以及制程参数的搭配而更加的精确。
蚀刻低介电常数材料的另一个问题是由于图案密度以及蚀刻特征图案(介层洞或是沟道)的尺寸而引发的低介电常数材料的蚀刻速率问题,其即是蚀刻速率微负载(microloading),或是微负载。蚀刻速率微负载指的是在不同尺寸的图案中的蚀刻速率的差异,其是在大的图案中的蚀刻速率与在小的图案的蚀刻速率(除以在大的图案中的蚀刻速率)之间的差异的百分比值。值得注意的是,微负载效应会随着小尺寸图案的开口的缩小以及小尺寸图案的高宽比的增加而增加。
发明内容
本发明的目的是提供一种低介电常数材料的蚀刻制程,此制程可以增加相邻层之间的选择比并可改善现有低介电常数材料层蚀刻制程微负载(microloading)的问题。在本发明一实施例中,低介电常数介电层系形成在基底上,其下层为阻障/衬层,上层为光刻胶掩膜。基底系置于一等离子体蚀刻室中,等离子体蚀刻室中系提供维持一气体混合物的等离子体,以蚀刻低介电常数介电层。上述蚀刻气体混合物包括包括一多氟的氟碳气体或氢氟碳气体、一含氮气体以及一或多种添加气体。其中,多氟的氟碳气体或氢氟碳气体例如是CF4、C2F8、CHF3、C2F6等,含氮气体例如是N2、NH3、NF3等,添加气体例如是一多氢的氢氟碳气体、一惰性气体及/或一碳-氧气体。
为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合附图,作详细说明如下。
附图说明
图1为表示在一介电层中的沟道或介层洞在一蚀刻制程中间的垂直剖面示意图。
图2是依据本发明一实施例的用于低介电常数材料的蚀刻制程的等离子体反应室的垂直剖面示意图。
图3是依据本发明另一实施例的用于低介电常数材料的蚀刻制程的等离子体反应室的垂直剖面示意图。
图4A的图表显示出在低介电常数材料的蚀刻制程中添加不同添加物于氟碳为主的等离子体中所产生的变化。
图4B的图表显示出在低介电常数材料的蚀刻制程中添加不同添加物于氟碳/氢氟碳混合物为主的等离子体中所产生的变化。
图5A系依据本发明一实施例的图表,其显示出在改变CH2F2/CF4的流速比例时的低介电常数材料的蚀刻速率、对于光刻胶的蚀刻选择比以及微负载的趋势。
图5B系依据本发明一实施例的图表,其显示出在横越300mm基底上的低介电常数材料的蚀刻均匀度。
图6是依据本发明一实施例的用于蚀刻低介电常数材料层的制程程序的流程图。
具体实施方式
本发明的蚀刻制程用于蚀刻低介电常数材料层时具有绝佳的蚀刻速率,且其相对于上方的掩膜层以及底下的阻障/衬层具有高蚀刻选择比。详细的说明如以下所述,执行此蚀刻制程时是使得低介电常数材料层未被掩膜层覆盖的部分暴露在于具能量的气体中,其例如是等离子体,其包括具有能量以及反应性的物质。此等离子体通常是在一等离子体反应室内形成,例如是在一磁力增益型反应性离子蚀刻(MERIE)反应室,或是双频电容等离子体源蚀刻反应室,其为CENTURA半导体晶圆制程系统的一部分,其可由Applied Material Inc.,Santa Clara,Calif取得。
低介电常数材料层通常是形成在一基底上,且使用定义有特征图案的掩膜来蚀刻而将其图案化。图1是依照本发明一较佳实施例的一晶圆100的剖面图,其具有特征图案101,其例如是位于基底150上的一低介电常数材料层120内的沟道或是介层洞,且图1为进行一低介电常数材料层的蚀刻制程到一半的时候,特征图案101的侧壁105以及底部表面106已经因蚀刻制程而被暴露出来。图案化的掩膜层110部分的覆盖住介电层120且定义出特征图案101的开口。在一些应用中,介电层120与基底之间系以阻障/衬层130分隔开来。金属线(未绘示)可能会位于阻障/衬层的底下。在一些应用中,阻障/衬层130可作为金属线与介电层120之间的扩散阻障层,并且在蚀刻介电层120的过程中作为一蚀刻终止层。
在本发明之一实施例中,介电层120包括一低介电常数材料,其介电常数是低于氧化硅(SiO2)的介电常数,氧化硅的介电常数约为4.0。另外,低介电常数材料层120的厚度约为0.4~1.5微米。在蚀刻之前的掩膜层110的厚度一般是1930埃,而阻障衬层130的厚度通常是数百埃。上述膜层并未以实际比例绘示于图中。
图2是绘示MERIE反应室200的剖面示意图,其为用于执行本发明的制程的一反应室实例。反应室200包括一腔室210,其由一侧壁212、一基部214以及一顶部260所构成。腔室包括位在中间的一制程区201,其所涵盖的体积约为5,000至50,000cm3。反应室200更包括一制程气体供应器220,其用以提供气体以使气体经一气体歧管262进入腔室210内,以及一气体分配盘(GDP)264,其位于腔室210的顶部260,因此形成制程气体。用尽的气体或挥发性的蚀刻产物,是通过泵240而排出制程腔室210外。另外,节流阀245控制腔室210内的压力。而侧壁212、基部214、顶部260以及GDP 264一般都是用铝所制成,其是通过电镀铝于腔室210的内表面。侧壁212、基部214、顶部260以及GDP 264通常是接地。
腔室210更包括基座230,其是用以支撑腔室210内的基底300。基座230是通过一支撑环232而与基部214电性隔离,且基座230会通过一阻抗匹配网络255而连接至一射频(RF)电源供应系统250。基座230包括一静电吸附极、一真空吸附极或是其它晶圆固定机构(未绘示),且可以与一基底温度控制系统(未绘示)热连结。基底温度控制系统例如是阻抗热线圈及/或连结至一加热或冷却流体系统的流体通路,其是在等离子体制程期间有利于控制基底150的温度。
控制系统280包括一CPU 282、一内存284以及支持电路286,支持电路286用以使CPU 282耦接至反应室200的各种组件,以控制本发明的蚀刻制程。
图2所表示的反应器是用于反应性离子蚀刻(RIE)制程,其中等离子体的产生是通过以100~3000 Watts的电源施加RF电压至基座230,且将侧壁212以及顶部260接地来达成。当开启RF电源250,基座230作为一阴极电极,而接地的侧壁232、顶部260与GDP 264系一同作为一阳极电极。而通过电容耦接RF电源便可以于阴极与阳极电极之间产生等离子体且维持等离子体。而实质DC偏压一般会存在于等离子体与阴极电极之间,以使具能量的离子轰击基底。
图2仅表示可以作本发明的多种等离子体反应室的其中一实例。例如,反应室200可以包括其它的额外电源或是取代RF电源250,且电源可以透过不同的耦接硬件耦接至腔室210,耦接硬件例如是公知已知的硬件,且为不会影响本发明的应用的硬件,以产生等离子体且维持等离子体。例如,具有两个分离的电源供应器、一源极电极以及一偏极电源的反应室,亦可以用于本发明。源极电源可以是RF电源,其施加电源至一诱导线圈以诱导耦合能量至等离子体,而偏极电源是另一个RF来源电源,其施加电源至一支持基座以相对于等离子体而偏压基底。另外,源极电源可以是非常高频率(VHF)(例如是50~250MHz)电源,其施加电源至一线圈电极,而偏极电源可以是一RF电源,其施加电源至面对线圈电极的晶圆支撑基座,反之亦然。
图3表示依照本发明的另一较佳实施例,其包括使用两分离开来的电源供应器的反应室300、RF偏极电源供应器350以及VHF源极电源供应器370。反应室300包括真空腔室301,其由一圆柱壁305以及一导电线圈362所构成。在本发明的一实施例中,真空腔室301的壁305是以铝所制成,且为接地。壁305的内表面306与外表面307都镀上铝以避免腐蚀。另外,晶圆支撑基座或是底部电极315是位于真空腔室301的底部,且支撑基底150。晶圆支撑基座315是通过绝缘环316而与腔室壁305隔离开来,且基座315包括用以固定基底150以及控制基底温度的机构。真空泵325会维持真空腔室301内的真空度。
RF偏极电源供应器350与VHF源极电源供应器370连接至两电极其中之一、底部电极315,其亦是晶圆支持基座以及顶部电极360。底部电极是透过阻抗匹配网络355而电性连接至RF偏极电源供应器350。顶部电极360是与导电线圈362接触,当开启时,会透过阻抗匹配网络375而连接至源极电源供应器370。在本发明的一实施例中,顶部电极亦可以是气体分配盘(GDP),且其具有间隙或孔洞,以允许制程气体流进腔室301内。为了此目的,在导电板362与顶部电极360之间提供有一间隙368,以作为耦接至一气体供应器340的一气体分配歧管。支撑环366系支撑顶部电极360且使顶部电极360与真空腔室壁305绝缘。
在本发明的一实施例中,偏极以及源极电源供应器350、370的频率分别是13.5MHz以及60MHz。且使用过滤的方式以减少两个RF电源供应器之间的干扰。在本发明的一实施例中,上述过滤的方法是利用匹配网络375内的一诱导器,其系于13.6MHz而接地至顶部电极360,其显现出对于60MHz讯号的高阻抗。同样的,亦可以在匹配电路355中使用一电容器以于60MHz而接地至顶部电极360,其显现出对于13.6MHz讯号的高阻抗。因此,两个RF电源350、370可以分别控制,以使来自源极电源370以及由偏极电极350耦合至真空腔室301的相对电源量可以依照想要的值来分配。一般而言,VHF源极电源370控制等离子体密度,这是因为高效率的置换电流以及鞘加热机构系与较高的RF频率有关。来自偏极RF电源350的低频激发则是控制基底的偏压或是轰击晶圆150的离子的能量。因此,反应室300可以允许分开的控制等离子体密度以及基底偏压。
在本发明的一实施例中,反应室300的操作是由一控制器380来控制,控制器380包括一CPU 382、一内存384以及用于CPU 382的支持电路386,且内存384内存有程序指令。控制器380是耦接至反应室300的多个构件,以控制本发明的蚀刻制程。
无论使采用反应室200或是反应室300、腔室210或是腔室301来执行本发明的蚀刻制程时,都会使用泵240或325将压力降低至约1mTorr。之后,基底150将从保持在接近真空状态的加载转移腔室(未绘示)移至腔室210或301中,并将其放置在基座230或315上。接着气体成分将以各种体积流速通入腔室201或301以形成制程气体。当腔室210内的压力稳定在一预定程度时,开启电源250或370以于制程区201或302中形成制程气体等离子体。当使用反应室300时,可以开启偏极电源350并且调整至一预定程度以于基座315与等离子体之间形成一预定偏压。提高等离子体密度的方法,等离子体密度定义为每单位体积的离子数目,可以通过在腔室壁212的周围放置磁控装置270以在腔室210或301内提供一缓慢旋转磁场的方式而达成。磁控装置可以是通过低频(0.1-0.5Hertz)相AC电流源驱动的电磁控装置(未绘示)。另外,磁控装置可以是固定在支撑结构(未绘示)上的永久磁控装置,其以例如每秒0.1-0.5转的转速旋转。
含氟碳或氢氟碳气体的等离子体通常会用来蚀刻氧化硅。氟碳或氢氟碳气体会在等离子体中贡献氟和CFx物质,且其会破坏介电层120中的Si-O键。
其中蚀刻产物SiF4以及CO皆会挥发,因此可以将其抽出腔室210外。此时,一些CFx物质(x=1、2、3)可能会彼此再结合或是于介电层反应之前与其它物质产生再结合,而在特征图案的表面形成聚合物保护沉积物。由于特征图案的侧壁105相较于特征图案的底部106遭到等离子体的离子轰击较少,因此这些保护沉积物会加速累积在特征图案的侧壁105,而促进非等向蚀刻。事实上,传统在蚀刻介电层的过程中,其例如是氧化硅薄膜,CFx物质往往被认为是达到非等向蚀刻轮廓的重要因素。因此用来蚀刻氧化硅薄膜的许多蚀刻化学物质都会使用氟碳气体,其碳对氟的比例相对较高,其例如是C2F6、C4F6等等,以提供足够的保护沉积物来保护侧壁。
一般所使用的氟碳气体,其碳对氟的比例相对较高,但却往往导致低的蚀刻速率以及高的微载效应(microloading)。本发明的发明人发现,当蚀刻掺杂碳或低介电常数材料层时,低介电常数材料层内的碳允许使用多氟的碳氟气体或氢碳氟气体,其例如是具有相对低碳对氟比例的碳氟气体或氢碳氟气体。多氟的碳氟气体或氢碳氟气体的实例包括CF4、C2F8、CHF3等等,较佳的是CF4。由于在使用多氟的碳氟气体或氢碳氟气体蚀刻过程中,从低介电常数材料层释放出来的碳能够保护侧壁,其特别是具有相对高碳含量(超过8%碳)的低介电常数材料层。因此,在低介电常数材料层的蚀刻制程中使用多氟的碳氟气体或氢碳氟气体时,对于低介电常数材料层有较高的蚀刻速率、对于光刻胶掩膜有较佳的选择比且有较少量的微负载。
若低介电常数材料层320具有越复杂的成分通常需要越复杂的蚀刻气体化学物成分。对于含有烷基,其例如是CH3,的掺杂碳氧化硅薄膜的低介电常数材料层而言,含氮的制程气体可以帮助Si-CH3键的破坏,而且不会进一步的冲击对于光刻胶层的蚀刻选择比。如图4A以及图4B所示,在以CF4为主的制程气体或是在以CF4/CHF3为主的制程气体内加入N2,可以使明显的提高低介电常数材料层的蚀刻速率。因此,在本发明的一实施例中的制程气体通常会包括含氮的气体。适合的含氮气体包括N2、NH3、NF3,其中较常用的是N2。图4A以及图4B都显示出,当N2∶CF4或是N2∶CF4/CHF3的体积流速比例(或流速比例)在超过0.4或0.3时,低介电常数材料层的蚀刻速率都开始下降。因此N2∶CF4或是N2∶CF4/CHF3的流速比例的最佳范围会根据特殊的应用而有所不同。
除了多氟的碳氟气体或氢碳氟气体以及含氮气体之外,制程气体通常还包括了一种或多种的添加气体,其例如是含氢气体、惰性气体以及/或碳-氧气体。含氢气体的加入可以在蚀刻等离子体中提供氢或是含氢自由基,而当与氮或含氮自由基结合时,将会加快Si-CH3键的破坏,进而提高蚀刻速率以及对于光刻胶的蚀刻选择比。适合的含氢气体包括多氢的氢氟碳气体,其例如是CH2F2、CHF3等等。若在制程气体中有使用含氮气体以及含氢气体时,蚀刻反应包括:
,以及
其中α、β以及γ为整数,且蚀刻产物CαHβNγ一般为挥发性。而其它来自等离子体与低介电常数材料层之间的作用而可以形成的副产物包括CO、CN、NHx、NFx、HF、C3H2等等。
以多氢的碳氟气体作为含氢气体的加入可以使得制程裕度加宽,因此能改善蚀刻速率微负载效应以及整个基底的蚀刻速率均匀度。如图5A所示,以CF4为主的等离子体中加入CH2F2气体时,蚀刻速率微负载效应会快速的降低,而使的制程裕度变得更宽广。多氢氟碳气体的加入而导致好的蚀刻均匀度,证实制程裕度可以因此而获得改善,如图5B所示,其表示当使用CF4/N2/CH2F2制程气体时,横越300mm的基底上的一低介电常数材料层的蚀刻速率均匀度。当然,在图5A中,CH2F2气体的加入,蚀刻速率可以急速的增加,且其对于光刻胶掩膜的蚀刻选择比也有明显的改善。但是,当CH2F2∶CF4的流速比例增加到超过0.5时,蚀刻速率便开始下降。因此CH2F2∶CF4的流速比例的最佳范围会根据特殊的应用而有所不同。
在制程气体中加入惰性气体有助被蚀刻的特征图案轮廓的控制。这可能是因为在制程气体内的惰性气体大部分会撞击被蚀刻的特征图案101的底部表面106,而增进该表面上的反应性,而且其对于特征图案的侧壁105仅有微小的影响。惰性气体还会有助减少蚀刻产物的沉稹,其通过溅击而使特征图案表面的蚀刻产物被溅击下来。适合的惰性气体包括氩气、氦气、氖气、氙气以及氡气,其中较佳的是氩气。
碳-氧气体的加入可以改善对于阻障/衬层的蚀刻选择比,阻障/衬层通常包括硅碳化物材料。适合的碳-氧气体是CO。
制程气体中的气体体积流速与许多的因素有关,其包括用以进行蚀刻制程的反应室的构成、基底的尺寸以及制程气体中所使用的特定气体。制程气体中的不同气体的体积流速比例可以依据不同的材料组合以及达到想要的特定蚀刻选择比、蚀刻速率或是特征图案几何来定出,本发明并未限定其范围。在本发明的一实施例中,当制程气体包括CF4以作为碳氟气体、N2以作为含氮气体以及CH2F2以作为多氢的氢氟碳气体时,N2∶CF4的流速比例约为1∶4至2∶1,且CH2F2∶CF4的流速比例约为1∶3至1∶1,通常是1∶3至1∶2。相对高体积流速的惰性气体可以得到较佳的轮廓。在本发明的一实施例中,当制程气体包括氩气以作为惰性气体时,氩气对碳氟气体的流速比例是介于20∶1至50∶1。
实例
以下之实例是描述使用本发明的方法以蚀刻基底150上的低介电常数材料层120。基底150的实例例如是具有200mm(8英时)或是300mm(12英时)直径的硅晶圆。如图1所示,晶圆150上覆盖有厚度为数百埃的阻障/衬层130、厚度为0.4~1.5微米的低介电常数材料层120以及约1930埃的掩膜层110,掩膜层110是图案化以用于蚀刻定义的特征图案101。
在以下的实例中,掩膜层是光刻胶,其例如是”RISTON”,其是由duPont de Nemours Chemical Company所制造。低介电常数材料层包括掺杂碳的介电材料,其例如是掺杂CH3的有机硅酸玻璃(OSG)、有机聚合物(例如是苯环丁烯、聚对二甲苯、聚四氟乙烯、聚醚、聚醯亚胺)或是掺杂有碳为主的杂质(例如是CH3)的类似物。OSG有时候指的是掺杂的二氧化硅,其例如是Black DiamondTM I以及Black DiamondTM II,BlackDiamondTM II是Black DiamondTM I的改良,其相较于Black DiamondTMI具较高的碳含量。Black DiamondTM I以及Black DiamondTM II皆可由Applied Material Inc.,Santa Clara,Calif取得。其它的OSG的实例是CoralTM,其来自Novellus of San Jose,Calif,以及Sumika FilmTM,其来自Sumitomo Chemical America,Inc.,Sanra Clara,Calif。在BlackDiamondTM I的实例中,OSG是采用化学气相沉积制程以氧化甲基硅烷而形成,其是揭露于Yau等人的专利US 6,054,379以及US 6,072,227。在此材料或相关材料中的甲基自由基的数目在一单硅烷中可以是1至4个。
阻障/衬层是BLOkTM(阻障低介电常数材料)膜层,其是以化学气相沉积法(CVD)或是等离子体增益型CVD所形成的硅碳化物膜层,其揭露在US 6,287,990 B1,2001年9月11日公告以及US 6,303,523B2,2001年10月16日公告。BLOkTM膜包括BLOkTMI以及BLOkTMII,BLOkTMII是BLOkTMM I的改良,两者皆可由Applied Material Inc.,Santa Clara,Calif取得。在阻障/衬层的底下还可以形成有其它材料层,但其必须不会影响本发明的实作。
一薄的抗反射层(600埃)(ARC)或是底部抗反射层(BARC)(未绘示),以及一硬掩膜层可以形成在掩膜层310以及OSG层320之间。当小特征图案尺寸发展至0.25微米以下时,ARC(BARC层)通常在微影制程中用来控制线/宽度,传统ARC(BARC层)的蚀刻制程的执行会在蚀刻低介电常数材料层之前清除特征图案的开口处的ARC(BARC层)。而硬掩膜层的材质通常是介电材料,其例如是二氧化硅,且其于镶嵌或双重镶嵌结构的制程中可作为后续CMP制程的终止层。传统硬掩膜层的蚀刻制程会在蚀刻低介电常数材料层之前进行以移除位于特征图案开口处的硬掩膜层。
图6是依据本发明的两实施例于图2的反应室200或是图3的反应室300内蚀刻低介电常数材料层120的制程流程600。流程600包括一选择的步骤602,此步骤系开启磁控装置270,以在制程腔室210或301内晶圆基底150的上方产生磁场。此流程600还包括步骤604,其是设定晶圆的温度(或阴极的温度),且通过控制例如是氦气背部气体流来保持温度在一特定的值。
晶圆的温度必须保持在足够高的温度以使大部分的蚀刻产物可以挥发,且必须足够低,以使保护沉积物以及蚀刻产物沉积物可以停留在新蚀刻的特征图案101的侧壁150上。腔室壁212或305也需维持在一控制的温度,其例如是摄氏15度,其系利用传统冷却或加热机构以维持腔室壁的温度。
程序600还包括步骤606,其是透过气体分配盘264或360供应制程气体至制程腔室210或301内。程序600还包括步骤608,其透过调整至少一制程参数,其例如是一种或多种气体成分的流速,或是调整节流阀225或325的位置,来调整腔室210或301内的压力
当使用反应室300时,程序600还包括步骤610,其利用VHF源极电源370点燃制程腔室301内的制程气体以形成等离子体,其透过VHF源极电源370对顶部电极306施予电源。之后或是在点燃等离子体的同时,在程序600的步骤612中,开启RF偏极电源350以电性偏压晶圆支撑基座。当使用反应室200时,步骤610至步骤612系为开启RF电源250,以点燃制程腔室210内的制程气体,并且偏压晶圆支撑基座。
程序600还包括步骤614,其使用等离子体152蚀刻介电层120,以形成多个特征图案,其包括介电层120中的特征图案101。在本发明的一实施例中,步骤614是蚀刻Black DiamondTM,其对于BLOkTM的选择比约为10∶1或更高,且其对于光刻胶掩膜的选择比是5∶1或更高。步骤614持续一段时间之后或终止之后,使用传统光学终点量测技术来决定,其透过监控来自等离子体的放射物,是否多个特征图案的底部表面(例如特征图案101的表面106)经达到蚀刻终止层130的顶部。之后,在程序600的步骤616中,透过关闭VHF源极电源370以及/或偏极电源250或350而关闭等离子体。而且磁控装置270也可以关闭,且停止制程气体的供应。之后,卸除晶圆且将其移出制程腔室210或301。
以上所述的程序600的步骤不需依照顺序进行,例如一些或所有步骤可以同时进行或以不同顺序进行。在本发明的一实施例中,程序600是透过如图2的控制器280或是图3的控制器380来执行,其依据储存在内存284或384内的程序指令来执行。另外,程序600的一些或所有步骤可以于一硬件中执行,其例如是一特殊应用集成电路(ASIC)或其它形式的硬件,或是硬件与软件的结合。
表I以及表II综合了所有的范围,其例如是最小值以及最大值,且许多制程参数的实例值是用在图3的蚀刻反应室300中,以蚀刻300mm的晶圆上的低介电常数材料层320。
表I
制程参数 最小值 最大值 实例
制程气体流速(sccm) CF4 2 200 20
N2 4 400 40
CH2F2 4 400 40
Ar 500 --- 2000
源极电源(W) 100 300 200
偏极电源(W) 1500 2500 2000
腔室压力(mTorr) 60 150 100
晶圆基座温度(℃) 0 30 20
表II
制程参数 最小值 最大值 实例
制程气体流速(sccm) CF4 50 80 65
N2 50 200 170
Ar 100 1500 500
CO 0 300 2000
源极电源(W) 0 1000 300
偏极电源(W) 1000 3000 2800
腔室压力(mTorr) 10 150 30
晶圆基座温度(℃) -20 40 -10
表III以及表IV列示出制程参数,其例如是RF电源、压力、制程气体组成物以及流速等等,其用于蚀刻反应室200内的300mm的晶圆上的介电层320的一些实例。
表III
制程气体流速(sccm) 压  力(mT) RF(W) 阴极温度
CF4 N2 Ar CH2F2
实例1 40 20 2000 20 100 2000 15℃
实例2 60 60 2000 30 100 2000 15℃
实例3 60 60 2000 30 100 2000 30℃
表IV
制程气体流速(sccm) 压  力(mT) RF(W) 阴极温度
CF4 N2 Ar CH3F
实例4 40 20 2000 15 100 2000 15℃
在此,是使用被蚀刻的测试晶圆的扫瞄式电子显微镜(SEM)来量测蚀刻速率、对于光刻胶的蚀刻选择比以及蚀刻速率微负载。表V列示出在表III以及IV内的制程参数的实例的量测结果。如表中所示,本发明的实例对于低介电常数材料层的蚀刻速率高于4000埃/分钟,且对于光刻胶的选择比会高于6∶1。
表V
蚀刻速率(埃/分钟) PR选择比 微负载(%)
在小特征图案中 在开口间隙中
实例1 3900 4300 >6∶1 9.3
实例2 4800 5300 >7∶1 11
实例3 5500 6100 7∶1 10
实例4 未量测 未量测 >8∶1 未量测
由于实际的制程参数,其例如是RF电源、压力、气体流速等等,会依据晶圆尺寸、腔室体积以及用于反应室内的应体的不同而不同,因此本发明并未限制于在此所述的制程参数范围内。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当以权利要求所界定的为准。

Claims (20)

1.一种选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该低介常数材料的介电常数小于4,该制程包括:
将该低介电常数材料层置于一等离子体蚀刻腔室中,并于该等离子体蚀刻腔室通入一蚀刻气体混合物,该蚀刻气体混合物包括一多氟的氟碳气体或氢氟碳气体、一含氮气体以及一多氢的氢氟碳气体;以及
在该等离子体蚀刻腔室中维持该蚀刻气体混合物的一等离子体,以蚀刻该低介电常数材料层。
2.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该多氟的氟碳气体为CF4,该含氮气体为N2,该多氢的氢氟碳气体选自CH2F2、CH3F及其混合物。
3.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该蚀刻气体混合物的等离子体蚀刻该低介电常数材料层的蚀刻速率大于4000埃/分钟。
4.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该多氟的氟碳气体或氢氟碳气体选自CF4、C2F8、CHF3及其混合物。
5.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该含氮气体系选自N2、NH3、NF3及其混合物。
6.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该多氢的氢氟碳气体系选自CH2F2、CH3F及其混合物。
7.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中在该等离子体蚀刻腔室中所通入的该蚀刻气体混合物中的该多氟的氟碳气体或氢氟碳气体的流速为一第一体积流速、该含氮气体的流速为一第二体积流速、该多氢的氢氟碳气体的流速为一第三体积流速且该第二体积流速和该第一体积流速之比为1∶4至2∶1。
8.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中在该等离子体蚀刻腔室中所通入的该蚀刻气体混合物中该多氟的氟碳气体或氢氟碳气体的流速为一第一体积流速、该含氮气体的流速为一第二体积流速、该多氢的氢氟碳气体的流速为一第三体积流速且该第三体积流速和该第一体积流速之比为1∶3至1∶1。
9.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该低介常数材料形成于一基底上,该基底置于该等离子体蚀刻腔室的基座上,且在该等离子体蚀刻腔室中维持该蚀刻气体混合物的等离子体的步骤包括电容耦合射频电源至该等离子体蚀刻腔室内,以在该基座与该等离子体之间产生一实质的直流偏压。
10.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该低介常数材料形成于一基底上,该基底置于该等离子体蚀刻腔室的基座上,且维持该蚀刻气体混合物的等离子体的步骤包括:
对该基座上施加一偏极电源;以及
对面对该基座的一顶部电极施加一源极电源,其中该源极电源的频率大于该偏极电源的频率。
11.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中在该等离子体蚀刻腔室中维持该蚀刻气体混合物的等离子体的步骤更包括在该等离子体蚀刻腔室中施加一缓慢旋转的磁场。
12.如权利要求1所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中该蚀刻气体混合物更包括一惰性气体,该惰性气体选自氩气、氦气、氖气、氙气、氡气及其组合。
13.如权利要求12所述的选择性蚀刻低介电常数材料的等离子体蚀刻制程,其中在该等离子体蚀刻腔室所通入的该蚀刻气体混合物中的该多氟的氟碳气体或氢氟碳气体的流速为一第一体积流速,且该惰性气体的流速为一第二体积流速,且该第二体积流速和该第一体积流速之比为20∶1至50∶1。
14.一种计算机可读媒体,其储存有程序指令,当计算机执行时会使得一蚀刻反应室对一介电材料层进行蚀刻,其中该介电材料的介电常数低于4.0,该程序指令包括:
提供一基底,该基底上已有一低介电常数材料层,将该基底移入该蚀刻反应室的一等离子体蚀刻腔室内;
通入一蚀刻气体混合物至该等离子体蚀刻腔室内,该蚀刻气体混合物包括一多氟的氟碳气体或氢氟碳气体、一含氮气体以及一多氢的氢氟碳气体;以及
在该等离子体蚀刻腔室中产生该蚀刻气体混合物的等离子体,以蚀刻该低介电常数材料层。
15.如权利要求14所述的计算机可读媒体,其中该基底置于该等离子体蚀刻腔室的基座上,且在该等离子体蚀刻腔室中产生该蚀刻气体混合物的等离子体的步骤包括电容耦合射频电源至该等离子体蚀刻腔室内,以在该基座与该等离子体之间产生一实质的直流偏压。
16.如权利要求14所述的计算机可读媒体,其中产生该蚀刻气体混合物的等离子体的步骤包括对该基座上施加一RF偏压电源,且对面对该基座的一顶部电极施加一VHF电源。
17.一种形成镶嵌或双重镶嵌结构的方法,包括:
将已覆盖有一低介电常数材料层的一基底移至一等离子体蚀刻腔室内,其中该低介电常数材料层具有超过8%的碳含量;
于该等离子体蚀刻腔室内通入一蚀刻气体混合物,其包括一多氟的氟碳气体或是氢氟碳气体、一含氮气体以及一或多种的添加气体;以及
在该等离子体蚀刻腔室内维持一蚀刻气体混合物的等离子体,以蚀刻该低介电常数材料层。
18.如权利要求17所述的形成镶嵌或双重镶嵌结构的方法,其中该多氟的氟碳气体系选自于CF4、C2F8、CHF3及其混合物。
19.如权利要求17所述的形成镶嵌或双重镶嵌结构的方法,其中该添加气体包括一多氢的氢氟碳气体、一惰性气体或是一碳-氧气体。
20.如权利要求17所述的形成镶嵌或双重镶嵌结构的方法,其中该基底是放置在该等离子体蚀刻腔室内的一基座上,且维持该蚀刻气体混合物的等离子体的步骤包括对该基座上施加一RF偏压电源,且对面对该基座的一顶部电极施加一VHF电源。
CNB2004100557774A 2003-08-01 2004-07-30 选择性蚀刻掺杂碳的低介电常数材料的方法 Expired - Fee Related CN100353505C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/632,873 US7256134B2 (en) 2003-08-01 2003-08-01 Selective etching of carbon-doped low-k dielectrics
US10/632,873 2003-08-01

Publications (2)

Publication Number Publication Date
CN1624881A true CN1624881A (zh) 2005-06-08
CN100353505C CN100353505C (zh) 2007-12-05

Family

ID=33541552

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100557774A Expired - Fee Related CN100353505C (zh) 2003-08-01 2004-07-30 选择性蚀刻掺杂碳的低介电常数材料的方法

Country Status (5)

Country Link
US (1) US7256134B2 (zh)
EP (1) EP1503405A3 (zh)
KR (1) KR100849707B1 (zh)
CN (1) CN100353505C (zh)
TW (1) TWI375268B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
CN102797011A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 半导体装置的脉冲式蚀刻方法及系统
US8372756B2 (en) 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
CN107230672A (zh) * 2016-03-24 2017-10-03 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN111065514A (zh) * 2017-09-27 2020-04-24 富士胶片株式会社 阻气膜
CN111433893A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 用有机前体通过远程等离子体表面处理含硅和碳的膜

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US7517801B1 (en) * 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060110688A1 (en) * 2004-11-19 2006-05-25 Chung-Ju Lee Etching process compatible with DUV lithography
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070218699A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US7442650B2 (en) * 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US20080203056A1 (en) * 2007-02-26 2008-08-28 Judy Wang Methods for etching high aspect ratio features
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US7947609B2 (en) * 2007-08-10 2011-05-24 Tokyo Electron Limited Method for etching low-k material using an oxide hard mask
JP5405012B2 (ja) 2007-11-19 2014-02-05 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
KR101002493B1 (ko) * 2007-12-28 2010-12-17 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
SG174500A1 (en) * 2009-04-09 2011-10-28 Lam Res Corp Method for low-k dielectric etch with reduced damage
US8334148B2 (en) * 2009-11-11 2012-12-18 Samsung Electronics Co., Ltd. Methods of forming pattern structures
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
TWI469199B (zh) * 2011-03-18 2015-01-11 Tokyo Electron Ltd 氟碳化物膜中之懸空鍵的控制方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
WO2014113936A1 (en) * 2013-01-23 2014-07-31 Nokia Corporation Method and apparatus for limiting a sensing region of a capacitive sensing electrode
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
TWI670768B (zh) * 2014-10-30 2019-09-01 日商日本瑞翁股份有限公司 電漿蝕刻方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6568822B2 (ja) * 2016-05-16 2019-08-28 東京エレクトロン株式会社 エッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6014943A (en) * 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
EP0871213A3 (en) * 1997-03-27 1999-03-03 Siemens Aktiengesellschaft Method for producing vias having variable sidewall profile
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JPH11330046A (ja) * 1998-05-08 1999-11-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6090403A (en) * 1998-08-17 2000-07-18 Lectec Corporation Inhalation therapy decongestant with foraminous carrier
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR20000033432A (ko) * 1998-11-23 2000-06-15 윤종용 보드리스 콘택의 형성방법
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6410451B2 (en) * 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
JP4381526B2 (ja) 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6607675B1 (en) 2000-08-29 2003-08-19 Applied Materials Inc. Method of etching carbon-containing silicon oxide films
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
CN1411040A (zh) * 2001-09-21 2003-04-16 旺宏电子股份有限公司 一种用于制造半导体组件的干式蚀刻方法
US6914004B2 (en) 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6828251B2 (en) * 2002-02-15 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US6569777B1 (en) * 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
CN1327495C (zh) * 2003-01-02 2007-07-18 上海华虹(集团)有限公司 一种含硅低介电常数材料的干法刻蚀工艺
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8372756B2 (en) 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
CN101667609B (zh) * 2008-08-29 2015-07-22 气体产品与化学公司 二氧化硅组合物的选择性蚀刻
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
CN102797011A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 半导体装置的脉冲式蚀刻方法及系统
CN107230672A (zh) * 2016-03-24 2017-10-03 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN107230672B (zh) * 2016-03-24 2019-11-05 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN111065514A (zh) * 2017-09-27 2020-04-24 富士胶片株式会社 阻气膜
CN111065514B (zh) * 2017-09-27 2022-01-25 富士胶片株式会社 阻气膜
CN111433893A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 用有机前体通过远程等离子体表面处理含硅和碳的膜
CN111433893B (zh) * 2017-10-03 2024-04-02 玛特森技术公司 用有机前体通过远程等离子体表面处理含硅和碳的膜

Also Published As

Publication number Publication date
TWI375268B (en) 2012-10-21
TW200509250A (en) 2005-03-01
KR100849707B1 (ko) 2008-08-01
US7256134B2 (en) 2007-08-14
US20050026430A1 (en) 2005-02-03
KR20050016080A (ko) 2005-02-21
CN100353505C (zh) 2007-12-05
EP1503405A3 (en) 2005-05-04
EP1503405A2 (en) 2005-02-02

Similar Documents

Publication Publication Date Title
CN1624881A (zh) 选择性蚀刻掺杂碳的低介电常数材料的方法
US8859430B2 (en) Sidewall protection of low-K material during etching and ashing
US6613689B2 (en) Magnetically enhanced plasma oxide etch using hexafluorobutadiene
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
US8551877B2 (en) Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8592327B2 (en) Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
WO2009088660A1 (en) Method of etching a high aspect ratio contact
JPH1041274A (ja) 誘電層のエッチング方法
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
JP4008352B2 (ja) 絶縁膜のエッチング方法
JP2001521283A (ja) ジフルオロメタンおよびトリフルオロメタンを用いたセルフアラインコンタクトエッチング
US6897154B2 (en) Selective etching of low-k dielectrics
US7253115B2 (en) Dual damascene etch processes
US7229930B2 (en) Selective etching of low-k dielectrics
KR101197070B1 (ko) 유기실리케이트 유리용 아산화질소 스트립 프로세스
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US20130074769A1 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071205

Termination date: 20100730