JPH1041274A - 誘電層のエッチング方法 - Google Patents

誘電層のエッチング方法

Info

Publication number
JPH1041274A
JPH1041274A JP9112887A JP11288797A JPH1041274A JP H1041274 A JPH1041274 A JP H1041274A JP 9112887 A JP9112887 A JP 9112887A JP 11288797 A JP11288797 A JP 11288797A JP H1041274 A JPH1041274 A JP H1041274A
Authority
JP
Japan
Prior art keywords
gas
etching
fluorocarbon
layer
rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9112887A
Other languages
English (en)
Inventor
Bryan Pu
プー ブライアン
Hongching Shan
シャン ホンチン
Michael Welch
ウェルチ マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1041274A publication Critical patent/JPH1041274A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 高エッチング選択率、低エッチング速度マイ
クロローディング、高エッチング速度の誘電層のエッチ
ング。 【解決手段】プロセスガスは、(i)誘電層(20)に
エッチングを施し、基板(25)上にパッシベーション
堆積物(46)を形成するためのフルオロカーボンガス
と、(ii)パッシベーション堆積物(46)の形成を
促進する炭素−酸素ガスと、(iii)基板(25)に
形成されたパッシベーション堆積物(46)にエッチン
グを施すための窒素含有ガスとを具備する。フルオロカ
ーボンガス:炭素−酸素ガス:窒素含有ガスの体積流量
比は、誘電体とレジストのエッチング選択率比が少なく
とも10:1、エッチング速度マイクロローディングが
10%未満、誘電体エッチング速度が少なくとも約10
0nm/分となるように選択される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は基板エッチングの方
法に関し、特に半導体基板上の二酸化ケイ素などの誘電
層エッチング方法に関するものである。
【0002】
【従来の技術】集積回路製造においては、たとえば二酸
化ケイ素、ドーピングされていないシリケートガラス、
燐酸シリケートガラス(PSG)、ほう燐酸シリケート
ガラス(BPSG)、Si34またはTEOSが堆積し
たガラスなどの電気絶縁誘電層に対してエッチングを施
すことが望まれることが多い。これらの電気絶縁誘電層
は基板上に形成されるデバイスあるいは表面形状を電気
的に絶縁するのに用いられる。たとえば、誘電層を単結
晶シリコン基板上に堆積させたり、ポリシリコン層を基
板上に、あるいは、たとえばケイ化チタンや窒化チタン
などの反射防止層または拡散防壁層上に堆積してもよ
い。別の例を上げれば、誘電層を、半導体基板上に形成
されるデバイスを電気的に接続するのに用いられる導電
性のインターコネクトライン上に堆積させてもよい。誘
電層をエッチングするためには、レジスト材料を誘電層
に堆積し、フォトリソグラフィ方法を用いてパターニン
グを施し所望の形状をなすホールや線を形成する。ホー
ルは絶縁誘電層の露出部から、シリコン層、ポリシリコ
ン層、ケイ化チタン層や窒化チタン層などの下層までエ
ッチングされる。エッチングされたホールは導電性材料
で満たされ、一般的にはコンタクトホールあるいはバイ
アとして知られる縦型導電性インターコネクト部が形成
される。この接続部は基板に形成されるデバイスを接続
したり、低部のインターコネクトラインと上部のインタ
ーコネクトラインをインターコネクトするものである。
【0003】従来のエッチング方法においては、誘電層
のエッチングには、たとえば、CHF3、CF4やアルゴ
ンなどの、フルオロカーボンガスのプラズマが用いられ
ている。しかしながら、こうしたガス組成ではエッチン
グ選択率とエッチング速度マイクロローディングの両方
を同時に制御することはできない。ガス組成を高エッチ
ング選択率になるよう調整すれば、しばしば高マイクロ
ローディングを招き、逆に低エッチング速度になるよう
に調整すれば、低エッチング選択率を招く。エッチング
選択率とは、誘電層のエッチング速度と、上層のレジス
ト層、あるいは下層のシリコン層、ポリシリコン層、ケ
イ化チタン層や窒化チタン層のエッチング速度の比を意
味する。誘電体エッチング速度を近接する層に対するエ
ッチング速度より速くして、上層のレジスト層を過度に
エッチングすることなく、しかも下層に至るまでエッチ
ングすることなく誘電体をエッチングすることが望まれ
ている。エッチング速度マイクロローディングとは大き
なサイズの表面形状と小さな表面形状におけるエッチン
グ速度の相対的な差の測度であり、均一なサイズに表面
形状がエッチングされ、下層に至る前にエッチングを止
めるためにはこのエッチング速度マイクロローディング
が10%未満であることが必要である。低エッチング速
度マイクロローディングの従来のエッチング方法では、
誘電体とレジストのエッチング選択率比は通常約5:1
未満であることが多い。しかし、最新の集積回路では、
誘電体とレジストのエッチング選択率を5:1より大き
く、対ポリシリコン、WSixとTiSixでは20:1
より大きく,対拡散防壁や反射防止層では40:1より
大きくすることが往々にして求められている。
【0004】
【発明が解決しようとする課題】高選択率かつ低マイク
ロローディングのエッチングを施すために、炭素とフッ
素原子を多数含有する、たとえばC48やC26などの
フルオロカーボンガスを、たとえばCHF3、COやア
ルゴンなどの(エッチングガスの炭素含有量を増加させ
る)炭素含有ガスと組み合わせて用いられる。このよう
なガス組成が高エッチング選択率をもたらす。エッチン
グガス内で解離した炭素が複雑なポリマー副生物を形成
し、それが「パッシベーション」層として被エッチング
表面形状の側壁と、下層、上層に堆積し、これらに対す
るエッチングを抑えるからである。被エッチング表面形
状の側壁のパッシベーション層は側壁のエッチングを抑
制し、「等方性」エッチングを防ぐ。垂直方向の「異方
性」エッチングは望ましいものの、パッシベーションポ
リマーを被エッチング表面形状の側壁に過度に堆積させ
ることは、被エッチング表面形状の断面プロファイルが
傾斜したものになるので望ましくない。また、混合ガス
の炭素含有量が多いと、誘電体エッチング速度が一般的
には約50〜70nm/分と低くなる。
【0005】従来のガス組成はまた、誘電層内でエッチ
ングされるホールの断面形状に対して、エッチングされ
たホール間の距離の関数として変化する高プロファイル
マイクロローディングを生じさせる。これは、径の小さ
なホールの側壁に形成されるパッシベーション堆積物の
除去が径の大きなホールの場合よりも速い速度でなされ
るときに起こる。というのも、プラズマイオンは狭いホ
ールの側壁間で運ばれ、その側壁から跳ね返り、側壁の
パッシベーション層を過度にエッチングするからであ
る。それとは対照的に、大径のホールの側壁のパッシベ
ーション堆積物のエッチングは、チャネル効果が小径の
ホールの場合に比べ小さいので、小径の場合ほど進まな
い。結果として、ホールの断面形状に対して、ホールの
直径の関数として変化する、高プロファイルマイクロロ
ーディングを生じさせる。
【0006】したがって、高エッチング選択性、低エッ
チング速度マイクロローディング、高エッチング速度を
実現するエッチングプロセスが必要となる。またそのエ
ッチング方法で、被エッチング表面形状の側壁に形成さ
れるパッシベーション堆積物の量を制御することによ
り、プロファイルマイクロローディングを減少させ、実
質的な異方性エッチングをもたらすことが望ましい。
【0007】
【課題を解決するための手段】本発明はレジスト材を被
覆した誘電層を有する基板を、高エッチング選択率、低
エッチング速度マイクロローディング、高エッチング速
度でエッチングする方法を提供するものである。本発明
の方法において、基板はプロセスゾーンに配置され、プ
ロセスゾーンに導入されるプロセスガスからプラズマが
生成される。プロセスガスは(i)誘電層にエッチング
を施すための、また基板上にパッシベーション堆積物を
形成するための、フルオロカーボンガスと、(ii)基
板上へのパッシベーション堆積物の形成を促進する炭素
−酸素ガスと、(iii)基板に形成されたパッシベー
ション堆積物を除去するための窒素含有ガスとを備え
る。好ましくは、フルオロカーボンガス:炭素−酸素ガ
ス:窒素含有ガスの体積流量比を選択して、誘電体対レ
ジストのエッチング選択率比が少なくとも10:1、エ
ッチング速度マイクロローディングが10%未満、誘電
体エッチング速度が少なくとも約100nm/分となる
ようにする。好ましくは、フルオロカーボンガス:炭素
ー酸素ガス:窒素含有ガスの体積流量比は、パッシベー
ション堆積物の形成速度がパッシベーション堆積物の除
去速度とほぼ等しくなるように選択される。また、ガス
組成は誘電体と下層のエッチング選択率比が少なくとも
20:1になるように調整することができる。ここで下
層とは、たとえば単結晶シリコン、ポリシリコン、ケイ
化チタン、反射防止層または拡散防壁層である。
【0008】好ましいエッチングガス組成は、水素を有
する第1のフルオロカーボンガスと水素を有しない第2
のフルオロカーボンガスとを備えるものである。好まし
くは、第1のフルオロカーボンガスはCH3Fと、CH
3と、C2HF5と、CH22と、C246とからなる
グループから選択され、第2のフルオロカーボンガス
は、CF4と、C26と、C38と、C48と、C410
とからなるグループから選択される。窒素含有ガスは、
フッ素を有しないことが好ましく、また、N2、N2Oま
たはNOxを有していてもよく、この中ではN2 が好ま
しい。プラズマによって活性化され基板から材料をスパ
ッタする不活性ガスをプロセスガスに加えて、エッチン
グ速度とエッチング選択率を高めてもよい。不活性ガス
は、アルゴン、キセノン、ネオン、クリプトンまたはヘ
リウムを備えていてもよく、この中ではアルゴンが好ま
しい。
【0009】本発明の上記の、またこれ以外の特徴、解
釈、効果は以下の本発明の例を示している図面、説明、
特許請求の範囲からよりよく理解できるであろう。
【0010】
【発明の実施の形態】本発明のエッチング方法は、図1
(a)〜図1(d)に示されるように、基板25上の誘
電層20への高エッチング選択率かつ高エッチング速度
のエッチングに有用である。基板25は、ガラス、セラ
ミック、金属、ポリマー等のあらゆる材料でできていて
もよいが、シリコンウエハやガリウムヒ素ウエハなどの
半導体基板であってもよい。基板25上の誘電層20は
代表的には、酸化シリコン、燐酸シリケートガラス(P
SG)又はほう燐酸シリケートガラス(BPSG)等の
層を、約400〜800nmの厚さで備える。また誘電
層20は複数の層、例えば300〜800nmのBPS
G層を自身の上に有する厚さ約100〜500nmの酸
化シリコン層であってもよい。ここでは本発明のエッチ
ング方法を誘電層エッチングについて例示しているが、
ケイ化物、窒化物、ホウ化物層などの非酸化物層、例え
ばTa25、TiO2、TiB、WSix、MoSix
を含む膜のエッチングに用いることもできる。このよう
に、本発明は誘電層のエッチングに限定されるものでは
ない。
【0011】誘電層20は、基板25に形成されるデバ
イスやインターコネクトラインを電気的に絶縁させるた
めに用いられる。例えば、図1(a)に示されるよう
に、誘電層20を、ケイ化チタン等の接触抵抗減少層や
ドープポリシリコン層28や拡散層30等の下層26の
上に堆積させて、下層26電気的に絶縁させてもよい。
また、図1(c)に示されるように、(i)チタンや、
タングステンや、チタン−タングステンや、窒化チタン
等の下拡散防壁層32と、(ii)アルミニウム、銅、
シリコンを備える導電層34と、(iii)シリコン、
窒化チタン、チタン−タングステンなどの反射防止層3
6とを典型的に有する被エッチングインターコネクトラ
インを電気的に絶縁するために、絶縁層20を用いる。
これらの層の厚さはそれぞれ通常約200nm〜100
0nmである。
【0012】従来のフォトリソグラフィーのプロセスに
おいては、デュポン ド ヌムールケミカルカンパニー
(デュポン社)で製造の「RISTON」などのフォト
レジスト40を厚さ約0.4〜1.3ミクロンで誘電層
20に塗布し、所望の形状の表面形状45に対応するマ
スクを介して光パターンにレジストを曝露しエッチング
しようとする絶縁誘電層の表面形状45、例えばホール
やバイア等を画成する。誘電層20のうちレジスト非曝
露部分の下にある部分が、エッチングプロセス中にエッ
チングされる。エッチングプロセス時、ポリマーパッシ
ベーション堆積物46が、被エッチング表面形状45の
側壁48に形成される。被エッチング表面形状45の大
きさは通常約0.2〜約10ミクロン、さらに典型的に
は約0.4〜約2ミクロンである。表面形状間隔は通常
0.2〜10ミクロンである。
【0013】図2に示されるように、基板25上の誘電
層20は、たとえば米国カリフォルニア州サンタクララ
のアプライド マテリアル社から市販されているMxP
+ OXIDE ETCHチャンバなどのプロセスチャン
バ50でエッチングを施される。同図に示されるプロセ
スチャンバ50の例は半導体基板25の処理に好適で、
本発明の例示の目的のためだけに示されるものであり、
本発明の範囲を制限するものではない。たとえば、本発
明のエッチングプロセスはあらゆる基板25をエッチン
グするのにも用いることができ、半導体製造以外の製造
プロセスでも利用可能である。
【0014】上記プロセスを実行するために、プロセス
チャンバ50を約1mTorr未満の圧力になるよう減
圧排気し、、真空に保たれた(図示しない)ロードロッ
ク移送チャンバからプロセスチャンバ50のプラズマゾ
ーン55まで、基板20を移送する。プラズマゾーン5
5の体積は好ましくは、少なくとも約5,000cm3
であり、より好ましくは約10,000〜50,000
cm3である。基板20は、カソード電極60としても
機能する支持体の上に設置され、プロセスチャンバ50
の側壁は接地されてアノード電極65を形成する。エッ
チング処理中は、基板25の温度を制御するためヘリウ
ム等の冷却ガスを内部に保持するグルーブを有する機械
チャック又は静電チャックを用いて、基板を適所に固定
させてもよい。
【0015】処理ガスを基板25の周囲に設けられたガ
ス分配器80を介してプロセスチャンバに導入し、プロ
セスチャンバ50は約1〜1000Mtorr、より一
般的には10〜300mtorrの圧力に保たれる。プ
ラズマゾーン55で電界が保たれ、(i)RF電流をプ
ロセスチャンバとり巻くインダクタコイル(図示しな
い)に印加することにより誘導的に、(ii)RF電流
をプロセスチャンバ50内のカソード、アノード電極6
0、65に印加することにより容量的に、あるいは(i
ii)誘導的かつ容量的に、プロセスガスからプラズマ
を形成する。反応性イオンエッチング(RIE)プロセ
スにおいて、プラズマの生成は代表的には、約100〜
2000ワットのRF電圧をカソード電極60に印加
し、アノード電極65を接地することにより容量的に行
われる。あるいは、約750〜2000ワットのRF電
流をインダクタコイルに印加して、エネルギをプロセス
チャンバに誘導結合させてプラズマゾーン55内にプラ
ズマを発生させてもよい。処理電極60、65あるいは
インダクタコイルに印加するRF電流の周波数は一般的
に50Khz〜60MHZの間、より一般的には約1
3.56MHZである。
【0016】プラズマの励起は電子サイクロトロン共鳴
リアクタあるいは磁気強化リアクタを使って行うことが
できる。これらのリアクタは、永久磁石や電磁コイルな
どの磁界発生器85を用いてプラズマゾーン55内に磁
界を発生させ、プラズマゾーン55のプラズマの密度と
均一性を高める。1989年6月27日発行の米国特許
番号4,842,683で述べられているように、基板
25面に平行な軸を有する回転磁界を、磁界が備えるこ
とが好ましい。本発明でもこの磁界を適用する。プロセ
スチャンバ50の磁界はプラズマ内部のイオンの密度を
高めるのに十分な強度を有し、またCMOSゲートなど
の表面形状の電荷増加によるダメージを減少させるのに
十分な均一性を有するべきである。通常、基板表面で測
定される磁界は約500ガウス未満、より一般的には約
10〜100ガウス、最も一般的には約10〜30ガウ
スである。
【0017】プロセスチャンバ50を約10-3mTor
rの最小圧力にすることが可能な減圧排気システム90
を介して、使用済みプロセスガスとエッチングガス副生
物がプロセスチャンバ50から排出される。スロットル
バルブ95はプロセスチャンバ50内の圧力を制御する
ために減圧排気システムに設置される。また、光終点測
定技術を用いて、検出可能な気体種に対応するある波長
の発光強度の変化を測定することによって、通常特定の
層のエッチングプロセスの終了を決定する。検出される
種、例えばCOやCNなどの種の量の急激な減少増加
は、プロセスガスと二酸化ケイ素やポリシリコン層との
化学反応によるもので、誘電層20に対するエッチング
の終了と下層に対するエッチングの開始を示す。
【0018】本発明のエッチングプロセスは基板25上
の誘電層20を高エッチング速度、高選択率でエッチン
グするものである。エッチングプロセスで使用されるプ
ロセスガスは(i)誘電層20にエッチングを施すため
の、また基板25上にパッシベーション堆積物46を形
成するためのフルオロカーボンガスと、(ii)パッシ
ベーション堆積物形成を促進させるための炭素−酸素ガ
スと、(iii)基板25上のパッシベーション堆積物
46にエッチングを施すための窒素含有ガスとを備え
る。また、不活性ガスをプロセスガスに添加して、基板
25から材料をスパッタ、除去するためのスパッタイオ
ンを生じさせるようにしてもよい。これらのガスの特性
およびガスの好ましい体積流量比については以下に説明
する。
【0019】フルオロカーボンガスは基板25上の誘電
層20をエッチングするフッ素含有種を生成することが
できる。たとえば、酸化シリコン層はフッ素含有イオン
と中性種によってエッチングを施され、プロセスチャン
バ50から排出される揮発性SiFx種を生成する。好
適なフルオロカーボンガスはたとえばCF3、CF4、C
3F、CHF3、CH22、C246、C26、C3
8、C48、C2HF5、C410など炭素、フッ素、任意
に水素を有している。本発明の好ましい解釈において、
フルオロカーボンガスは(i)たとえばCH3F、CH
3、C2HF5、CH22、C246などの水素含有フ
ルオロカーボンの第1のグループと、(ii)たとえば
CF4、C26、C38、C48、C410などの水素を
含有しないフルオロカーボンの第2のグループから選択
されるフルオロカーボン種の混合したものを備える。ガ
スの化学的性質については十分にわかっていないが、概
して第2のグループのフルオロカーボンは遊離炭素とC
2ラジカルの量を増加させ、異方性エッチングと高い
エッチング選択率をもたらすと思われる。しかしながら
第2のグループのフルオロカーボン量が過度になると炭
素含有量が多いことによりエッチング速度が遅くなる。
さらに、第1のグループのフルオロカーボン(ただしC
3Fを除く)はエッチング速度を速め、エッチング選
択率を低下させると思われる。なぜなら、これらのフル
オロカーボンの遊離炭素ラジカルと結合する水素種によ
り気体炭化水素を生成しこれはプロセスチャンバから排
出されるため、パッシベーション堆積物の形成に用いる
炭素の量を制限し、且つ、誘電層20のエッチングに用
いるフッ素種の量を増加させることになるからである。
第1のグループと第2のグループのフルオロカーボンか
ら選択されるガスの組み合わせにより最適なエッチング
速度とエッチング選択率比が得られることがわかった。
たとえば、好ましいガスの組み合わせには第1グループ
のCHF3ガスと、第2グループのC48ガスが約1:
1〜約10:1、より好ましくは1:1〜6:1、最も
好ましくは約4:1の体積流量比で含まれている。
【0020】炭素−酸素含有ガスは、基板25上にパッ
シベーション堆積物46を形成する炭素含有種の生成を
促進させるために用いられ、また、シリコンを含有する
下層のエッチング速度を制御するのに用いられる。炭素
−酸素含有ガスは通常は、フッ素種と反応して揮発性の
COF2を生成して、プロセスチャンバ50内のフッ素
濃度を減少させ、結果的にシリコンを含有する下層のエ
ッチングを減少させる。加えて、炭素−酸素含有ガス
は、他の種、たとえば窒素、フッ素、ホウ素などと反応
して被エッチング表面形状の側壁にパッシベーション堆
積物46として堆積するポリマーを形成する遊離炭素種
の生成を促進する。たとえば、CF2ラジカルは重合し
て、新たなエッチングされた表面形状45の側壁に堆積
するパッシベーション堆積物としてのポリマーを生成
し、縦方向の異方性エッチングを促進する。このため、
炭素−酸素ガス流量が過度に高いと、過度なパッシベー
ション堆積物46が基板25上に形成され、誘電体エッ
チング速度を遅くする。こうした理由から、炭素−酸素
ガスの流量をフルオロカーボンガスの流量より小さく、
あるいは実質的に等しくなるよう保つことにより、誘電
体対下層のエッチング選択率を高い状態で異方性エッチ
ングを施しつつ基板25を高速にエッチングするのに十
分なフッ素含有種をもたらす。好適な炭素−酸素ガスは
たとえばCO、HCOOH、HCHOを含み、この中で
はCOが好ましい。
【0021】窒素含有ガス、例えばN2、NO2、NOx
等は、フルオロカーボンと炭素−酸素含有ガスとの結合
によって予期しない効果をもたらす。上述のとおり、フ
ルオロカーボンと炭素−酸素ガスの成分は解離して、被
エッチング表面形状45の側壁48にポリマーパッシベ
ーション堆積物46を形成するためのCF2種を生成す
る。窒素含有ガスはCF2ラジカルの一部と反応して揮
発性のCNラジカルを生成し、これがプロセスチャンバ
50から排出されると考えられる。この結果、プラズマ
ゾーン内の酸素種が増加し、遊離炭素と反応して基板2
5に形成されるパッシベーション堆積物46の量を減少
させ、エッチング速度マイクロローディングを減少さ
せ、エッチングプロセスをストップさせかねない過度に
厚いパッシベーション層の堆積を防ぐ。また、フルオロ
カーボンガスと炭素−酸素ガスによって比較的多量の遊
離炭素とCF2ラジカルができて誘電層のエッチング速
度を遅くするが、窒素種がフッ素、CFxと反応して基
板25からパッシベーション堆積物46をエッチングし
て除くNF3を生成すると考えられる。よって、たとえ
ばN2、NO2、NOxなどのフッ素を含まない窒素含有
ガス、なかでもN2を用いるのが望ましい。フルオロカ
ーボンガスと窒素含有ガスの体積流量比は約5:1〜
1:5、好ましくは約3:1〜1:3、最も好ましくは
約2:1〜約1:2であるのが望ましい。
【0022】最適なエッチング結果を出すためには、フ
ルオロカーボンガス:炭素−酸素ガス:窒素含有ガスの
体積流量比は、側壁48と新しくエッチングされた表面
形状45の低部へのパッシベーション堆積物46の形成
速度がパッシベーション堆積物46の除去速度にほぼ等
しくなるように、選択される。これにより高いエッチン
グ選択率比が得られ、たとえば誘電体とレジストのエッ
チング選択率比は少なくとも約10:1が得られ、同時
に誘電層20を少なくとも100nm/分、より一般的
には200〜500nm/分という高エッチング速度で
エッチングし、エッチング速度マイクロローディングを
減少させる。基板25が誘電層20の下にたとえばポリ
シリコン、単結晶シリコン、ケイ化チタン、窒化チタ
ン、窒化シリコン層などの下層26を備えるとき、フル
オロカーボンガス:炭素−酸素ガス:窒素含有ガスの体
積流量比を調整して、特定の組み合わせの材料に対する
エッチング選択率比、たとえば誘電体のレジスト、ポリ
シリコン、拡散防壁層、ケイ化チタン層あるいは反射防
止層などに対するエッチング選択率比を増加させること
ができる。特に、フルオロカーボンガス:炭素−酸素ガ
ス:窒素含有ガスの体積流量比は、誘電体のポリシリコ
ン、ケイ化チタンあるいは窒化チタンに対するエッチン
グ選択率比が少なくとも約20:1、より好ましくは少
なくとも30:1になるように選択する。また、フルオ
ロカーボンガス:炭素−酸素ガス:窒素含有ガスの体積
流量比を調整して、被エッチング表面形状45の側壁4
8の平坦面の基板25上の誘電層20の表面に対する角
度が少なくとも85°になるようにしてもよい。たとえ
ば高エッチング選択率、低エッチング速度マイクロロー
ディング、卓越したエッチング速度を与えるようなフル
オロカーボンガス:炭素−酸素ガス:窒素含有ガスの体
積流量比は約7:8:4〜約3:4:4、より好ましく
は約6:7:4〜約4:5:4の範囲である。好ましい
体積流量比をここで挙げたが、材料の様々な組み合わ
せ、たとえば表面形状のアスペクト比など表面形状の形
状に応じた調整を行い、本発明の範囲を逸脱することな
く特定のエッチング選択率、エッチング速度マイクロロ
ーディング、エッチング速度を得ることができるという
ことに注意すべきである。
【0023】好ましくは、不活性ガスをプロセスガスに
加えて、新たにエッチングされた表面形状45の側壁4
8にパッシベーション堆積物46をスパッタさせるイオ
ン化したスパッタ種を生成する。また、不活性ガスもイ
オン化してプロセスガスの解離を促すイオン化した準安
定状態を形成する。よって、不活性ガスに広範な励起エ
ネルギーをもたせることも望ましく、そうすることによ
ってプロセスガスの解離を促すエネルギー移動反応が励
起した不活性ガスとプロセスガスの間で起こる。好適な
不活性ガスはアルゴン、ヘリウム、ネオン、キセノン、
クリプトンを含み、その中でもアルゴンが好ましい。十
分な量の不活性ガスがプロセスガスに加えられて、パッ
シベーション堆積物46が基板25からスパッタされる
のを促進し、プロセスガスの解離を高める。しかしなが
ら、過度に不活性ガスの流量を増やすと基板25上のレ
ジストをスパッタすることになり、レジストに小面(フ
ァセット)を形成し、レジストの下にある誘電層20を
エッチングし、高プロファイルマイクロローディングを
引き起こす。したがって、不活性ガスとプロセスガスの
体積流量比は約3:1〜約1:2であることが好まし
い。
【0024】高エッチング選択率でシリコン基板25上
の酸化シリコン層をエッチングするのに適した一つの好
ましいプロセスガスの組成は、CHF3、C48、C
O、N2とアルゴンを備える。ここで述べているプロセ
スチャンバ50の体積に対して、(i)CHF3の好適
な流量は約10〜約60sccm、より望ましくは約2
0〜約50sccm、(ii)C48の好適な流量は約
0.5〜約40sccm、より望ましくは約2〜約20
sccm、(iii)COの好適な流量は約10〜90
sccm、より望ましくは約20〜約60sccm、
(iv)N2の好適な流量は約5〜約80sccm、よ
り望ましくは約10〜約40sccm、(v)アルゴン
の好適な流量は約50〜約300sccm、より望まし
くは約100〜約250sccmである。実際の流量は
プロセスチャンバ50の体積に依存するので、本発明は
ここで挙げた流量に限定されるものではない。
【0025】本発明のエッチングプロセスは、エッチン
グ速度マイクロローディングと誘電体エッチング速度を
犠牲にすることなく高選択率で誘電層20にエッチング
を施す。ここでエッチング選択率比とは、誘電層20の
エッチング速度と、他の材料を備える近接する層(下側
には反射防止層、拡散防壁層、ケイ化チタン層やポリシ
リコン層等、上側にはレジスト層等)のエッチング速度
との比を意味するものである。高エッチング速度、低マ
イクロローディング、高エッチング選択率の組み合わせ
を得るためには、パッシベーション種の基板への堆積速
度と基板からの除去速度の平衡を保ち、誘電層20のエ
ッチングに用いられるフッ素含有種の量を制御する。過
度なパッシベーション堆積物46によって誘電体へのエ
ッチング速度全体が落ち、エッチング速度マイクロロー
ディングが高くなる状態で、ポリマー堆積あるいは形成
を抑制すると、一般にエッチング選択率を下げることに
なる。本発明におけるフルオロカーボンガス、炭素−酸
素ガス、窒素含有ガス、不活性ガスの組み合わせによっ
て、高エッチング速度、低エッチング速度マイクロロー
ディング、高エッチング選択率という予期しない効果が
もたらされる。特に、本発明のエッチングプロセスで
は、誘電体とレジストのエッチング選択率比は少なくと
も約10:1、誘電体とポリシリコンのエッチング選択
率比は少なくとも約20:1、誘電体とTiSixのエ
ッチング選択率比は少なくとも約30:1となり、また
少なくとも約100nm/分、より一般的には少なくと
も約300nm/分の高エッチング速度が得られつつ、
エッチング速度マイクロローディングは約10%未満に
抑えられる。
【0026】
【実施例】以下の実施例は、半導体基板上25上の誘電
層20にエッチングを施す本発明の使用例を示したもの
である。しかし、装置と方法は当業者には明らかなよう
に、ほかにも適用可能であり、本発明の範囲は以下に述
べる実施例に限定されるものではない。
【0027】使用された基板25は直径200mm(8
インチ)のシリコンウエハであり、順に、ポリシリコン
層、0.05ミクロンのTiSx、厚さ約1.5ミクロ
ンのBPSG層で被覆されている。基板25は、MxP
+ OXIDE ETCHチャンバ50のカソード60
の上に配置され、チャンバ50の圧力が、約80mTo
rrに保たれた。下で述べる特定のガス組成を備えるプ
ロセスガスを、プロセスチャンバ50ないに導入した。
そののち、プラズマをプラズマゾーン55で発生させ、
基板25上の誘電層20をエッチングするエッチングガ
スを生成した。このプラズマは、RF電圧を約1100
ワットの電力レベルのプロセス電極に印加することによ
って発生した。プラズマは約30ガウスの回転磁界をプ
ラズマゾーン55に与えることによって励起された。
【0028】基板25は、エッチング副生物を揮発させ
るのに十分な程度に高温に、且つ、新しくエッチングさ
れた表面形状45の側壁のパッシベーション堆積物46
の薄い層が揮発しない程度に低温に、冷却叉は加熱され
た。典型的な例では、圧力を約14mTorrに保っ
て、基板25の裏面にヘリウムを流して、基板25を1
5℃に冷却した。チャンバ壁を15℃に加熱して壁にポ
リマー堆積物を形成した。エッチングプロセスを、基板
25上の絶縁誘電層20全体にエッチングを施すのに十
分な時間行い、光終点測定技術を用いて終了させた。エ
ッチングの後、従来のプロセス条件に保たれた酸素プラ
ズマを用いて残留レジストを燃焼して灰化させた。
【0029】エッチングされたウエハのSEM写真を用
いて以下のことを測定した。(i)誘電体エッチング速
度、(ii)誘電体エッチングとTiSx、ポリシリコ
ン、またはフォトレジストへのエッチングのエッチング
選択率比、(iii)エッチング速度均一性、(iv)
側壁48のプロファイル角度、(v)%エッチング速度
マイクロローディング。エッチング速度はウエハ内でエ
ッチングされた表面形状45の深さを測定することによ
って算出した。エッチング選択率比は誘電層20のエッ
チング速度とTiSix、ポリシリコンあるいはフォト
レジスト層のエッチング速度の比から算出した。エッチ
ング速度均一性は少なくとも15の異なる測定点を使
い、下式によって算出した: 均一性=(最大エッチング速度 − 最小エッチング速
度)/(2平均エッチング速度)。
【0030】%エッチング速度マイクロローディング
は、基板上で異なるサイズの表面形状をエッチングした
ときに得られるエッチング速度差の目安であり、下式か
らパーセント値として算出される: %マイクロローディング=(大きな表面形状のエッチン
グ速度 − 小さな表面形状のエッチング速度)/(大き
な表面形状のエッチング速度)x100。
【0031】下の実施例では、%エッチング速度マイク
ロローディングを、直径約1.1ミクロンの大きなサイ
ズのホールと直径約0.5ミクロンの小さなサイズのホ
ールについて測定し、エッチング速度は、基板25の中
心と周縁部で平均したものである。
【0032】(実施例1〜6)本発明のエッチングプロ
セスを従来のガス組成の異なるエッチングプロセスと比
較するために、表1に示されるようないくつかの実験を
行った。
【0033】
【表1】 これらの実施例から、本発明のエッチングプロセスの効
果が例証される。特に、実施例6は、本発明におけるC
HF3/N2/CO/C48/Arを備えるプロセスガス
は、350nm/分を超える高エッチング速度、誘電体
の対ケイ化チタンのエッチング選択率比は40:1を上
回り、対ポリシリコンは15:1、対フォトレジストは
10:1という優れたエッチング選択率比を示してい
る。誘電層20内でエッチングされた表面形状45のプ
ロファイル角度は約85°〜約88°、%マイクロロー
ディングは10%未満であった。一方、表に掲載された
そのほかのエッチングガス組成では、例えば実施例1、
2の場合に見られるようにエッチング選択率比が本発明
より低いか、実施例3の場合に見られるようにエッチン
グ速度が本発明より低いものであった。実施例4は最も
高いエッチング速度を示したものの、誘電体とフォトレ
ジストのエッチング選択率比は容認できないほどの低さ
で、レジストエッチング速度が比較的高いため、チャン
バ壁に過度なポリマー堆積物を形成することになった。
実施例5では、高いエッチング速度と優れたエッチング
選択率を示したが、%マイクロローディングは>50%
であり、容認できないものであった。
【0034】(実施例7〜15)実施例7〜15では、
CHF3と窒素の体積流量比を23直交マトリクスをとも
なう要因配置実験法を用いて最適化した。表2に示され
るように、3つの流量を2つのプロセス変数のそれぞれ
について選択した。
【0035】
【表2】 これらの実験においては、プロセスチャンバ50内の圧
力を80mTorrに保ち、磁界を30ガウスに保っ
た。カソード60とチャンバ壁の温度は15℃に保っ
た。ヘリウムをウエハの裏面に14Torrの圧力で流
した。C48の流量を5sccmに、CO流量を30s
ccmに、アルゴン流量を200sccmに保った。電
力レベル1100ワットの電流をカソード電極60に印
加しプロセスチャンバ50内にプラズマを生成するため
のRFバイアスを発生させた。
【0036】実施例7−15の結果を表3に示す。ま
た、図3〜図8は、エッチング速度、均一性、誘電体と
ポリシリコンあるいはフォトレジストの選択率比、プロ
ファイル角度、%マイクロローディングの変化を、N2
とCHF3の体積流量の関数として示している。図3
は、CHF3の流量が高くなるにつれてエッチング速度
が速くなることを示している。図4によれば、CHF3
の流量が高くなるにつれてエッチング速度における非均
一性が減少するものの、N2の流量の増加がエッチング
全体の非均一性を減少させるているように思われる。図
5は誘電体とポリシリコンをエッチングするためのエッ
チング選択率比が、N2の流量が増加するにつれ低下す
ることを示している。図6は誘電体とフォトレジストを
エッチングするためのエッチング選択率比は、N2流量
の増加、またCHF3の減少にともない実質的に低下す
ることを示している。図7はエッチングされた表面形状
45の側壁48のプロファイル角度は、CHF3流量が
高くなるにつれ小さくなり、N2流量が増加するにつれ
大きくなることを示している。図8はマイクロローディ
ングがN2をプロセスガスに加えるのにともなって実質
的に低下することを示している。
【0037】
【表3】 最良の結果は実施例11で得られた。エッチング速度は
481nm/分、誘電体のポリシリコンに対するエッチ
ング選択率比は16を上回り、対フォトレジストではエ
ッチング選択率比は11.8を上回った。誘電層20内
でエッチングされた表面形状45のプロファイルは約8
7°で、%マイクロローディングは約3であった。実施
例11では、フルオロカーボンガス:炭素ー酸素ガス:
窒素含有ガスを備えるプロセスガスの体積流量比は5:
6:4であった。また、プロセスガスと不活性ガスの体
積流量比は3:8であった。さらにCHF3とC48
体積流量比は約4:1であった。
【0038】これらの実施例から、本発明における方法
は350nm/分を上回る高エッチング速度、ポリシリ
コンに対して約20:1、フォトレジストに対して1
0:1という優れたエッチング選択率比をもたらすこと
がわかる。誘電層20内でエッチングを施された表面形
状45のプロファイルは85°を超え、実質的に直角で
あり、%マイクロローディングはわずか3%であった。
【0039】本発明は好ましい形態に関してかなり詳細
に説明してきたが、このほかの形態も可能である。たと
えば、フルオロカーボンガス、炭素ー酸素ガス、窒素ガ
スと同等な機能を有するガスも使用でき、このエッチン
グプロセスはたとえばケイ化物、ホウ化物、窒化物、炭
化物などの別の材料をエッチングするのにも用いること
ができる。したがって、添付の特許請求の範囲は上記の
好ましい形態の説明に限定されるものではない。
【0040】
【発明の効果】以上詳細に説明したように、本発明によ
れば、高エッチング選択性、高エッチング速度を実現
し、被エッチング表面形状の側壁に形成されるパッシベ
ーション堆積物の量を制御することにより、プロファイ
ルマイクロローディングを減少させ、実質的な異方性エ
ッチングをもたらすエッチング方法が提供される。
【図面の簡単な説明】
【図1】図1(a)は基板を被覆する誘電層の概略縦断
面であり、図1(b)は誘電層にエッチングを施したあ
と、実質的に異方性エッチングをされたホールが示され
ている図1(a)の基板の概略縦断面図であり、図1
(c)は基板上で導電性インターコネクトラインを被覆
する誘電層の概略縦断面図であり、 図1(d)は、誘
電層にエッチングを施した後の、実質的に異方性エッチ
ングをされたバイアが示されている図1(c)の基板の
概略縦断面図である。
【図2】図2は本発明のエッチングプロセスを実施する
のに好適なプロセスチャンバの縦断面の概略図である。
【図3】図3はCHF3とN2ガスの流量の増加にともな
う誘電層エッチング速度変化を示すグラフである。
【図4】図4はCHF3とN2ガスの流量の増加にともな
う基板での誘電体エッチング均一性変化を示すグラフで
ある。
【図5】図5はCHF3とN2ガスの流量の増加にともな
うBPSGとポリシリコンのエッチング選択率比の変化
を示すグラフである。
【図6】図6はCHF3とN2ガスの流量の増加にともな
うBPSGとフォトレジストのエッチング選択率比の変
化を示すグラフである。
【図7】図7はCHF3とN2ガスの流量の増加にともな
う誘電層を介してエッチングされる表面形状のプロファ
イル角度の変化を示すグラフである。
【図8】図8はCHF3とN2ガスの流量の増加にともな
う%マイクロローディングの変化を示すグラフである。
【符号の説明】
20…誘電層、25…基板、45…表面形状、48…側
壁、50…プロセスチャンバ、55…プラズマゾーン、
60,65…電極。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ホンチン シャン アメリカ合衆国, カリフォルニア州, サン ノゼ, タンブル ウェイ 3630 (72)発明者 マイケル ウェルチ アメリカ合衆国, カリフォルニア州, リヴァーモア, ローマ ストリート 940

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】(a)レジスト材料を自身の上に有する誘
    電層を備える基板を、プロセスゾーンに配置するステッ
    プと、 (b)(i)誘電層にエッチングを施するための、また
    前記基板上にパッシベーション堆積物を形成するため
    の、フルオロカーボンガスと、(ii)前記パッシベー
    ション堆積物の形成を促進するための炭素−酸素ガス
    と、(iii)前記基板上に形成されたパッシベーショ
    ン堆積物の少なくとも一部にエッチングを施すための窒
    素含有ガスとを備えるプロセスガスを、前記プロセスゾ
    ーン内に導入し、該プロセスガスからプラズマを生成す
    るステップとを有するエッチング方法。
  2. 【請求項2】 該ステップ(b)において、(i)誘電
    体とレジストにエッチングを施す際のエッチング選択率
    比は少なくとも約10:1に、(ii)エッチング速度
    マイクロローディングは約10%未満に、(iii)誘
    電体へのエッチング速度は少なくとも約100nm/分
    になるように、フルオロカーボンガス:炭素ー酸素ガ
    ス:窒素含有ガスの体積流量比が選択される請求項1に
    記載の方法。
  3. 【請求項3】 該ステップ(b)において、フルオロカ
    ーボンガス:炭素ー酸素ガス:窒素含有ガスの体積流量
    比は (1)パッシベーション堆積物の形成速度は前記パッシ
    ベーション堆積物の除去速度とほぼ等しくなるように、
    且つ、 (2)誘電層の下に下層があり、該下層がポリシリコン
    層又は単結晶シリコン層又はケイ化チタン層又は拡散防
    壁層又は反射防止層を備える場合、誘電層をエッチング
    する際の誘電層対下層のエッチング選択率比は少なくと
    も20:1となるように、選択される請求項1又は2の
    いずれかに記載の方法。
  4. 【請求項4】 フルオロカーボンガス:炭素ー酸素ガ
    ス:窒素含有ガスの体積流量比が7:8:4〜3:4:
    4である請求項1に記載の方法。
  5. 【請求項5】 フルオロカーボンガス:炭素ー酸素ガ
    ス:窒素含有ガスの体積流量比が6:7:4〜4:5:
    4である請求項4に記載の方法。
  6. 【請求項6】 フルオロカーボンガスと窒素ガスの体積
    流量が、5:1〜1:5である請求項1に記載の方法。
  7. 【請求項7】 窒素含有ガスが、フッ素を含まず、
    2、N2O、NOxの少なくとも一つを備える請求項1
    〜6のいずれかに記載の方法。
  8. 【請求項8】 炭素ー酸素ガスの流量が、フルオロカー
    ボンガスの流量より小さいか等しい請求項1〜7のいず
    れかに記載の方法。
  9. 【請求項9】 フルオロカーボンガスが、(i)水素を
    含有する第1のフルオロカーボンと、(ii)水素を含
    有しない第2のフルオロカーボンとを備える請求項1〜
    8のいずれかに記載の方法。
  10. 【請求項10】 (1)前記第1のフルオロカーボンガ
    スが、CHF3、CH3F、C2HF5、CH22またはC
    246の少なくとも一つを有し、 (2)前記第2のフルオロカーボンガスが、CF4、C2
    6、C38、C48またはC410の少なくとも一つを
    有し、 (3)炭素−酸素ガスの流量が、前記第1のフルオロカ
    ーボンガスと第2のフルオロカーボンガスの流量の合計
    以下であり、 (4)前記第1のフルオロカーボンガスと前記第2のフ
    ルオロカーボンガスの体積流量比が1:1〜10:1の
    範囲にある請求項9に記載の方法。
  11. 【請求項11】 前記プロセスガスが、前記基板をスパ
    ッタするための不活性ガスを有し、且つ、プロセスガス
    と不活性ガスの体積流量比が3:1〜1:2の範囲であ
    る請求項1〜10のいずれかに記載の方法。
  12. 【請求項12】 前記プロセスガスが、CHF3と、C4
    8と、COと、N2と、不活性ガスとからなるグループ
    から選択される請求項1〜11のいずれか一つに記載の
    方法。
  13. 【請求項13】 (1)CHF3とC48の体積流量比
    が1:1〜10:1の範囲であるか、 (2)CHF3とC48の流量の合計とN2流量との比が
    5:1〜1:5の範囲であるか、又は、 3)炭素−酸素ガスの流量がCHF3ガスとC48ガス
    の流量の合計以下であるかである請求項12に記載の方
    法。
JP9112887A 1996-04-29 1997-04-30 誘電層のエッチング方法 Withdrawn JPH1041274A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/639388 1996-04-29
US08/639,388 US5843847A (en) 1996-04-29 1996-04-29 Method for etching dielectric layers with high selectivity and low microloading

Publications (1)

Publication Number Publication Date
JPH1041274A true JPH1041274A (ja) 1998-02-13

Family

ID=24563888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9112887A Withdrawn JPH1041274A (ja) 1996-04-29 1997-04-30 誘電層のエッチング方法

Country Status (3)

Country Link
US (1) US5843847A (ja)
EP (1) EP0805485A3 (ja)
JP (1) JPH1041274A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500442A (ja) * 1997-12-29 2002-01-08 ラム リサーチ コーポレーション 半導体デバイスのためのセルフアライメントコンタクト
JP2002514012A (ja) * 1998-05-05 2002-05-14 ラム リサーチ コーポレーション 炭化フッ素ガスケミストリーを用いた二酸化珪素をエッチングする方法
JP2002526919A (ja) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション 誘電材料をプラズマ・エッチングする方法
JP2006261269A (ja) * 2005-03-16 2006-09-28 Yamaha Corp 接続孔形成法
WO2009110582A1 (ja) * 2008-03-07 2009-09-11 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
KR19980064466A (ko) * 1996-12-23 1998-10-07 윌리엄비.켐플러 이산화탄소로 실리콘 산화물을 에칭하는 공정
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6025277A (en) * 1997-05-07 2000-02-15 United Microelectronics Corp. Method and structure for preventing bonding pad peel back
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
KR100311487B1 (ko) * 1997-12-16 2001-11-15 김영환 산화막식각방법
US6083844A (en) * 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6136663A (en) * 1998-03-09 2000-10-24 United Microelectronics Corp. Method of etching silicon nitride
GB2337026B (en) * 1998-03-09 2000-11-08 United Microelectronics Corp Method of etching silicon nitride
US6074952A (en) * 1998-05-07 2000-06-13 Vanguard International Semiconductor Corporation Method for forming multi-level contacts
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US6875371B1 (en) 1998-06-22 2005-04-05 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US6117791A (en) * 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US7173339B1 (en) 1998-06-22 2007-02-06 Micron Technology, Inc. Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6583063B1 (en) * 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6312616B1 (en) * 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
US6235214B1 (en) * 1998-12-03 2001-05-22 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6227211B1 (en) * 1998-12-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6461529B1 (en) * 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6207582B1 (en) * 1999-07-21 2001-03-27 Advanced Micro Devices, Inc. Native oxide removal with fluorinated chemistry before cobalt silicide formation using nitride spacers
US6348289B1 (en) * 1999-08-03 2002-02-19 Advanced Micro Devices, Inc. System and method for controlling polysilicon feature critical dimension during processing
TW455948B (en) * 1999-09-13 2001-09-21 Motorola Inc Process for etching an insulating layer and forming a semiconductor device
US6475917B1 (en) 1999-10-28 2002-11-05 Taiwan Semiconductor Manufacturing Company Method to reduce the metal TiN ARC damage in etching back process
US6898561B1 (en) 1999-12-21 2005-05-24 Integrated Device Technology, Inc. Methods, apparatus and computer program products for modeling integrated circuit devices having reduced linewidths
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6486070B1 (en) * 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US6962771B1 (en) 2000-10-13 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
US6555166B2 (en) 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
JP3401243B2 (ja) * 2001-08-21 2003-04-28 沖電気工業株式会社 半導体装置の製造方法
US6495469B1 (en) 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6737358B2 (en) * 2002-02-13 2004-05-18 Intel Corporation Plasma etching uniformity control
US6828251B2 (en) * 2002-02-15 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US20030168430A1 (en) * 2002-03-11 2003-09-11 Macronix International Co., Ltd. Etching method with less waste gases
US7022619B2 (en) * 2002-03-27 2006-04-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating electronic device
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US6972261B2 (en) * 2002-06-27 2005-12-06 Xerox Corporation Method for fabricating fine features by jet-printing and surface treatment
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
DE10345402B4 (de) * 2003-09-30 2005-10-13 Infineon Technologies Ag Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
US20070043290A1 (en) * 2005-08-03 2007-02-22 Goepp Julius G Method and apparatus for the detection of a bone fracture
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7524015B2 (en) * 2006-12-20 2009-04-28 Palo Alto Research Center Incorporated Method of printing smooth micro-scale features
US7682986B2 (en) 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8492214B2 (en) * 2011-03-18 2013-07-23 International Business Machines Corporation Damascene metal gate and shield structure, methods of manufacture and design structures
JP2013026265A (ja) * 2011-07-15 2013-02-04 Sony Corp プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9231193B2 (en) * 2013-09-06 2016-01-05 Masayoshi IWAYAMA Magnetic memory and manufacturing method thereof
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) * 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US20170110336A1 (en) 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109411332B (zh) * 2017-08-17 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4654112A (en) * 1984-09-26 1987-03-31 Texas Instruments Incorporated Oxide etch
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JPH0645327A (ja) * 1991-01-09 1994-02-18 Nec Corp 半導体装置の製造方法
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
EP0504912B1 (en) * 1991-03-22 1997-12-17 Shimadzu Corporation Dry etching method and its application
US5290383A (en) * 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
JP3146561B2 (ja) * 1991-06-24 2001-03-19 株式会社デンソー 半導体装置の製造方法
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5242538A (en) * 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
US5308742A (en) * 1992-06-03 1994-05-03 At&T Bell Laboratories Method of etching anti-reflection coating
US5514247A (en) * 1994-07-08 1996-05-07 Applied Materials, Inc. Process for plasma etching of vias

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500442A (ja) * 1997-12-29 2002-01-08 ラム リサーチ コーポレーション 半導体デバイスのためのセルフアライメントコンタクト
JP2002514012A (ja) * 1998-05-05 2002-05-14 ラム リサーチ コーポレーション 炭化フッ素ガスケミストリーを用いた二酸化珪素をエッチングする方法
JP4758002B2 (ja) * 1998-05-05 2011-08-24 ラム リサーチ コーポレーション 炭化フッ素ガスケミストリーを用いた二酸化珪素をエッチングする方法
JP2002526919A (ja) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション 誘電材料をプラズマ・エッチングする方法
JP2006261269A (ja) * 2005-03-16 2006-09-28 Yamaha Corp 接続孔形成法
JP4543976B2 (ja) * 2005-03-16 2010-09-15 ヤマハ株式会社 接続孔形成法
WO2009110582A1 (ja) * 2008-03-07 2009-09-11 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
JP5375820B2 (ja) * 2008-03-07 2013-12-25 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
US8828918B2 (en) 2008-03-07 2014-09-09 Wako Pure Chemical Industries, Ltd. Semiconductor surface treating agent composition and method for treating semiconductor surface using the semiconductor surface treating agent composition

Also Published As

Publication number Publication date
US5843847A (en) 1998-12-01
EP0805485A3 (en) 1999-09-01
EP0805485A2 (en) 1997-11-05

Similar Documents

Publication Publication Date Title
US5843847A (en) Method for etching dielectric layers with high selectivity and low microloading
US5814563A (en) Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5866483A (en) Method for anisotropically etching tungsten using SF6, CHF3, and N2
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US6465359B2 (en) Etchant for use in a semiconductor processing method and system
US6670278B2 (en) Method of plasma etching of silicon carbide
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
US6008139A (en) Method of etching polycide structures
US6686293B2 (en) Method of etching a trench in a silicon-containing dielectric material
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6015761A (en) Microwave-activated etching of dielectric layers
JP4499289B2 (ja) 誘電材料をプラズマ・エッチングする方法
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
WO2000030168A1 (en) Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
WO2001009934A1 (en) Sidewall polymer forming gas additives for etching processes
EP1374288A2 (en) Method of plasma etching organic antireflective coating
JPH06151385A (ja) SiOx材料をプラズマエッチングするための方法および集積回路内の層間の金属接続部を生成するための方法
US6812154B2 (en) Plasma etching methods
US20130074769A1 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
Shiau et al. Method for anisotropically etching tungsten using SF6 CHF3 and N2

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040706