JP2002526919A - 誘電材料をプラズマ・エッチングする方法 - Google Patents

誘電材料をプラズマ・エッチングする方法

Info

Publication number
JP2002526919A
JP2002526919A JP2000572915A JP2000572915A JP2002526919A JP 2002526919 A JP2002526919 A JP 2002526919A JP 2000572915 A JP2000572915 A JP 2000572915A JP 2000572915 A JP2000572915 A JP 2000572915A JP 2002526919 A JP2002526919 A JP 2002526919A
Authority
JP
Japan
Prior art keywords
etching
layer
reactor
dielectric layer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000572915A
Other languages
English (en)
Other versions
JP4499289B2 (ja
JP2002526919A5 (ja
Inventor
ヘレン ズー,
ロジャー, エフ. リンドクイスト,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2002526919A publication Critical patent/JP2002526919A/ja
Publication of JP2002526919A5 publication Critical patent/JP2002526919A5/ja
Application granted granted Critical
Publication of JP4499289B2 publication Critical patent/JP4499289B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

(57)【要約】 ドープおよび無ドープ酸化シリコンなどの誘電材料中に深く幅の狭い0.3ミクロン以下の開口をプラズマ・エッチングする半導体製造プロセス。エッチング・ガスは、少なくとも1種のフルオロカーボン反応物および一酸化炭素を含み、任意選択でArなどのキャリヤ・ガスを含む。このエッチング・プロセスは、高密度プラズマ・リアクタ中で実施され、マスキング層および/またはストップ層に対する高い選択性をもって誘電層をエッチングするのに有効である。このプロセスは、ダマシン構造などの構造を形成する際に0.25ミクロン以下のコンタクトまたはバイア開口をエッチングするのに役立つ。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は、集積回路の製造において酸化シリコンなどの誘電材料をプラズマ・
エッチングするための改良された方法に関する。
【0002】 (発明の背景) 集積回路製造における共通した要求は、コンタクト、ヴィアなどの開口を誘電
材料中にエッチングすることである。誘電材料には、フッ素化酸化シリコン(F
SG)などのドープされた酸化シリコン(ドープ酸化シリコン)、二酸化シリコ
ンなどの無ドープの酸化シリコン(無ドープ酸化シリコン)、リン酸ケイ酸ホウ
素ガラス(BPSG)、リン酸ケイ酸塩ガラス(PSG)などのケイ酸塩ガラス
、熱成長させたドープまたは無ドープ酸化シリコン、ドープまたは無ドープTE
OSが堆積された酸化シリコンなどが含まれる。誘電体ドーパントはホウ素、リ
ンおよび/またはヒ素を含む。誘電体は、多結晶シリコン、アルミニウム、銅、
チタン、タングステン、モリブデンなどの金属、これらの合金、窒化チタンなど
の窒化物、ケイ化チタン、ケイ化コバルト、ケイ化タングステン、ケイ化モリブ
デンなどの金属ケイ化物といった導電層または半導電層の上に置かれることがあ
る。
【0003】 酸化シリコン中に開口をエッチングするさまざまなプラズマ・エッチング技法
が、米国特許第5013398号、第5013400号、第5021121号、
第5022958号、第5269879号、第5529657号、第55956
27号、第5611888号、および第5780338号に開示されている。プ
ラズマ・エッチングは、前記5013398号特許に記載の平行平板型プラズマ
・リアクタ・チャンバ、前記5013400号特許に記載のトライオード型リア
クタなどの中密度リアクタ、または前記5529657号特許に記載の誘導結合
リアクタなどの高密度リアクタ中で実施することができる。エッチング・ガス・
ケミストリには、前記5021121号および5022958号特許に記載の無
酸素Ar/CHF/CF(任意選択)ガス混合物、前記5269879号特
許に記載の無酸素含フッ素窒素ガス混合物、前記5595627号特許に記載の
/COガス混合物、前記5013400号特許に記載の酸素/CF
ス混合物、前記5529657号特許に記載の酸素/CF/CHガス混合物
、前記5611888号特許に記載のフレオン/ネオン・ガス混合物などがある
【0004】 米国特許第5736457号には、シングルおよびデュアル「ダマシン」メタ
ライゼーション・プロセスが記載されている。「シングル・ダマシン」法では、
ヴィアと導体が別々の段階で形成される。これらのそれぞれの段階で、導体また
はヴィア用のメタライゼーション・パターンを誘電層中にエッチングし、エッチ
ングした誘電層中の溝またはヴィア・ホールの中に金属層を充てんし、過剰の金
属を、化学機械平坦化(CMP)またはエッチ・バック・プロセスによって除去
する。「デュアル・ダマシン」法では、ヴィアおよび導体用のメタライゼーショ
ン・パターンを誘電層中にエッチングし、エッチングした溝およびヴィア開口に
、単一の金属充てん/過剰金属除去プロセスで金属を充てんする。
【0005】 中密度プラズマ・リアクタは、高密度プラズマ・リアクタよりも高いチャンバ
圧で動作し、エッチング・ガス・ケミストリの解離の程度が高密度プラズマ・リ
アクタよりも低い。例えば、中密度プラズマ・リアクタ内では、Cなどの
エッチング・ガスが、C→C→CF→CF+Fというように段階
的に解離する。このような段階的解離のため、誘電層のエッチング速度を高くし
、フォトレジストなどのその上の層またはエッチング・ストップ層などのその下
の層のエッチング速度を低くすることができる。このようなエッチング速度の比
は、「エッチング選択比」と呼ばれ、中密度プラズマ・リアクタで得られる高い
選択比は、コンタクト、ヴィアおよび導体パターンの完全なエッチングを促進す
る。対照的に、高密度リアクタでは、エッチング・ガスが瞬間的に解離すること
により、マスキング層およびエッチング・ストップ層のエッチング速度がより高
くなるため、選択比が低くなる。例えば、高密度プラズマ・リアクタ内では、C が直接的に遊離Fに解離し、この高い遊離F含量によって、マスキング層
および/またはエッチング・ストップ層のエッチングが急速に進み、そのため、
エッチング選択比が許容できないほど低くなる。
【0006】 デバイスの幾何形状がますます小さくなるにつれ、酸化シリコンなどの誘電層
中に深く幅の狭い開口をプラズマ・エッチングするための高エッチング選択比の
必要性はよりいっそう高まっている。そのため、高いエッチング選択比を提供し
、かつ/または深く幅の狭い開口を達成する高密度プラズマ・エッチング技法が
当技術分野で求められている。さらに、このような開口幾何形状を、開口の側壁
のボーイング(bowing)を生じることなく達成できれば非常に望ましいと言えよう
【0007】 (発明の概要) 本発明は、誘電層をプラズマ・エッチングする方法を提供する。この方法は、
マスキング層および誘電層の下に導電層または半導電層を含む半導体基板を、高
密度プラズマ・エッチング・リアクタ中に導入する段階を含む。誘電層を単一の
段階でエッチングして、導電層または半導電層を露出させ、誘電層を貫通して導
電層または半導電層まで延びる開口を形成することができる。エッチングは、高
密度プラズマ・エッチング・リアクタ中で、フルオロカーボン反応物、一酸化炭
素および任意選択の不活性キャリヤ・ガスを含むイオン化した状態のエッチング
・ガスに誘電層を暴露することによって実施される。この方法では、高密度プラ
ズマによってフルオロカーボンが遊離のFと遊離のCに瞬間的に解離し、一酸化
炭素が、マスキング層のエッチング速度に対する誘電層のエッチング速度の選択
性を増大させる効果を有する量だけ存在する。
【0008】 本発明の一態様によれば、誘電層が、ドープまたは無ドープ二酸化シリコン、
BPSG、PSG、TEOS、熱酸化シリコンなどの酸化シリコンを含み、開口
が、導体パターン、ヴィア開口またはコンタクト開口に対応する溝を含む。本発
明の他の態様によれば、アスペクト比が少なくとも3:1となるように開口をエ
ッチングすることができる。エッチング・ガスは、Cによって表され
る含水素および/または無水素フルオロカーボン反応物を含むことができる。上
式で、xは少なくとも1、yは少なくとも1、zは0かまたは0よりも大きい。
例えば、フルオロカーボン反応物は、CF、C、C、C
、C、CHF、CHF、および/またはCHから成
るグループから選択することができる。導電層または半導電層は、Al、Al合
金、Cu、Cu合金、Ti、Ti合金、ドープまたは無ドープ多結晶または単結
晶シリコン、TiN、TiW、および/またはTi、W、Co、Moのケイ化物
などから成るグループから選択された含金属層を含むことができる。
【0009】 本発明のプロセスは、少なくとも1.8μmの深さを有する0.30μm、特
に0.25μm以下のサイズの開口を、xが1から5、yが1から8、zが0か
ら3のCを含むフルオロカーボン反応物を使用してエッチングするこ
とができる。一例として、フルオロカーボン反応物は、CHF、CH 、C、C、Cおよびこれらの混合物から選択された1種また
は数種のガスを含むことができる。任意選択のキャリヤ・ガスは、Ar、He、
Ne、Kr、Xeおよびこれらの混合物から成るグループから選択することがで
きる。COは、25から250sccmの流量でプラズマ・リアクタに供給する
ことができ、フルオロカーボンは、5から100sccmの流量でプラズマ・リ
アクタに供給することができ、任意選択のキャリヤ・ガスは、10から300s
ccmの流量でプラズマ・リアクタに供給することができる。一例として、CO
、フルオロカーボンおよびArをそれぞれ、50から200sccm、40から
70sccm、50から150sccmの流量でプラズマ・リアクタに供給する
ことができる。エッチング段階の間、高密度プラズマ・リアクタは、10mTo
rr未満の真空圧力に維持されることが好ましい。エッチング段階後に、開口に
金属を充てんすることができる。本発明の方法はさらに、誘電層上にフォトレジ
スト層を形成する段階、およびフォトレジスト層をパターニングして複数の開口
を形成する段階を含むことができ、エッチング段階が、導線、ヴィア開口または
コンタクト開口のメタライゼーション・パターンを誘電層中に形成する。この方
法を用いて、少なくとも5:1のアスペクト比を有する開口を形成することがで
きる。この方法では、フルオロカーボンの解離によって自由になった遊離のFが
一酸化炭素と反応して、マスキング層を攻撃する遊離Fの効果が低減する。
【0010】 したがって、本発明の方法は、ドープおよび無ドープ酸化シリコンなどの誘電
材料中に深く幅の狭い1/4ミクロン以下の開口をプラズマ・エッチングするこ
とができる半導体製造プロセスを提供する。プラズマ・ガス・ケミストリは、協
力して誘電材料をエッチングし、一方で、マスキング層およびストップ層に関す
る所望の選択性を提供するフルオロカーボンおよびCOを含む。
【0011】 (発明の詳細な説明) 本発明は、集積回路製造において酸化層などの誘電材料中にコンタクト、ヴィ
ア、導線などのフィーチャを高密度プラズマ・エッチングするプロセスを提供す
る。本発明は、誘電体のエッチング速度とマスキング層およびストップ層のエッ
チング速度との間の選択性が、商業的に応用するには低すぎるという、従来のエ
ッチング技法の問題を克服する。本発明では、このような選択性の問題が、マス
キング層および/またはストップ層のエッチング速度を低下させるエッチング・
ガス・ケミストリを利用することによって解決される。
【0012】 本発明の一態様によれば、5:1を超える酸化物:フォトレジスト・エッチン
グ選択性で、ドープおよび無ドープ酸化膜(BPSG、PSG、TEOS)を0
.25μm以下の幾何形状で少なくとも1.8μmのエッチング深さにエッチン
グすることができる、シングルまたはデュアル・ダマシン・エッチング・プロセ
スが提供される。このプロセスは、RIEラグを低下または逆転させ、これによ
って、マルチレベル誘電体エッチング応用およびデュアル・ダマシン・デバイス
の製造を可能にする。
【0013】 図1a〜dに、ヴィア・ファースト・デュアル・ダマシン構造をエッチングす
る本発明に基づく方法の概略図を示す。図1aは、酸化シリコンなどの第1の誘
電層14、窒化シリコンなどの第1のストップ層16、酸化シリコンなどの第2
の誘電層18、窒化シリコンなどの第2のストップ層20およびシリコン・ウェ
ーハなどの基板22の積層の上のフォトレジスト・マスキング層12に、ヴィア
に対応する開口10が形成された、エッチング前の状態を示す。図1bは、開口
10が誘電層14、18および第1のストップ層16を貫通して第2のストップ
層20まで延びた、エッチング後の構造を示す。図1cは、トレンチ24用のマ
スキング層を再パターニングした後の構造を示す。図1dは、第1の誘電層14
が第1のストップ層16までエッチングされたエッチング後の構造を示す。
【0014】 図2a〜dに、トレンチ・ファースト・デュアル・ダマシン構造をエッチング
する本発明に基づく方法の概略図を示す。図2aは、酸化シリコンなどの第1の
誘電層34、窒化シリコンなどの第1のストップ層36、酸化シリコンなどの第
2の誘電層38、窒化シリコンなどの第2のストップ層40およびシリコン・ウ
ェーハなどの基板42の積層の上のフォトレジスト・マスキング層32に、トレ
ンチに対応する開口30が形成された、エッチング前の状態を示す。図2bは、
開口30が誘電層34を貫通して第1のストップ層36まで延びた、エッチング
後の構造を示す。図2cは、ヴィア44用のマスキング層を再パターニングした
後の構造を示す。図2dは、第2の誘電層38が第2のストップ層40までエッ
チングされたエッチング後の構造を示す。
【0015】 図3a〜bに、単一の段階でデュアル・ダマシン構造をエッチングする本発明
に基づく方法の概略図を示す。図3aは、酸化シリコンなどの第1の誘電層54
、窒化シリコンなどの第1のストップ層56、酸化シリコンなどの第2の誘電層
58、窒化シリコンなどの第2のストップ層60およびシリコン・ウェーハなど
の基板62の積層の上のフォトレジスト・マスキング層52に、トレンチに対応
する開口50が形成された、エッチング前の状態を示す。単一のエッチング段階
で第1のストップ層56を貫通するヴィアをエッチングするため、第1のストッ
プ層56は開口64を含む。図2bは、開口50が誘電層54を貫通して第1の
ストップ層56まで延び、開口64が第2の誘電体58を貫通して第2のストッ
プ層60まで延びた、エッチング後の構造を示す。このようなアレンジメントを
、「自己整合デュアル・ダマシン」構造と呼ぶことができる。
【0016】 本発明のプロセスは、フッ素化酸化シリコン(FSG)などのドープ酸化シリ
コン、二酸化シリコンなどの無ドープ酸化シリコン、スピン・オン・ガラス(S
OG)、リン酸ケイ酸ホウ素ガラス(BPSG)、リン酸ケイ酸塩ガラス(PS
G)などのケイ酸塩ガラス、熱成長させたドープまたは無ドープ酸化シリコン、
ドープまたは無ドープのTEOSが堆積された酸化シリコンなど、様々な誘電層
のエッチングに適用可能である。誘電体ドーパントは、ホウ素、リンおよび/ま
たはヒ素を含む。誘電体は、多結晶シリコン、アルミニウム、銅、チタン、タン
グステン、モリブデンなどの金属、これらの合金、窒化チタンなどの窒化物、ケ
イ化チタン、ケイ化コバルト、ケイ化タングステン、ケイ化モリブデンなどの金
属ケイ化物などの導電層または半導電層の上に置くことができる。
【0017】 高密度プラズマは、様々なタイプのプラズマ・リアクタで作り出すことができ
る。このようなプラズマ・リアクタは、一般に、RFエネルギー、マイクロ波エ
ネルギー、磁場などを使用して高密度プラズマを作り出す高エネルギー源を有す
る。例えば、高密度プラズマは、誘導結合プラズマ・リアクタとも呼ばれるトラ
ンスフォーマ・カップルド・プラズマ(TCPTM)、電子サイクロトロン共鳴
(ECR)プラズマ・リアクタ、ヘリコン・プラズマ・リアクタなどで発生させ
ることができる。高密度プラズマを作り出すことができる高フロー・プラズマ・
リアクタの一例が、本出願と同一人が所有する米国特許出願第08/65826
1号に開示されている。その開示は、参照によって本明細書に組み込まれる。
【0018】 本発明のプロセスは、図4に示すリアクタ100などの誘導結合プラズマ・リ
アクタ中で実施することができる。リアクタ100は、リアクタの下壁の出口1
04に接続された真空ポンプによって所望の真空圧力に維持された内部102を
含む。エッチング・ガスは、ガス供給源106から誘電体窓110の下面の周囲
に延びるプレナム108にガスを供給するシャワーヘッド・アレンジメントに供
給することができる。リアクタの上の誘電体窓110の外側の1回または数回の
ターンを有する平面らせんコイルなどの外部RFアンテナ114に、RF源11
2からRFエネルギーを供給することによって、リアクタ内に高密度プラズマを
発生させることができる。このプラズマ発生源を、リアクタの上端に真空気密式
かつ取外し可能に取り付けられたモジュール式取付けアレンジメントの一部とす
ることができる。
【0019】 リアクタ内部の基板支持体118の上、例えばリアクタの側壁からモジュール
式取付けアレンジメントによって取外し可能に支持された片持ばりチャック・ア
レンジメントなどの上に、ウェーハなどの半導体基板116が支持される。基板
支持体118は、片持ばり式に取り付けられた支持アームの一端にあり、リアク
タの側壁の開口を通すことによって、基板支持体/支持アーム・アセンブリ全体
をリアクタから取り外すことができる。基板支持体118は、静電チャック12
0などのチャッキング器具を含むことができ、基板の周囲を、誘電体フォーカス
・リング122で取り囲むことができる。チャックは、エッチング・プロセス中
に基板にRFバイアスを印加するためのRFバイアシング電極を含むことができ
る。ガス供給源106によって供給されたエッチング・ガスは、窓110とその
下のガス分配プレート124との間のチャネルを流れ、プレート124のガス吐
出口を通って内部102に入ることができる。リアクタはさらに、プレート12
4から円錐形に延びる被加熱ライナ126を含むことができる。
【0020】 一実施形態では、本発明が、自己整合コンタクト(SAC)を含むコンタクト
、導線、ヴィアなどの0.3μm以下の高アスペクト比フィーチャを半導体基板
の誘電層中にプラズマ・エッチングするプロセスを提供する。このプロセスでは
、高密度プラズマ・リアクタ内で、フルオロカーボン、一酸化炭素および任意選
択のガス、例えばキャリヤ・ガス(例えばアルゴン)を含むガス混合物にエネル
ギーを与えて、フルオロカーボンが遊離Fと遊離Cに瞬間的に解離するようなプ
ラズマ状態とする。このエッチング・プロセス中に、一酸化炭素は、高密度プラ
ズマによって遊離Cと遊離酸素とに瞬間的に解離し、この遊離Cが遊離Fの一部
と反応して、マスキング層および/またはエッチング・ストップ層のエッチング
速度を低下させる。結果的に、COは、エッチング中の誘電材料のエッチング速
度と窒化シリコンなどの下層および/またはフォトレジストなどの上層のエッチ
ング速度との間の選択性を所望のレベルにし、同時に、ポリマーのビルドアップ
の釣り合いをとって、エッチングされたフィーチャの側壁を保護し、一方で過度
のポリマー・ビルドアップによるピンチオフおよびエッチ・ストップの問題を回
避する効果を有する。エッチ・ストップは特に、大量のポリマーを形成するガス
・ケミストリを使用して酸化シリコンなどの誘電材料中に深く幅の狭い開口をプ
ラズマ・エッチングする際に問題となる。すなわち、開口内でのポリマー・ビル
ドアップが、酸化シリコンのさらなるエッチングを妨げる。本発明のプロセスで
は、エッチング・ガス混合物中の一酸化炭素でポリマーを分解する相乗効果によ
って、ポリマー・ビルドアップを低減させることができる。さらに、エッチング
されたフィーチャの微小寸法(CD)を維持するため、COは、エッチングされ
た開口の側壁から十分な量のポリマー・ビルドアップを除去して、エッチングさ
れた開口の「ピンチオフ」の原因となり、開口を所望の深さまで完全にエッチン
グするのを妨げる、側壁の過度のポリマー・ビルドアップを回避する。
【0021】 本発明によれば、エッチング・ガス・ケミストリのエッチング速度選択比を制
御するのに有効な量の一酸化炭素が加えられる。すなわち、COおよび1種また
は数種のフルオロカーボン・ガスを含むエッチング・ガスを使用するときには、
COが、フルオロカーボンから解離した高密度プラズマ中の遊離Fを捕捉する効
果を有する。このような遊離Fは、マスキング層、エッチング・ストップ層など
の層を攻撃し、その結果、エッチング速度選択性が低下する。しかし、適当なレ
ベルのCOを供給することによって、選択比を増大させるのに十分な量の遊離F
を捕捉することが可能である。プラズマ・エッチング・リアクタに供給するCO
の量は、遊離Fを捕捉し、エッチングされた開口の底のポリマーと反応すること
によってエッチ・ストップを防ぐのに有効な量とすることが好ましい。平面コイ
ル・アンテナを使用してRFエネルギーをリアクタに誘導結合する高密度プラズ
マ・リアクタでは、流量50から250sccmのCOをリアクタに供給するこ
とによって、本発明の有利な効果が達成される。
【0022】 エッチング・ガス混合物は任意選択で、窒素および/または不活性キャリヤ・
ガスなどのその他のガスを含むことができる。アルゴンは、フッ素が酸化シリコ
ンなどの誘電材料を攻撃するのを助ける特に有用な不活性キャリヤ・ガスである
。しかし、He、Ne、Krおよび/またはXeなどのその他の不活性ガスを不
活性キャリヤ・ガスとして使用することもできる。プラズマ・エッチング・リア
クタの圧力を低く維持するため、リアクタに導入するキャリヤ・ガスの流量を小
さくすることができる。例えば、高密度プラズマ・リアクタでは、リアクタに供
給するアルゴンの量を25から300sccmとすることができる。このキャリ
ヤ・ガスは、誘電体のエッチング速度、例えば酸化物のエッチング速度の増大を
、酸化物をスパッタリングすることによって助ける。
【0023】 フルオロカーボンが、xが少なくとも1、yが少なくとも1、zが0かまたは
0よりも大きいC、例えば、CF、C、C、C 、C、C、CH、CHF、CHF、CHを含む
ことが好ましい。水素を含むフルオロカーボンはかなり重合性であるが、エッチ
・ストップ現象を回避するため、無水素フルオロカーボン・ガスを、相乗効果的
なCO追加と組み合わせて使用して、深く幅の狭い開口が達成されるよう重合度
を制御することができる。プラズマ・リアクタに供給するフルオロカーボン・ガ
スの量は、所望の重合度を十分に達成するものでなければならない。一例として
、リアクタにRFエネルギーを誘導結合する高密度プラズマ・リアクタでは、流
量50から250sccmのCOを供給することができ、総量25から150s
ccm、好ましくは40から100sccm、より好ましくは60から70sc
cmのフルオロカーボン・ガスを供給することができる。一例として、直径0.
25μmのコンタクト開口に対しては、40〜70sccmのCZを
供給するときにCO流量を50から200sccmとすることができ、アルゴン
を供給する場合には、これを50から150sccmとすることができる。当業
者には明白なことだが、これらの各種ガスの流量は、プラズマ・リアクタのタイ
プ、電力設定、リアクタ中の真空圧力、プラズマ源の解離速度などの因子によっ
て決まる。
【0024】 本発明のプロセスは、少なくとも5:1という極めて高いアスペクト比を得る
目的に有用であり、特に、0.3μm未満、好ましくは0.18μm以下の開口
について最大10:1のアスペクト比を達成するのに有用である。例えば、2.
1μmを超える深さの直径0.25μmの開口に対して、実質的にまっすぐな壁
を得ることができる。異方性エッチングを提供するため、基板支持体によって半
導体基板にRFバイアスを供給すると有利である。例えば、6、8または12イ
ンチ・ウェーハを十分にRFバイアスするためには、基板支持体中のRFバイア
シング電極に500から3000ワット程度の電力を供給すればよい。
【0025】 リアクタの圧力は可能な限り低く維持することが好ましい。一般に、リアクタ
の圧力が低すぎるとプラズマの消滅につながり、リアクタの圧力が高すぎるとエ
ッチ・ストップの問題が生じる可能性がある。高密度プラズマ・リアクタでは、
リアクタの圧力が30mTorr未満であることが好ましく、10mTorr未
満であるとさらに好ましい。エッチングを受けている半導体基板のところにプラ
ズマが閉じ込められるため、基板表面の真空圧力がリアクタの真空圧力設定より
も高くなる可能性がある。
【0026】 エッチングを受けている半導体基板を支持している基板支持体が、基板上のフ
ォトレジストの焼損を防ぐ(例えば、基板を140℃未満に維持する)ために基
板を十分に冷却することが好ましい。高密度プラズマ・リアクタでは、基板支持
体を20から40℃に冷却すれば十分である。基板支持体は、ESCなどの下部
電極を含むことができ、その上に、シリコン・ウェーハなどの基板を静電的にク
ランプし、ウェーハとESCの上面との間に所望の圧力のヘリウムを供給するこ
とによって基板を冷却する。ウェーハを所望の温度、例えば0から100℃に維
持するためには、ウェーハとチャックの間の空間のHeの圧力を10から30T
orrに維持すればよい。
【0027】 図4に示したような誘導結合プラズマ・リアクタを用いて本発明を実施すると
きには、平面コイル・アンテナに、周波数13.46MHz、電力レベル100
0から4000ワットのRFエネルギーを供給することができる。この電力は、
フルオロカーボンを遊離Fおよび遊離Cに瞬間的に解離させるのに十分なもので
なければならない。以前に説明したとおり、このような強いプラズマは、ドープ
または無ドープ酸化シリコン、例えば、無ドープ・ケイ酸塩ガラス(USG)、
ケイ酸ホウ素リン・ガラス(BPSG)、ケイ酸リン・ガラス(PSG)、スピ
ン・オン・ガラス(SOG)、ドープまたは無ドープTEOS、フッ素化酸化シ
リコン(SiOF)、熱酸化物などの誘電材料のエッチング中に選択性の問題を
引き起こす。
【0028】 本発明のプロセスは、酸化シリコンを貫通してその下の導電層または半導電層
に達する深く幅の狭い開口をエッチングするのに特によく適している。この層は
、Al、Ti、Cu、Moなどの金属、これらの合金、窒化チタンなどの金属窒
化物、ドープまたは無ドープ多結晶または単結晶シリコン、ケイ化チタン、ケイ
化タングステン、ケイ化コバルト、ケイ化モリブデンなどの金属ケイ化物などで
ある。エッチング・ガス混合物に酸素を追加する場合には、この下層導電材料が
、酸素によって攻撃される窒化シリコンなどの材料を含まないことが好ましい。
【0029】 本発明に基づく高密度エッチング・プロセスの一例を以下に示す。LAM R
esearch Corporationから販売されている9100PTX などの誘導結合高密度プラズマ・エッチング・リアクタを使用するときには、
真空圧力を5mTorr、リアクタの外側の平面コイル・アンテナへの電力を1
300ワット、静電チャック中のRFバイアシング電極への電力を1700ワッ
ト、ウェーハとチャックの間に供給するヘリウムを20Torrにそれぞれ設定
することができる。図5は、エッチング・ガス混合物:CO 200sccm、
CH 35sccm、C 25sccmを用いてエッチングした構
造のSEM顕微鏡写真である。
【0030】 図6〜9は、エッチングしたコンタクトのSEM顕微鏡写真である。フォトレ
ジスト層は取り除かれている。図6および7はそれぞれ、Siストップ層の上の
TEOS誘電層中の約50%オーバーエッチングされた直径0.25μm、深さ
1.8μmのコンタクト開口の中央および縁部における断面を示す。図8および
9はそれぞれ、Siストップ層の上のPSG誘電層中の約50%オーバー
エッチングされた直径0.25μm、深さ1.8μmのコンタクト開口の中央お
よび縁部における断面を示す。
【0031】 下の表1に、さまざまなリアクタ圧力およびCH、C、COガス
流量を使用した、デュアル・ダマシン構造のエッチング結果を示す。
【0032】
【表1】
【0033】 下の表2に、さまざまなサイズの開口についてのエッチング速度およびフォト
レジスト・マスキング層のエッチング速度を含む、上の表1のラン番号1〜10
に対する試験結果を示す。
【0034】
【表2】
【0035】 上記試験を実施した結果によれば、本発明のプロセスに基づく誘電体エッチン
グの最適レジメは次のとおりである。高CO流量でのエッチ・ストップを防ぐた
めには、チャンバの圧力を10mTorr以下に設定すると有利である。下層の
高いエッチング速度選択性を得るためにも、チャンバの圧力を10mTorr以
下に維持することが望ましい。しかし、フォトレジスト選択性は、これよりも高
いチャンバ圧力設定で高くなるように見える。最適CO流量は、50から200
sccmであるようだ。CO流量が250sccm以上のときには、チャンバの
圧力設定に関係なくエッチ・ストップが起こる。断面および選択性の目的から最
適なエッチング・ガス混合物は、比1:1から1.5:1のCH/C である。さらに、RIEラグの逆転は、低い圧力設定の方が起こりそうである
【0036】 本発明に基づくプロセスは、以下の構造を有するウェーハに対して実施した以
下の測定の結果として開発されたものである。上から順に11600A Iライ
ン・フォトレジスト、20000A TEOS、Si基板を有するウェーハに対
するTEOSエッチング速度およびRIEラグの測定、上から順に10000A
Iライン・フォトレジスト、3000A Si、1000A熱酸化物、
Si基板を有するウェーハに対するSiエッチング速度および選択性の測
定、上から順に8250A DUVレジスト、17000A PSG、1000
A Si、シリコン基板を有するウェーハに対する0.25μmおよび0
.35μmPSGエッチング速度および選択性の測定、上から順に10000A
DUVレジスト、18000A TEOS、シリコン基板を有するウェーハ、
および6000A DUVレジスト、7000A TEOSトレンチ(CD0.
3μmから1.0μm)、1500A Si、10000A TEOSバ
イア(CD0.35μmから0.60μm)、1500A Si、シリコ
ン基板を有する酸化物デュアル・ダマシン構造ウェーハに対する0.25μmお
よび0.35μmTEOSエッチング速度および選択性の測定。深さ1.2μm
の0.4μmコンタクトのRIEラグをSEM顕微鏡写真から次式を使用して計
算した:RIEラグ=100×(オープン領域のエッチング速度−0.4μmコ
ンタクトのエッチング速度)/オープン領域のエッチング速度。酸化物エッチン
グ速度の均一性の値をSEMから次式を使用して決定した:均一性(%)=(中
央フィーチャ・サイズ−縁部フィーチャ・サイズ)×100/(中央フィーチャ
・サイズ+縁部フィーチャ・サイズ)。
【0037】 実施した実験の結果、LAM9100PTXTMリアクタを使用した好ましい
中央点誘電体エッチング・プロセスは次のとおりである。チャンバ圧力10mT
orr、上部電極(TCPコイル)電力1300ワット、下部電極(ESC)電
力1500ワット、CH 35sccm、C 25sccm、CO
200sccm。一般に、チャンバ圧力は5から15mTorr、下部電極温
度は約+20℃、ウェーハとESCの間に供給するヘリウムは約20Torr、
CH流量は30から40sccm、C流量は20から30sccm
、CO流量は150から250sccmとすることができる。以上のリアクタ設
定によって、高密度プラズマ・リアクタ内でのダマシン構造のエッチングでこれ
まで得られなかったエッチング速度選択性が達成されたが、最適なリアクタ設定
が、リアクタおよびガス・ケミストリの選択によって変化することは当業者には
明白であろう。
【0038】 比較実験として、下表3に示すエッチング・ガス・ケミストリを評価した。
【0039】
【表3】
【0040】 さまざまなパラメータに対する異なるCO流量の影響を下表4に示す。リアク
タは、圧力4mTorr、上部電極電力1300ワット、下部電極電力1600
ワット、CH 36sccm、C 24sccm、Ar 100s
ccm、ウェーハ裏面冷却用He 20Torrで動作させた。
【0041】
【表4】
【0042】 本発明に基づくプロセスによって達成された追加の結果を図10〜13に示す
。図10は、CO流量に対するTEOSエッチング速度のグラフである。◆はオ
ープン領域のエッチング速度を指示し、■は0.4μm開口のエッチング速度を
指示する。このグラフに示すとおり、開口のエッチング速度は意外にも、50s
ccmまではCO流量の増大とともに急速に増大し、CO流量50〜200sc
cmではまずまず一定である。一方、オープン領域のエッチング速度はCOを追
加しないときに最大であり、CO流量が200sccmまで増大するにつれ0近
くまで低下する。
【0043】 図11は、CO流量に対するTEOSエッチング深度のグラフである。◆は0
.4μm開口のエッチング深度を指示する。このグラフに示すとおり、開口のエ
ッチング深度は、CO流量200sccmまで徐々に増大する。
【0044】 図12は、CO流量に対する選択性のグラフである。◆はTEOS:Si 選択性を指示し、■はTEOS:フォトレジスト(PR)選択性を指示する。
このグラフに示すとおり、エッチング・ガスがCOを含まないときのTEOS:
PR選択性は3未満であり、CO流量が50sccmまで増大するにつれ5に近
づく。一方、TEOS:Si選択性は、COを追加しないと10未満であ
り、CO流量が200sccmまで増大すると15に増大する。
【0045】 図13は、CO流量に対するRIEラグのグラフである。◆は、COを0から
200sccmまで増大させたときのオープン領域と比較した0.4μm開口の
エッチング速度比を指示する。このグラフに示すとおり、50sccm以上のC
OではRIEラグが負となり、オープン領域がコンタクト開口よりもゆっくりと
エッチングされることを指示している。
【0046】 本発明の原理、好ましい実施形態および動作モードを以上に説明した。しかし
、本発明を、以上に論じた特定の実施形態に限定されると解釈してはならない。
以上に記載した実施形態は例示的なものと考えるべきであって、限定的なものと
考えるべきではなく、請求項によって定義される本発明の範囲から逸脱すること
なく、これらの実施形態に当業者が変更を加えることができることを理解された
い。
【図面の簡単な説明】
【図1a〜d】 本発明のプロセスに基づいてエッチングすることができるバイア・ファースト
・デュアル・ダマシン構造の概略図である。図1aはエッチング前の状態、図1
bは、バイアが既にエッチングされたエッチング後の状態、図1cは、トレンチ
・エッチング用に再パターニングされた構造、図1dは、トレンチが既にエッチ
ングされたエッチング後の状態をそれぞれ示す。
【図2a〜d】 本発明のプロセスに基づいてエッチングすることができるトレンチ・ファース
ト・デュアル・ダマシン構造の概略図である。図2aはエッチング前の状態、図
2bは、トレンチが既にエッチングされたエッチング後の状態、図2cは、バイ
ア・エッチング用に再パターニングされた構造、図2dは、バイアが既にエッチ
ングされたエッチング後の状態をそれぞれ示す。
【図3a〜b】 本発明のプロセスに基づいてエッチングすることができる自己整合デュアル・
ダマシン構造の概略図である。図3aはエッチング前の状態、図3bは、トレン
チおよびバイアが既にエッチングされたエッチング後の状態をそれぞれ示す。
【図4】 本発明のプロセスを実施する目的に使用することができる誘導結合高密度プラ
ズマ・リアクタの概略図である。
【図5】 本発明に基づいてエッチングされたデュアル・ダマシン構造のSEM顕微鏡写
真である。
【図6】 本発明に基づいてエッチングされたウェーハの中央のTEOS/Si構造のS
EM顕微鏡写真である。
【図7】 本発明に基づいてエッチングされたウェーハの縁部のTEOS/Si構造のS
EM顕微鏡写真である。
【図8】 本発明に基づいてエッチングされたウェーハの中央のPSG/Si構造
のSEM顕微鏡写真である。
【図9】 本発明に基づいてエッチングされたウェーハの縁部のPSG/Si構造
のSEM顕微鏡写真である。
【図10】 TEOSのエッチング速度に対するCO流量の影響を示すグラフである。エッ
チング速度は50sccmCOまで着実に増大した。
【図11】 TEOSのエッチング深度に対するCO流量の影響を示すグラフである。エッ
チング速度は200sccmCOまで着実に増大した。
【図12】 CO流量に対する選択性のグラフである。
【図13】 CO流量に対するRIEラグのグラフである。50sccmを超えるCO流量
に対してはRIEラグが負数となった。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C R,CU,CZ,DE,DK,DM,EE,ES,FI ,GB,GD,GE,GH,GM,HR,HU,ID, IL,IN,IS,JP,KE,KG,KP,KR,K Z,LC,LK,LR,LS,LT,LU,LV,MD ,MG,MK,MN,MW,MX,NO,NZ,PL, PT,RO,RU,SD,SE,SG,SI,SK,S L,TJ,TM,TR,TT,TZ,UA,UG,UZ ,VN,YU,ZA,ZW (72)発明者 リンドクイスト, ロジャー, エフ. アメリカ合衆国 カリフォルニア州 95008, キャンベル, ローラ コート 829 Fターム(参考) 4G075 AA30 AA42 AA61 BC06 BD14 CA25 CA47 CA57 CA63 CA65 DA02 EB01 5F004 AA05 BA20 BB13 CA04 CA06 DA00 DA01 DA02 DA03 DA15 DA22 DA23 DB03 EA01 EB01

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 誘電層をエッチングする方法であって、 誘電層の上にマスキング層を有し、前記誘電層の下に導電層または半導電層を
    有する半導体基板を、高密度プラズマ・エッチング・リアクタの中に導入する段
    階と、 少なくとも1種のフルオロカーボン反応物および一酸化炭素を含むエッチング
    ・ガスを前記プラズマ・エッチング・リアクタに供給し、前記エッチング・ガス
    にエネルギーを与えて高密度プラズマ状態にし、前記高密度プラズマによって前
    記フルオロカーボン反応物を遊離Fおよび遊離Cに瞬間的に解離させる段階と、 前記マスキング層および前記誘電層の露出部分を前記高密度プラズマに暴露し
    て、前記高密度プラズマで前記誘電層中に開口がエッチングされるようにする段
    階と を含み、 前記エッチングが、前記開口が前記誘電層を貫通して前記導電層または半導電
    層に達するまで実施され、前記一酸化炭素が、前記遊離Fと十分に反応して、前
    記マスキング層のエッチング速度に対する前記誘電層のエッチング速度のエッチ
    ング選択比を少なくとも約5とする効果を有する量だけ存在する 方法。
  2. 【請求項2】 前記誘電層が、ドープまたは無ドープ酸化シリコン膜を含む
    、請求項1に記載の方法。
  3. 【請求項3】 前記少なくとも1種のフルオロカーボン反応物がC によって表され、前記式で、xが少なくとも1、yが少なくとも1、zが0か
    または0よりも大きい、請求項1に記載の方法。
  4. 【請求項4】 前記開口が、少なくとも3:1のアスペクト比を与えるのに
    十分な深さまでエッチングされる、請求項1に記載の方法。
  5. 【請求項5】 前記エッチング・ガスが実質上、C、COおよび
    任意選択のArから成る、請求項1に記載の方法。
  6. 【請求項6】 前記導電層または半導電層が、ドープまたは無ドープ多結晶
    または単結晶シリコン、アルミニウム、銅、チタン、タングステン、モリブデン
    、これらの合金、窒化チタン、ケイ化チタン、ケイ化タングステン、ケイ化コバ
    ルトおよびケイ化モリブデンから成るグループから選択された金属含有層を含む
    、請求項1に記載の方法。
  7. 【請求項7】 前記開口が、0.25ミクロン以下のサイズの開口である、
    請求項1に記載の方法。
  8. 【請求項8】 前記少なくとも1種のフルオロカーボン反応物が、Cによってそれぞれ表される水素含有フルオロカーボン反応物および無水素フ
    ルオロカーボン反応物を含み、前記式で、xが1から5、yが1から8、zが0
    から3である、請求項1に記載の方法。
  9. 【請求項9】 前記エッチング・ガスが、Ar、He、Ne、Kr、Xeお
    よびこれらの混合物から成るグループから選択されたキャリヤ・ガスを含む、請
    求項1に記載の方法。
  10. 【請求項10】 前記高密度プラズマ・リアクタが、RFエネルギーを前記
    プラズマ・リアクタに誘導結合することによって形成され、前記フルオロカーボ
    ン反応物が5から100sccmの流量で前記プラズマ・リアクタに供給される
    、請求項1に記載の方法。
  11. 【請求項11】 前記一酸化炭素が、25から250sccmの流量で前記
    プラズマ・リアクタに供給される、請求項1に記載の方法。
  12. 【請求項12】 前記フルオロカーボン反応物が40から70sccmの流
    量で前記プラズマ・リアクタに供給され、前記一酸化炭素が、50から200s
    ccmの流量で前記プラズマ・リアクタに供給される、請求項1に記載の方法。
  13. 【請求項13】 前記エッチング段階中にRFバイアスを前記半導体基板に
    印加する段階をさらに含む、請求項1に記載の方法。
  14. 【請求項14】 前記エッチング段階後に前記開口に金属を充てんする段階
    をさらに含む、請求項1に記載の方法。
  15. 【請求項15】 前記エッチング段階が、ダマシン構造を製造するプロセス
    の一部として実施される、請求項1に記載の方法。
  16. 【請求項16】 前記マスキング層としてフォトレジスト層を形成する段階
    と、前記フォトレジスト層をパターニングして複数の前記開口を形成する段階を
    さらに含み、前記エッチング段階が、前記酸化シリコン中にヴィアまたはコンタ
    クト開口を形成する、請求項1に記載の方法。
  17. 【請求項17】 前記開口が、少なくとも5:1のアスペクト比で形成され
    る、請求項1に記載の方法。
  18. 【請求項18】 前記プラズマ・リアクタに供給される前記エッチング・ガ
    スが、その成分として純酸素を含まない、請求項1に記載の方法。
  19. 【請求項19】 前記エッチング段階中の前記プラズマ・リアクタの圧力が
    10mTorr未満である、請求項1に記載の方法。
  20. 【請求項20】 前記半導体基板がシリコン・ウェーハを含み、前記ウェー
    ハの温度が前記エッチング段階中、130℃以下に維持される、請求項1に記載
    の方法。
JP2000572915A 1998-09-30 1999-09-24 誘電材料をプラズマ・エッチングする方法 Expired - Fee Related JP4499289B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/163,301 US6297163B1 (en) 1998-09-30 1998-09-30 Method of plasma etching dielectric materials
US09/163,301 1998-09-30
PCT/US1999/020888 WO2000019506A1 (en) 1998-09-30 1999-09-24 Method of plasma etching dielectric materials

Publications (3)

Publication Number Publication Date
JP2002526919A true JP2002526919A (ja) 2002-08-20
JP2002526919A5 JP2002526919A5 (ja) 2006-11-09
JP4499289B2 JP4499289B2 (ja) 2010-07-07

Family

ID=22589392

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000572915A Expired - Fee Related JP4499289B2 (ja) 1998-09-30 1999-09-24 誘電材料をプラズマ・エッチングする方法

Country Status (7)

Country Link
US (1) US6297163B1 (ja)
EP (1) EP1121714A1 (ja)
JP (1) JP4499289B2 (ja)
KR (1) KR20010082216A (ja)
AU (1) AU6246399A (ja)
TW (1) TW584672B (ja)
WO (1) WO2000019506A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518591B1 (en) * 1998-12-02 2003-02-11 Cypress Semiconductor Corporation Contact monitor, method of forming same and method of analizing contact-, via- and/or trench-forming processes in an integrated circuit
US6531067B1 (en) * 1998-12-28 2003-03-11 Asahi Kasei Microsystems Co., Ltd. Method for forming contact hole
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
JP3586605B2 (ja) * 1999-12-21 2004-11-10 Necエレクトロニクス株式会社 シリコン窒化膜のエッチング方法及び半導体装置の製造方法
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
KR100727834B1 (ko) * 2000-09-07 2007-06-14 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
KR100379976B1 (ko) * 2000-11-27 2003-04-16 삼성전자주식회사 실리콘 산화물 식각용 가스 조성물 및 이를 사용한 실리콘산화물의 식각 방법
AU2002222631A1 (en) * 2000-12-21 2002-07-01 Tokyo Electron Limited Etching method for insulating film
KR100434312B1 (ko) * 2000-12-21 2004-06-05 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
US6740593B2 (en) * 2002-01-25 2004-05-25 Micron Technology, Inc. Semiconductor processing methods utilizing low concentrations of reactive etching components
US6593232B1 (en) 2002-07-05 2003-07-15 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method with enhanced endpoint detection
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US6949469B1 (en) 2003-12-16 2005-09-27 Lam Research Corporation Methods and apparatus for the optimization of photo resist etching in a plasma processing system
US7504340B1 (en) * 2004-06-14 2009-03-17 National Semiconductor Corporation System and method for providing contact etch selectivity using RIE lag dependence on contact aspect ratio
WO2007118026A2 (en) * 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
WO2008084365A2 (en) * 2007-01-05 2008-07-17 Nxp B.V. Etching with improved control of critical feature dimensions at the bottom of thick layers
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation
JP2014007270A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd エッチング方法及びエッチング装置
CN103824767B (zh) * 2012-11-16 2017-05-17 中微半导体设备(上海)有限公司 一种深硅通孔的刻蚀方法
JP6557642B2 (ja) * 2016-09-05 2019-08-07 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06252107A (ja) * 1993-02-25 1994-09-09 Sony Corp ドライエッチング方法
JPH09326383A (ja) * 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH1041274A (ja) * 1996-04-29 1998-02-13 Applied Materials Inc 誘電層のエッチング方法
JPH1041508A (ja) * 1996-07-17 1998-02-13 Sony Corp 半導体装置およびその製造方法
WO1998016950A1 (fr) * 1996-10-11 1998-04-23 Tokyo Electron Limited Procede de decapage au plasma
JPH10144663A (ja) * 1996-10-21 1998-05-29 Applied Materials Inc 高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス
JPH10150105A (ja) * 1996-09-17 1998-06-02 Sony Corp 半導体装置及びその製造方法
JPH10229122A (ja) * 1997-02-17 1998-08-25 Sony Corp 半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013400A (en) 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
JPH06188229A (ja) * 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5626716A (en) 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5972235A (en) * 1997-02-28 1999-10-26 Candescent Technologies Corporation Plasma etching using polycarbonate mask and low pressure-high density plasma
US5780338A (en) 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
GB9712019D0 (en) * 1997-06-09 1997-08-06 Northern Telecom Ltd Eye measurement of optical sampling
US6051504A (en) 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06252107A (ja) * 1993-02-25 1994-09-09 Sony Corp ドライエッチング方法
JPH1041274A (ja) * 1996-04-29 1998-02-13 Applied Materials Inc 誘電層のエッチング方法
JPH09326383A (ja) * 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH1041508A (ja) * 1996-07-17 1998-02-13 Sony Corp 半導体装置およびその製造方法
JPH10150105A (ja) * 1996-09-17 1998-06-02 Sony Corp 半導体装置及びその製造方法
WO1998016950A1 (fr) * 1996-10-11 1998-04-23 Tokyo Electron Limited Procede de decapage au plasma
JPH10144663A (ja) * 1996-10-21 1998-05-29 Applied Materials Inc 高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス
JPH10229122A (ja) * 1997-02-17 1998-08-25 Sony Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
JP4499289B2 (ja) 2010-07-07
TW584672B (en) 2004-04-21
US6297163B1 (en) 2001-10-02
EP1121714A1 (en) 2001-08-08
WO2000019506A9 (en) 2000-08-31
KR20010082216A (ko) 2001-08-29
WO2000019506A1 (en) 2000-04-06
AU6246399A (en) 2000-04-17

Similar Documents

Publication Publication Date Title
JP2002526919A (ja) 誘電材料をプラズマ・エッチングする方法
JP4813755B2 (ja) 有機反射防止膜をプラズマエッチングする方法
US6670278B2 (en) Method of plasma etching of silicon carbide
US6630407B2 (en) Plasma etching of organic antireflective coating
WO2002080230A2 (en) Method of plasma etching low-k organosilicate materials
US6962879B2 (en) Method of plasma etching silicon nitride
US7166535B2 (en) Plasma etching of silicon carbide
US7256134B2 (en) Selective etching of carbon-doped low-k dielectrics
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
JPH1041274A (ja) 誘電層のエッチング方法
EP1550153A2 (en) A method for plasma etching performance enhancement
JP2002517895A (ja) 高アスペクト比の孔を形成する方法
EP1667216A2 (en) Dielectric etch method with high density and low bombardment energy plasma providing high etch rates
KR20010043324A (ko) 불화탄소 가스를 사용하는 이산화 실리콘막의 에칭방법
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
EP1147549A1 (en) Method of etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
KR20070020325A (ko) 유전체 재료 플라즈마 에칭 방법
JP2003163205A (ja) 酸化膜エッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060920

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060920

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100326

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100415

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees