JPH10144663A - 高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス - Google Patents

高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス

Info

Publication number
JPH10144663A
JPH10144663A JP9288819A JP28881997A JPH10144663A JP H10144663 A JPH10144663 A JP H10144663A JP 9288819 A JP9288819 A JP 9288819A JP 28881997 A JP28881997 A JP 28881997A JP H10144663 A JPH10144663 A JP H10144663A
Authority
JP
Japan
Prior art keywords
gas
chamber
flow rate
fluorine
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9288819A
Other languages
English (en)
Inventor
Kenneth Collins
コリンズ ケネス
David Groechel
グルーチェル デイヴィッド
Raymond Hung
ハング レイモンド
Michael Rice
ライス マイケル
Gerald Zheyao Yin
ゼヤオ イン ジェラルド
Jian Ding
ディング ジエン
Chunshi Cui
ツェイ チャンシ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10144663A publication Critical patent/JPH10144663A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 【課題】 パッシベーション膜を特にフォトレジスト切
子面付近で強化する方法を提供する。 【解決手段】 本発明は、プラズマリアクタチャンバで
半導体被処理体を処理する方法として具体化されてお
り、炭素及びフッ素を少なくとも含有するポリマ及びエ
ッチャント前駆ガスを、チャンバ内のガス圧を約20m
T以下の低圧力範囲に維持するのに十分な第1の流量で
チャンバ内に供給するステップと、相対的に非反応性の
ガスを、20mT以上の高圧力範囲にチャンバ内のガス
圧を維持するのに十分な第2の流量であって、前駆ガス
の第1流量との組合せでチャンバ内への全ガス流量の約
2分の1以上となる第2の流量でチャンバ内に供給する
ステップと、プラズマ源電力をチャンバ内に供給して1
10イオン毎立方センチメートルを超えるイオン密度を
有する高イオン密度プラズマを生成するステップと、を
備えている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、高圧非反応性希釈
ガス高含有高プラズマイオン密度プラズマ酸化物エッチ
ングプロセスに関するものである。
【0002】
【従来の技術】本願は、ケネス・S・コリンズらによる
米国特許出願第08/648,254号(出願日1996年5月13日)
「オーバヘッドソレノイドアンテナを有する誘導結合型
RFプラズマリアクタ」の一部継続出願である。この米
国特許出願第08/648,254号は、以下の同時継続米国出願
の一部継続出願であり、以下の出願の開示内容は、参照
事項として本明細書に組み込まれる。
【0003】(a)ケネス・S・コリンズらによる出願
番号第08/580,026号(出願日1995年12月20日)。これ
は、1993年4月1日出願の第08/041,796号(1991年6月27日
出願の第07/722,340号の継続出願である)の継続出願で
ある。 (b)マイケル・ライスらによる出願番号第08/503,467
号(出願日1995年7月18日)。これは、1993年10月15日
出願の第08/138,060号の分割出願である。 (c)ケネス・コリンズによる出願番号第08/597,577号
(出願日1996年2月2日)。これは、1995年8月31日出願
の第08/521,668号(現在は放棄)の一部継続出願であ
る。この第08/521,668号は、1994年8月11日出願の第08/
289,336号の一部継続出願であり、この第08/289,336号
は、1992年12月1日出願の第07/984,045号(現在は放
棄)の継続出願である。さらに、ケネス・S・コリンズ
らによる米国特許出願第08/648,256号(出願日1996年5
月13日)「ポリマ硬化前駆物質の加熱済みソースを用い
たプラズマ」は、関連する主題を開示している。
【0004】プラズマ処理チャンバ、特に高密度プラズ
マ処理チャンバでは、プロセスチャンバ内においてRF
(高周波)電力を用いてプラズマが生成され、維持され
ている。上記で言及した出願に詳細に開示されているよ
うに、プロセスチャンバ内部の表面の温度を、処理条件
によって課される熱負荷を変動する時間や境界条件を変
動する他の時間に依存せずに制御する必要がしばしば生
じる。このことは、RF電力を通過させて誘導アンテナ
から誘導結合させる窓と容量結合電極との双方として機
能する窓電極を備えたリアクタチャンバ(反応室)の場
合に特に成り立つ。窓/電極が半導体材料である場合、
窓/電極の温度を特定の温度範囲内で制御して、窓の適
切な電気的特性を得る必要が生じることがある。プラズ
マを生成し維持するためのRF電力の印加により、窓
(例えば、RFまたはマイクロ波電力の誘導または電磁
結合のために用いられる)もしくは電極(例えば、RF
電力の容量または静電結合のために用いられ、あるいは
RF電力の容量または静電結合用のグランドまたは戻り
経路を終端または形成するために用いられる)、または
複合窓/電極を含むチャンバ内部の表面が加熱される。
【0005】上記で言及した特許出願には、チャンバ圧
力に関する従来の制限に耐えることにより上述の問題点
を解決する方法が開示されており、特に、反応室の天井
の上方においてそれぞれの径方向位置に配置された多重
ソレノイド巻線を用いる一方で、チャンバ圧力に関する
従来の制限に耐えることにより問題点を解決する方法が
開示されている。上述のように、高イオン密度(例えば
1011イオン/cc)プラズマリアクタ(例えば、誘導
結合型RFプラズマリアクタ)内のチャンバ圧力は、チ
ャンバ圧力とともに高まるプラズマ電子再結合損失によ
って通常制限されている。この損失は、電子拡散を妨げ
る。この電子拡散が妨げられなければ、プラズマイオン
分布均一性が高まることになる。誘導結合型RFプラズ
マリアクタの場合、通常のチャンバ圧力範囲は約1mT
〜10mTであり、20mTは通常の範囲を上回るもの
と考えられている。誘導場スキンデプス(inductive fi
eld skin depth)が上部電極とウェーハとの間の間隙
(ギャップ)の1/10より大きいという定義を「高
圧」に対して与えると、100mTは確かに「高圧」で
ある。エッチング速度の均一性およびエッチング選択比
は、スキンデプス(あるいはチャンバ圧力)が増加する
に伴って減少する。これは、オーバヘッドアンテナパタ
ーンの不均一性が、スキンデプスが増加するに伴ってウ
ェーハ表面により強く反映されるようになるからであ
る。例えば、チャンバ圧力を75mTから20mTに低
減するとウェーハ上におけるエッチング選択比の均一性
が大きく高まることが実証されている。このように、従
来の知見は、高密度プラズマリアクタ中のチャンバ圧力
を制限するものであった。
【0006】上記の問題は、非酸素含有層(例えば、ポ
リシリコン、シリコン、シリコン窒化物など)の上に位
置する二酸化ケイ素層のプラズマエッチングにおいて特
に顕著である。これは、ケイ素−酸素結合が下層中の結
合よりも非常に強く、プラズマ中でポリマ前駆種から堆
積されたポリマによって下層のパッシベーションを行う
必要が生じるためである。このようなパッシベーション
を行わないと、下層非酸素含有層に対する二酸化ケイ素
のエッチング選択比は不適当なものとなる。周知のよう
に、好適なプロセスガスは、フルオロカーボン(fluoro
carbon)またはフルオロ炭化水素(fluoro-hydrocarbo
n)を含んでいる。というのも、これらのガスは、エッ
チャント種(フッ素)および重合種の双方に対する前駆
物質だからである。選択比は、チャンバ圧力を高めるこ
とにより(チャンバ真空ポンプ速度(chamber vacuum p
ump rate)を低減する、すなわちポンプの「絞りを戻す
(throttling back)」ことにより)向上する。これ
は、上記の圧力増加によってポリマ前駆種の正味の滞留
時間(residence time)が増加し、多くのポリマ前駆種
がプラズマ中で形成されるようになっているからであ
る。(本明細書で理解されるように、用語「滞留時間」
は、特定のガス種に適用され、そのガスの圧力にウェー
ハまたは被処理体とプラズマ源電力付与装置(通常、オ
ーバヘッド誘導アンテナ)との間を包囲する容積を掛
け、これをそのガスが反応室内に供給される流量で割っ
たものである。)このような状況では、より強いポリマ
が下層パッシベート済み層の上に形成され、これにより
エッチング選択比が高まる傾向がある。
【0007】エッチングされるべき二酸化ケイ素表面上
への強いポリマの形成を打ち消すため、プラズマ中のイ
オンエネルギを通常レベル(ケイ素−酸素結合エネル
ギ)を大きく上回るように高めて、二酸化ケイ素表面上
へのポリマの堆積を解消する必要がある。この結果、エ
ッチングの停止を防ぐためにより高いイオンエネルギ必
要となる程度までプロセスウインドウが減少する。この
ような困難を避けるため、(チャンバ真空ポンプ速度を
高めることにより)チャンバ圧力を制限することが望ま
しいとされてきたので、圧力を高めることにより改善さ
れる選択比が制限されている。このように、エッチング
選択比とエッチング停止の回避との間には、一定のトレ
ードオフが存在している。
【0008】
【発明が解決しようとする課題】チャンバ圧力を上記の
ように制限しなければならないことに伴う問題点は、こ
れに応じてポリマ形成が弱まることである。上述のよう
に、チャンバ圧力を高くすると強いポリマが形成され、
その反対に、チャンバ圧力を低くすると弱いポリマパッ
シベーション層が形成される。この結果生じるポリマ強
度の制限は、ウェーハ上のフォトリソグラフィ層に現れ
ており、例えば、フォトレジストマスクの切子面形成
(photoresist mask faceting)と呼ばれることのある
現象にはっきりと現れている。この現象は、ポリマパッ
シベーション層がフォトレジストマスク層中のコンタク
トホールの縁部の周囲で一定の弱さを示し、プラズマが
フォトレジストの縁部、すなわち「切子面(facet)」
を攻撃できるようになるものである。通常、切子面にお
ける二酸化ケイ素−フォトレジスト間の選択比は、約
3:1である。この結果、エッチングプロセス中にコン
タクトホールの上部が広がるので、ホールの直径を制御
することができない。このような問題は、チャンバ圧力
を高めてフォトレジストを覆うポリマパッシベーション
層を強化することにより抑えることができるが、このよ
うな圧力の増加により、プラズマイオンエネルギを相応
に増加してウェーハ中心付近のエッチング停止を避ける
ことが必要となり、これによってプロセスウインドウが
狭くなってしまう。このように、この問題には真の解決
法など存在しないものと考えられていた。
【0009】従って、本発明の目的は、エッチングが停
止する危険なく、もしくはプラズマイオンエネルギを増
加してエッチングの停止を防止する必要なく、またはチ
ャンバ圧力の増加に通常伴う他の不利益を招くことな
く、ポリマパッシベーション層を特にフォトレジスト切
子面付近で強化することである。
【0010】
【課題を解決するための手段】チャンバ真空ポンプの絞
りを戻すのではなく非反応性のガス(例えば、不活性ガ
ス)を導入することによって高イオン密度RFプラズマ
リアクタのチャンバ圧力を高めることにより、エッチン
グが停止する危険性が付随して増加することなく、ポリ
マパッシベーション層の強度が特にフォトレジスト切子
面の付近で増加することが本発明の発見である。このた
め、本発明は、エッチャントおよびポリマの前駆ガス、
例えばフルオロカーボンやフルオロ炭化水素などをアル
ゴン等の不活性ガスを用いて希釈して、チャンバ真空ポ
ンプ速度がこれに応じて大きく変化することなくチャン
バ圧力を高めることに具現化されている。チャンバ圧力
を単独で高圧状態(high pressure regime)以下の圧力
に維持するガス流量でエッチャント/ポリマ前駆ガスが
チャンバ内に供給され、前駆ガスの流量との組合せによ
りチャンバ圧力を高圧状態内の圧力に高めるのに十分な
流量で非反応性ガスが加えられることが好ましい。この
ように、チャンバ真空ポンプの絞りを大きく戻すことを
控えることにより、チャンバ内のポリマ前駆物質滞留時
間はあまり増加しなくなる。本発明は、二酸化ケイ素表
面上のエッチング停止ポリマの形成を回避するためにチ
ャンバ内のポリマ前駆ガスの滞留時間を長くすることな
く、チャンバ圧力を増加してポリマ強度を高め、これに
よりフォトレジストの切子面形成を低減する。この結
果、プロセスウインドウが正味で増加するという重要な
利点が得られる。
【0011】本発明の一形態では、チャンバに供給され
るガスは以下の通りである。すなわち、エッチングに好
適なエッチャント/ポリマ前駆物質としての90標準立
方センチメートル毎分(SCCM)のCHF3、重合に
好適なエッチャント/ポリマ前駆物質としての10SC
CMのC48、エッチストップ抑制剤としての16SC
CMのCO2、およびチャンバポンプ速度を低減するこ
となくチャンバ圧力を高める非反応性種としての450
SCCMのアルゴン、である。(本明細書で用いられる
ように、用語「エッチストップ抑制剤」は、CO、CO
2およびO2を含む種類のガスを指している。これらのガ
スは、ポリマ材料の酸化を促進し、その使用によりポリ
マの過度の堆積を改善して、これによりエッチングの停
止を抑え、あるいは防止する。)一般に、非反応性(希
釈)種ガスがチャンバ内に供給される流量は、チャンバ
に供給される全ガスの全流量の数分の1であり、種々の
プロセスパラメタに依存している。
【0012】このプロセスは、上記で言及した特許出願
に開示されるプラズマリアクタ内で実行されることが好
ましい。これは、多重ソレノイドコイルアンテナという
特徴がプラズマイオン分布の優れた均一性をもたらすか
らである。しかしながら、高圧での炭素−フッ素化学に
おいて非反応性希釈ガスを高い比率で用いて誘導結合高
密度RFプラズマを生成する同じプロセスを他のリアク
タ内で実行することも可能である。このようなリアクタ
としては、例えば、誘電性天井の上に配置された平面コ
イルアンテナを備えるリアクタや、ドーム形天井の上に
配置された誘電性円筒形または円錐形コイルを包囲する
単一ソレノイドアンテナを備えるリアクタが挙げられ
る。
【0013】本発明のプロセスは、非反応性希釈ガス
を、より高いまたはより低い原子量のガスに変えること
によって調節することが可能である。例えば、ヘリウム
やネオンは、キセノンに比べてイオン化により多くのプ
ラズマ電子エネルギを必要とするので、キセノンを用い
ると、より低い平均電子温度とより高い電子密度を有す
るプラズマが得られ、より多くのエッチング前駆種とよ
り高いエッチング速度が得られる。この結果、キセノン
を用いると、エッチング速度が極めて高くなり、酸化物
−フォトレジスト間のエッチング選択比が比較的低くな
る。逆に、ヘリウムまたはネオンを用いると、エッチン
グ速度は低くなるが、エッチング選択比は優秀である。
理想的なバランスは、良好なエッチング速度と良好なエ
ッチング選択比の双方を与える中間原子量の不活性ガ
ス、例えばアルゴン、を用いることにより達成される。
【0014】本発明のプロセスは、天井温度を用いても
調節される。例えば、本発明の一形態では、より多くの
ポリマがウェーハのパッシベート済みの表面に堆積し、
より少ないポリマが天井に堆積するように、天井は高温
−−ポリマ縮合温度付近−−に加熱される。この場合、
高いチャンバ圧力で通常生じる酸化物表面でのエッチン
グ停止を避けるため、天井温度の上昇に伴ってポリマ前
駆ガスの希釈を高める必要がある。希釈ガスの含量は、
チャンバ内のガスの少なくとも50%以上とすることが
でき、また、このようにすることが好ましい。逆に、天
井がポリマ縮合温度よりも相当に低い温度に冷却される
場合は、希釈材の含量を50%水準よりも相当に低く減
らすことができる。
【0015】チャンバ内の炭素含有量の高いポリマ/エ
ッチャント前駆ガス(例えば、C48)の相対比率を高
める−−これは、重合を高めるためである−−ことによ
り、あるいはフッ素含有量の高いポリマ/エッチャント
前駆ガス(例えば、CHF3)の相対比率を高める−−
これは、エッチング速度を高めるためである−−ことに
より、重合速度を増減する他の調節法も可能である。炭
素含有量の高い前駆ガスの比率を高めるのに伴って、非
反応性希釈ガス(例えば、アルゴン)および/またはエ
ッチストップ抑制ガス(例えば、CO2)の比率を高め
て、酸化物表面でのエッチング停止を避ける必要があ
る。
【0016】本発明は、フォトレジスト、特にフォトレ
ジスト切子面、に対する酸化物のエッチング選択比の著
しい増加をもたらすので、切子面の形成(エッチング中
における開口サイズの増加)が抑えられ、このような微
小寸法(クリティカルディメンション)にわたって好適
な制御が可能になるという重要な利点が得られる。
【0017】
【発明の実施の形態】アンテナ−被処理体間の間隔(ギ
ャップ)が狭いプラズマリアクタにおいて、誘導アンテ
ナパターン中心空白に対応する被処理体の中心領域付近
でのプラズマイオン密度の減少を最小限に抑えるため、
本発明は、この中心領域における誘導電場の大きさを増
加することを目的としている。本発明は、天井の上に位
置する誘導コイルの巻きをアンテナの対称軸付近に集中
させ、上記中心領域におけるアンテナおよびプラズマ間
の磁束連結(magnetic flux linkage)の変化率(RF
源周波数におけるもの)を最大にすることにより、上記
の目的を達成する。
【0018】本発明によれば、対称軸を取り囲むソレノ
イドコイルは、同時にその誘導コイルの巻きを軸付近に
集中させ、被処理体に隣接する中心領域におけるアンテ
ナおよびプラズマ間の磁束連結の変化率を最大にする。
これは、中心領域のプラズマとの強い磁束連結および密
接な相互結合に必要とされるように、巻数が多くコイル
半径が小さいためである。(対照的に、従来の平面コイ
ルアンテナは、その誘導場を広い径方向領域にわたって
拡げており、径方向電力分布を周囲にむかって外向きに
押し拡げている。)本明細書で理解されるように、ソレ
ノイドタイプのアンテナは、被処理体もしくは被処理体
支持面の平面または上方のチャンバ天井の平面に対して
非平面状に分布した複数の誘導素子、または被処理体支
持面を横断して異なる距離だけ離間され、もしくは上方
のチャンバ天井を横断して異なる距離だけ離間された複
数の誘導素子、を有するアンテナである。本明細書で理
解されるように、誘導素子は、チャンバ中のプラズマお
よび/またはアンテナの他の誘導素子に相互結合された
導電素子である。
【0019】本発明の好適な実施形態は、中心付近の一
つのソレノイドと外周半径のもう一つのソレノイドとを
備える二重ソレノイドコイルアンテナを備えている。こ
れら二つのソレノイドは、異なるRF周波数で駆動する
ことができる。また、これらのソレノイドは、同じ周波
数で駆動することもでき、この場合、これらのソレノイ
ドは位相同期されているのが好ましく、これらのソレノ
イドの場が構造的に相互作用するように位相同期されて
いるとさらに好ましい。また、内側および外側ソレノイ
ド間の実際の変位が最大であることが好ましい。という
のも、これにより、被処理体周縁部でのエッチング速度
に対する被処理体中心部でのエッチング速度の最も応用
の利く制御が実現されるからである。当業者は、(適切
な分子比および不活性ガスを選択することにより)容易
にRF電力、チャンバ圧力およびプロセスガス混合気の
電気陰性度を変えて、被処理体上におけるエッチング速
度の径方向均一性を(本発明を用いて)最適化するため
の広い範囲またはプロセスウインドウを得ることができ
る。本発明の個別内側ソレノイドと外側ソレノイドとの
間隔を最大にすることにより、以下の利点が得られる。
【0020】(1)最高の均一性制御・調節。 (2)内側ソレノイドと外側ソレノイドとの間の最大の
離間。これは、一方のソレノイドからの場と他方のソレ
ノイドの場との相互干渉を防止する。 (3)温度調節素子用の(内側および外側ソレノイド間
における)天井上の空間が最大になり、天井温度の制御
が最適化される。
【0021】図4は、被処理体−天井間のギャップが小
さい誘導結合型RFプラズマリアクタの単一ソレノイド
仕様(好適な仕様ではない)を示しており、誘導場のス
キンデプスがギャップの長さのオーダであることを示し
ている。本明細書で理解されるように、ギャップ長のオ
ーダのスキンデプスは、ギャップ長の10のファクタ
(factor)の範囲(すなわち、ギャップ長の約10分の
1から約10倍までの間)に含まれている。
【0022】図9は、誘導結合型RFプラズマリアクタ
の二重ソレノイド仕様を示している。これは、本発明の
好適な実施形態である。二重ソレノイドという特徴点を
除けば、図4と図9の実施形態のリアクタ構造はほとん
ど同じであるから、ここでは図4を参照しながら説明を
行う。このリアクタは、円筒チャンバ40を備えてい
る。この円筒チャンバ40は、図4のリアクタが非平面
コイルアンテナ42を有していることを除いて、図1の
チャンバと同様である。ここで、非平面コイルアンテナ
42の複数の巻線44は、アンテナ対称軸46の付近で
非平面状に密集している。図示の実施形態ではこれらの
巻線44は対称形であり、これらの対称軸46はチャン
バの中心軸と一致しているが、本発明は様々に実施する
ことが可能である。例えば、巻線は対称形でなくても良
く、また、巻線の対称軸がチャンバの中心軸と一致して
いなくても良い。しかしながら、対称形アンテナの場
合、アンテナは、チャンバの中心または被処理体の中心
に一致する自身の対称軸46の付近で空白(ヌル)とな
る放射パターンを有している。中心軸46の周りに巻線
44が密集することにより、この空白が補償される。巻
線44の中心軸46周りの密集は、各巻線44がチャン
バ中心軸46から最小の距離に位置するように巻線44
をソレノイド状に垂直に積み重ねることによって達成す
ることができる。これにより、中心軸46の付近で電流
(I)とコイル巻数(N)との積が大きくなる。中心軸
46付近では、図3(d)及び図3(e)を参照して上
述したように、被処理体から天井までの高さが低いため
にプラズマイオン密度が最も弱くなっている。この結
果、非平面コイルアンテナに加えられるRF電力が、ウ
ェーハ中心において−−アンテナ対称軸46において−
−(周辺領域に対して)より大きな電磁誘導[d/d
t][N・I]を生成し、従ってその領域により大きな
プラズマイオン密度を生成する。これにより、被処理体
から天井までの高さが低いにも関わらず、得られるプラ
ズマイオン密度がより均一に近くなる。このように、本
発明は、プロセス均一性を犠牲にすることなく、より高
いプラズマプロセス性能を得るために天井の高さを低く
する方法を提供する。
【0023】図5は、図4及び図9の実施形態で用いら
れる巻線の好適な形態を最も良く示している。巻線44
を被処理体56の平面に少なくとも平行に近くするた
め、これらの巻線は、通常のつる巻きではなく、次のよ
うに巻くのが好ましい。すなわち、各々の個々の巻き
が、巻きの間(一つの水平面から次の水平面まで)の段
差、すなわち移行部44aを除いて、被処理体56の平
面(水平面)に平行になるように巻くのが好ましい。
【0024】円筒チャンバ40は、円筒側壁50および
円形天井52からなる。この天井52は、側壁50と天
井52とがケイ素等の材料からなる単一部材を構成する
ように側壁50と一体的に形成されている。しかしなが
ら、後述するように、本発明は、別部材として形成され
た側壁50および天井52を用いて実施することも可能
である。円形天井52は、任意の適切な断面形状を有す
ることができ、例えば平面形(図4)、ドーム形(図
6)、円錐形(図7)、円錐台形(図8)、円筒形、も
しくはこれらの形状の任意の組合せまたは任意の回転曲
線(curve of rotation)とすることができる。この組
合せについては、本明細書で後述する。一般に、ソレノ
イド42の垂直ピッチ(すなわち、ソレノイドの垂直高
さをソレノイドの水平幅で割ったもの)は、天井52の
垂直ピッチより大きい。これは、三次元の表面、例えば
ドーム、円錐、円錐台など、を形成する天井に対する場
合でさえも同じである。このようにする目的は、少なく
とも好適な実施形態では、既に述べたように、アンテナ
の誘導(induction)をアンテナ対称軸付近に集中させ
ることである。本明細書では、天井よりも大きなピッチ
を有するソレノイドを、非形状合致ソレノイド(non-co
nformal solenoid)と呼ぶ。これは、一般的に、ソレノ
イドの形状が天井の形状に合致していないことを意味し
ており、具体的には、ソレノイドの垂直ピッチが天井の
垂直ピッチよりも大きいことを意味している。二次元
の、すなわち平坦な天井の垂直ピッチは0であるが、三
次元天井の垂直ピッチは0ではない。
【0025】チャンバ40の底部に位置するペデスタル
54は、処理の間、平面被処理体56を被処理体支持面
内で支持する。この被処理体56は、通常、半導体ウェ
ーハであり、被処理体支持面は、一般に、ウェーハまた
は被処理体56の面である。チャンバ40は、ポンプ
(図示せず)によって、環状通路58を通じて、チャン
バ40の下部を包囲する排気用環状体60へ真空排気さ
れる。排気用環状体の内部は、交換可能な金属ライナ6
0aを用いて内張りすることができる。環状通路58
は、円筒側壁50の底へり(bottom edge)50aとペ
デスタルを包囲する平面リング62とによって画成され
ている。プロセスガスは、多様なガス供給路のうちの任
意の一つまたは全部を通してチャンバ40内に供給され
る。被処理体の中心付近におけるプロセスガスの流れを
制御するために、中央ガス供給路64aは、天井52の
中心を通って被処理体56の中心(すなわち、被処理体
支持面の中心)に向かって下方に延在していても良い。
被処理体の周縁付近(すなわち、被処理体支持面の周縁
付近)におけるプロセスガスの流れを制御するために、
中央ガス供給路64aと独立に制御可能な複数の放射状
ガス供給路64bが側壁50から被処理体の周縁に向か
って(すなわち、被処理体支持面の周縁に向かって)径
方向内向きに延びており、基本軸方向ガス供給路64c
は、ペデスタル54付近から被処理体の周縁に向かって
上向きに延びており、また、天井軸方向ガス供給路64
dは天井52から被処理体の周縁に向かって下方に延び
ていても良い。被処理体の中心および周縁におけるエッ
チング速度は、相互に独立に調節することができ、これ
により、中央ガス供給路64aと外側のガス供給路64
b〜dのうちの任意のものとをそれぞれ介して被処理体
の中心および周縁に向かうプロセスガス流量を制御する
ことにより、処理体上でより均一な径方向のエッチング
速度分布を達成することができる。本発明のこの特徴
は、中央ガス供給路64aと周囲のガス供給路64b〜
dのうちの一つのみとを用いて実現することができる。
【0026】ソレノイドコイルアンテナ42は、中央ガ
ス供給路64を包囲するハウジング66の周りに巻き付
けられている。プラズマ源RF電源68はコイルアンテ
ナ42に並列に接続されており、バイアスRF電源70
はペデスタル54に接続されている。
【0027】天井52の中心領域にオーバヘッドコイル
アンテナ42を閉じこめることで、天井52の上面の大
部分が未使用となり、従って、この部分を温度調節装置
を直接接触させるために使用することができる。この温
度調節装置は、例えば、タングステンハロゲンランプ等
の複数の輻射加熱器72、銅、アルミニウム等から形成
することの可能な水冷冷却板74、および水冷冷却板7
4を貫通して延びる冷却材通路74aを備えている。冷
却材通路74aは、既知の種類の冷却材を含んでいる。
この冷却材は、熱伝導率は高いものの、アンテナ、すな
わちソレノイド42に電気負荷をかけないように、電気
伝導率は低くなっている。冷却板74は天井52を一定
に冷却するが、輻射加熱器72のパワーは、必要であれ
ば冷却板74による冷却に打ち勝つことができるように
最大に選択される。これにより、天井52の感度の良い
安定した温度制御が容易になる。加熱器72により輻射
加熱された広範な天井領域は、より優れた温度制御の均
一性と効率をもたらす。(輻射加熱は、本発明を実施す
るうえで必ずしも必要ではない。後述するように、当業
者は、電気加熱素子を代わりに使用することを選択する
ことができる。)ケネス・S・コリンズらによる米国特
許出願第08/597,577号(出願日1996年2月2日)に開示さ
れているように、天井52がケイ素である場合は、この
ようにして天井の温度制御の均一性と効率が高まること
により大きな利点が得られる。具体的に述べると、ポリ
マ前駆物質およびエッチャント前駆物質プロセスガス
(例えば、フルオロカーボンガス)が使用される場合
や、エッチャント(例えば、フッ素)を掃去(scaveng
e)しなければならない場合には、天井52と温度調節
加熱器72との接触面積を増やすことにより、天井52
全体にわたるポリマ堆積の速度および/または天井52
がフッ素エッチャント掃去材(ケイ素)をプラズマ中に
供給する速度が良好に制御される。ソレノイド巻線44
が天井52の中心軸に集中しているので、ソレノイドア
ンテナ42は、天井52上の利用可能な接触領域を増や
す。
【0028】天井52上の熱接触に利用可能な領域の増
加は、好適な実施形態では、高熱伝導率の円環体75
(窒化アルミニウム、酸化アルミニウム、窒化ケイ素等
のセラミックからなるもの、または軽ドープかあるいは
アンドープのケイ素(シリコン)や炭化ケイ素等の非セ
ラミックからなるもの)によって活用されている。この
円環体75の底面は天井52の上に載っており、円環体
75の上面は冷却板74を支持している。円環体75の
一つの特徴は、円環体75が冷却板74をソレノイド4
2の頂部上方に移動させることである。この特徴によ
り、これ以外の場合に冷却板74の伝導面の近傍からソ
レノイド42にかけて生じるソレノイド42およびプラ
ズマ間の誘導結合の減少が実質的に抑制され、あるいは
ほとんど生じなくなる。このような誘導結合の減少を防
ぐためには、冷却板74とソレノイド42の最上巻線と
の間の距離が少なくともソレノイド42の全高の大きな
分数(substantial fraction)(例えば2分の1)であ
ることが好ましい。円環体75を貫通して延びる複数の
軸方向開口部75aは、2つの同心円に沿って離間され
ており、複数の輻射加熱器すなわちランプ72を保持し
て、これらが天井52を直接輻射加熱できるようにして
いる。最大のランプ効率を得るために、開口部の内面を
反射層(例えばアルミニウムの層)で内張りしても良
い。リアクタ設計やプロセス条件次第では、図4の中央
ガス供給路64aを(図9に示されるように)輻射加熱
器72で置き換えることができる。天井の温度は、ラン
プ加熱器72によって占拠されていない開口部75aの
一つを貫通して延びる熱電対76のようなセンサによっ
て検出される。良好な熱接触を実現するため、窒化ホウ
素が含浸されたシリコーンゴム等の高熱伝導性エラスト
マ(elastomer)73が、セラミック円環体75と銅冷
却板74との間、ならびにセラミック円環体75とケイ
素天井52との間に配置されている。
【0029】上記の同時継続出願に開示されているよう
に、チャンバ40は、天井52および側壁50の双方が
ケイ素や炭化ケイ素等の半導体材料である全半導体チャ
ンバであっても良い。上記の同時継続出願に記載されて
いるように、天井52か、あるいは壁50のいずれかの
温度、およびこれらのいずれかに印加するRFバイアス
電力、を制御することで、これらがフッ素掃去剤前駆物
質(ケイ素)をプラズマ中に供給する程度や、この他
に、これらがどの程度までポリマで被覆されるか、が調
整される。天井52の材料は、ケイ素に限定されるもの
ではなく、この他に、炭化ケイ素、二酸化ケイ素(石
英)、窒化ケイ素、セラミックを使用することができ
る。
【0030】上記の同時継続出願に開示されているよう
に、チャンバの壁50または天井52を、フッ素掃去剤
材料の源として用いる必要はない。この代わりに、使い
捨てのケイ素部材をチャンバ40の内側に配置し、十分
に高い温度に維持してケイ素部材上へのポリマの縮合を
防止し、ケイ素材料をケイ素部材から取り出してフッ素
掃去材料としてプラズマ中に送り込めるようにすること
ができる。この場合、壁50および天井52は、必ずし
もケイ素である必要はない。また、壁50および天井5
2がケイ素である場合は、これらがプラズマからのポリ
マで被覆されて消耗が防止されるように、これら(ある
いは使い捨てケイ素部材)をポリマ縮合温度(および/
またはポリマ縮合RFバイアスしきい値)付近あるいは
以下の温度(および/またはRFバイアス)に維持して
も良い。使い捨てケイ素部材は任意の適切な形をとるこ
とができるが、図4の実施形態では、使い捨てケイ素部
材は、ペデスタル54を包囲する円環リング62であ
る。円環リング62は高純度ケイ素であるのが好まし
く、また、円環リングにドーピングを行ってその電気的
または光学的特性を変えても良い。ケイ素リング62の
プラズマプロセスへの好適な参加(例えば、フッ素掃去
のためのプラズマ中へのケイ素材料の供与)を確保する
のに十分な温度にケイ素リング62を維持するため、円
環リング62の下に円形に配置された複数の輻射(例え
ば、タングステンハロゲンランプ)加熱器77は、石英
窓78を介してケイ素リング62を加熱する。上記の同
時継続出願に記載されているように、これらの加熱器7
7は、温度センサ79によって検出されたケイ素リング
62の測定温度に従って制御される。この温度センサ7
9としては、光高温計(optical pyrometer)や蛍光プ
ローブ(fluoro-optical probe)等の遠隔センサを用い
ることができる。センサ79の一部は、リング62の非
常に深い穴62aの中に延びていても良い。この穴の深
さおよび狭さは、ケイ素リング62の熱放射率の温度依
存変動を少なくとも部分的に隠す傾向があるので、ケイ
素リング62は、より信頼性の高い温度測定のために灰
色輻射体(gray-body radiator)により近い振る舞いを
する。
【0031】米国特許出願第08/597,577号に記載されて
いるように、全半導体チャンバの利点は、プラズマが、
例えば金属等の汚染生成材料と接触しないことである。
これを達成するため、環状開口部58に近接するプラズ
マ閉込め磁石80、82は、排気用環状体60へのプラ
ズマの流れを防止または低減する。交換可能内部ライナ
60aをポリマ縮合温度(例えば、上記の同時継続出願
に開示されているような温度)よりも極めて低い温度に
維持することにより、ポリマ前駆物質および/または活
性種が排気用環状体60に入ることができる程度まで、
ライナ60a上に得られるポリマまたは汚染堆積物がプ
ラズマチャンバ内に再び入ることを防止することができ
る。
【0032】排気用環状体60の外壁を貫通するウェー
ハスリットバルブ84は、ウェーハの出入口を収容して
いる。ポンプポートの位置が非対称という状況でチャン
バ圧力分布がより対称になるように、チャンバ40と排
気用環状体60との間の環状開口部58は、円筒側壁5
0の底へりの傾斜によって、ウェーハスリットバルブ8
4の付近で比較的大きく、反対側で最も小さくなってい
る。
【0033】チャンバ中心軸46の付近における最大の
インダクタンスは、垂直に重ね合わされたソレノイド巻
線44によって達成される。図4〜図8の実施形態で
は、巻線44の垂直積層体の外側に位置するが最下ソレ
ノイド巻線44aの水平面内にある別の巻線45を追加
することができる。この追加巻線45は、最下ソレノイ
ド巻線44aに密接させて設けられている。
【0034】ここで図9の好適な二重ソレノイド形態を
特に参照すると、外側に位置する(すなわち、熱伝導性
円環体75の外周面に対向する)複数の巻線122から
なる第2の外側垂直積層体、すなわちソレノイド120
は、複数のソレノイド巻線44からなる内側垂直積層体
から径方向距離δRだけ変位している。図9では、内側
ソレノイドアンテナ42の中心部への閉じ込め、および
外側ソレノイドアンテナ120の周縁部への閉じ込めに
より、天井52の上面の大部分が、図4に示されるよう
な温度調節装置72、74、75と直接接触させるため
に利用可能な状態のまま残される。天井52と温度調節
装置とが接触する大きな表面積により、天井52の温度
をより効率よく、より均一に制御できるという利点が得
られる。
【0035】側壁および天井が単一のケイ素体から形成
され、例えば内径が12.6インチ(32cm)のリア
クタでは、ウェーハ−天井間の間隔は3インチ(7.5
cm)であり、内側ソレノイドの平均径は3.75イン
チ(9.3cm)であるが、0.03厚テフロン絶縁層
で被覆された3/16インチ径の中空銅チューブを使用
している外側ソレノイドの平均径は10.0インチ(2
5.4cm)であり、各ソレノイドは4回巻きで高さが
1インチ(2.54cm)である。外側積層体、すなわ
ちソレノイド120には、独立制御可能な第2のプラズ
マ源RF電源96によって電圧が印可される。このよう
にする目的は、次の通りである。つまり、利用者による
選択が可能な種々のプラズマ源電力レベルを被処理体、
すなわちウェーハ56を基準とした種々の径方向位置で
印加することを可能にして、ウェーハ表面上における既
知の処理非均一性を補償できるようにすることである。
これは、重要な利点である。独立に制御可能な中央ガス
供給路64aと周辺ガス供給路64b〜dとを組み合わ
せると、内側ソレノイド42に印加されるRF電力を外
側ソレノイド90に印加されるRF電力に対して調節
し、中央ガス供給路64aを通るガス流量を外側ガス供
給路64b〜dを通る流量に対して調節することによ
り、被処理体の中心部でのエッチング性能を周縁部での
エッチング性能に対して調節することができる。本発明
は上述のように誘導場の中心空白または窪み(dip)と
いう問題を解決し、あるいは少なくとも改善する。一方
で、他のプラズマプロセシング非均一性問題が存在する
場合があるが、応用の利く図9の実施形態では、内側お
よび外側アンテナに印加される相対的RF電力レベルを
調整することによりこれらの問題点を補償することがで
きる。この目的を容易に達成するため、内側および外側
ソレノイド42、90に対するRF電源68、96を、
共通電源97aおよびパワースプリッタ97bに替えて
も良い。このパワースプリッタ97bは、内側ソレノイ
ド42の場と外側ソレノイド90の場との間の固定位相
関係を保存しつつ、内側ソレノイド42と外側ソレノイ
ド90との間における電力の相対的な配分を利用者が変
更することを可能にする。この他に、2つの独立電源6
8、96を使用する場合は、これらの電源を異なるRF
周波数で動作させることができる。この場合、各RF電
源68、96の出力にRFフィルタを設置して、2つの
ソレノイド間の結合から生じるオフ周波数フィードバッ
ク(off-frequency feedback)を回避すると良い。この
場合、周波数の差は2つのソレノイド間の結合を時間平
均するのに十分なものとするのが良く、さらに、RFフ
ィルタの阻止帯域幅を上回るものであると良い。各周波
数を対応するソレノイドに対して独立に共振整合させる
と好適であり、従来のインピーダンス整合技術の代わり
に、プラズマインピーダンスの変化に追随するように各
周波数を変化させる(これにより共振を維持する)こと
ができる。言い換えると、アンテナに与えられるRF周
波数は、チャンバ中のプラズマのインピーダンスによっ
て装荷されるアンテナの共振周波数を追随するようにさ
せられる。このような実施形態では、2つのソレノイド
の周波数範囲は、相互に排他的であるべきである。この
他に、2つのソレノイドを同じRF周波数で駆動しても
良く、この場合、2つの間の位相関係は、2つのソレノ
イドの場の構造的な相互作用や重ね合わせを生じさせる
ようなものであることが好ましい。一般に、この要求
は、2つのソレノイドが双方とも同じ向きに巻かれてい
る場合は、2つのソレノイドに加えられる信号間のゼロ
位相角によって満たすことができる。どの場合も、内側
ソレノイド42と外側ソレノイド90との間に比較的大
きな間隔をあけることにより、内側および外側ソレノイ
ド間の結合を最小限に抑え、あるいは除去することがで
きる。この点については、後述する。
【0036】このような調整が可能な範囲は、外側ソレ
ノイド90の半径を大きくして内側ソレノイド42と外
側ソレノイド90との間隔を大きくすることにより広が
り、これにより、2つのソレノイド42、90の効果
が、被処理体の中心部および縁部のそれぞれに一層限定
される。これによって、2つのソレノイド42、90の
効果を重ね合わせる際に、より広範な制御を行うことが
可能になる。例えば、内側ソレノイド42の半径は、被
処理体の半径の約半分以下とすべきであり、約3分の1
以下であることが好ましい。(内側ソレノイド42の最
小半径は、ソレノイド42を形成する導線の径に一部影
響を受け、アーチ形の−−例えば、円形の−−電流路に
対して有限のゼロではない周を与えてインダクタンスを
形成する必要性に一部影響を受ける。)外側コイル90
の半径は、少なくとも被処理体の半径と等しい程度にす
べきであり、被処理体半径の1.2倍以上であることが
好ましい。このような構成では、内側および外側ソレノ
イド42、90のそれぞれの中心部効果および縁部効果
が顕著となるので、均一のプラズマを生成する間、内側
ソレノイドへの電力を大きくすることによりチャンバ圧
力を数百mTに上昇することができ、また、均一のプラ
ズマを生成する間、外側ソレノイド90への電力を大き
くすることによりチャンバ圧力を0.01mTのオーダ
に低減することができるというようになる。このような
大径の外側ソレノイド90の他の利点は、内側ソレノイ
ド42および外側ソレノイド90間の結合を最小限に抑
えることである。
【0037】図9は、第3のソレノイドをオプションと
して追加できることを破線で示している。このようなソ
レノイドの追加は、チャンバ径が非常に大きい場合に望
ましい。
【0038】図10は、図9の実施形態の変形例を示し
ている。ここでは、外側ソレノイド90の代わりに平面
巻線100が用いられている。
【0039】図11(a)は、図4の実施形態の変形例
を示している。ここでは、中央ソレノイド巻線は、巻線
44の垂直積層体42だけでなく複数の巻線104から
なる第2の垂直積層体102をさらに備えている。この
第2積層体は第1積層体42に隣接しており、2つの積
層体が二重巻きソレノイド106を構成するようになっ
ている。図11(b)に示されるように、二重巻きソレ
ノイド106は、2つの独立して巻かれた単一ソレノイ
ド42、102から構成し、内側ソレノイド42は巻線
44a、44b等から構成し、外側ソレノイド102は
巻線104a、104b等から構成することができる。
この他に、図11(c)に示されるように、二重巻きソ
レノイド106は、垂直に積層された少なくともほぼ同
一平面上にある複数の巻線の対から構成されていても良
い。図11(c)の形態では、ほぼ同一平面上にある巻
線の対(例えば、44aと104aの対や44bと10
4bの対)は、単一の導線をつる巻きすることにより形
成することができる。ここで用いる「二重巻き」という
用語は、図11(b)あるいは図11(c)に示される
タイプの巻きを指している。さらに述べると、ソレノイ
ド巻線は、単に二重巻きするのではなく、三重巻き、あ
るいはそれ以上に巻いても良い。また、ソレノイド巻線
は、一般に、対称軸に沿って各平面に位置する複数の巻
線から構成することもできる。このような多重巻きソレ
ノイドは、図9の二重ソレノイド形態の内側および外側
ソレノイド42、90のいずれか一方または双方に使用
することができる。
【0040】図12は、図11(a)の実施形態の変形
例を示している。ここでは、内側二重巻きソレノイド1
06と同心の外側二重巻きソレノイド110が、内側ソ
レノイド106から径方向距離δRの位置に配置されて
いる。
【0041】図13は、図12の形態の変形例を示して
いる。ここでは、外側二重巻きソレノイド110の代わ
りに、図9の形態で用いられる外側ソレノイドと同様の
通常の外側ソレノイド112が用いられている。
【0042】図14は、別の好適な実施形態を示してい
る。ここでは、図9のソレノイド42は、中央ガス供給
路ハウジング66から径方向距離δrだけ離間された位
置に配置されている。図4の形態ではδrはゼロである
が、図14の形態ではδrは円筒側壁50の半径の大き
な分数(significant fraction)である。δrを図14
に示される程度まで大きくすることは、図3(d)およ
び図3(e)を参照して述べたプラズマイオン密度中の
普遍中心窪み(usual center dip)に加えて非均一性を
補償するための、図4〜9、図11および図12の実施
形態に代わる形態として役立てることができる。同様
に、図14の形態は、チャンバ中心軸46から最小距離
にソレノイド42を配置する(図4の通り)ことが、中
心付近のプラズマイオン密度の普遍窪みを過補正しプラ
ズマプロセス動作中にさらに別の非均一性を生成するよ
うにウェーハ56の中心付近のプラズマイオン密度を高
めることになる場合に有用となりうる。このような場
合、図14の形態は、δrが、プラズマイオン密度の均
一性を最大にする最適値に選択される場合に好適であ
る。この場合、δrは、プラズマイオン密度の普遍中心
窪みの補正過度および補正不足の双方を回避するように
選択されることが理想的である。δrの最適値は、当業
者がソレノイド42を種々の径方向位置に配置する試行
錯誤ステップを実施し、従来の技術を用いてプラズマイ
オン密度の径方向分布を各ステップごとに求めることに
より決定することができる。
【0043】図15は、ソレノイド42が逆円錐形状を
有する実施形態を示しており、図16は、ソレノイド4
2が直立円錐形状を有する実施形態を示している。
【0044】図17は、ソレノイド42を平面つる巻き
巻線120と組み合わせた実施形態を示している。この
平面つる巻き巻線は、RF電力の一部を被処理体の中心
から分散させることによりソレノイド巻線42が誘導場
を被処理体の中心付近に集中させる程度を低減するとい
う効果を持っている。この特徴は、普遍中心空白の補正
過度を回避することが必要な場合に有用となりうる。こ
のような誘導場の中心からの発散の程度は、平面つる巻
き巻線120の半径に対応している。図18は、図17
の形態の変形例を示している。ここでは、ソレノイド4
2が、図15のような逆円錐形状を有している。図19
は、図17の形態の別の変形例を示している。ここで
は、ソレノイド42が、図16のような直立円錐形状を
有している。
【0045】天井52へのRFバイアス電位は、(天井
に接続されたRF電源から)直接印加することができ
る。この他に、RFバイアス電位は、チャンバ中の別の
電極からの容量結合により間接的に印加することもでき
る。例えば、ウェーハペデスタルに直接印加されるRF
バイアス電力は、天井と容量結合する。このような場
合、例えば天井へのポリマ堆積を防止するために、天井
の有効容量電極面積をチャンバの他の電極(例えば、被
処理体や側壁)に対して低減することによって天井52
のRF電位を高めることができる。図20は、面積が比
較的小さい仕様の天井52′を外側環状体200上に支
持することにより天井52のRF電位を高める様子を示
している。ここで、小面積天井52′は、外側環状体2
00から絶縁されている。この環状体200は、天井5
2′と同じ材料(例えば、ケイ素)を用いて形成するこ
とができ、また、(実線で示すように)円錐台形状に、
あるいは(破線で示すように)切頭ドーム形状にするこ
とができる。被処理体の中心部対縁部プロセスのより一
層の調整を可能にするために、環状体200に個別のR
F電源205を接続することもできる。別の電極からの
容量結合を介したRFバイアス電力の間接印加を用いる
ことで、天井にバイアスを加えるだけでなく、チャンバ
中の使い捨てケイ素部材62、あるいはその他の掃去用
部材やケイ素含有物にもバイアスを加えることができ
る。このような間接RFバイアス印加は、個別RFバイ
アス電源への直接接続と組み合わせて、あるいは直接接
続の代わりに使用することができる。
【0046】図21は、図9の形態の変形例を示してい
る。ここでは、天井52と側壁50が、相互に絶縁され
た別個の半導体(例えば、ケイ素)部材である。これら
の半導体部材は、それぞれのRF源210、212から
これらの部材に印加されて縁部に対する中心部エッチン
グ速度および選択比の制御性を高める個別制御RFバイ
アス電力レベルを有している。ケネス・S・コリンズら
による上述の米国特許出願第08/597,577号(出願日1996
年2月2日)により詳細に記載されているように、天井5
2は、自身に印加されるRFバイアス電力をチャンバ内
に容量結合させる電極として機能すると同時に、ソレノ
イド42に印加されるRF電力を貫通させてチャンバ内
に誘導結合させることができる窓として機能する半導体
(例えば、ケイ素)材料とすることができる。このよう
な窓電極の利点は、(例えば、イオンエネルギを制御す
るために)RF電位をウェーハ上に直接形成することが
でき、同時にRF電力をウェーハ上に直接誘導結合させ
ることができることである。この後者の特徴は、個別に
制御される内側および外側ソレノイド42、90、なら
びに中央および周辺ガス供給路64a、64bと組み合
わせると、被処理体中心部でのイオン密度、イオンエネ
ルギ、エッチング速度、エッチング選択比などの種々の
プラズマプロセスパラメタを被処理体縁部に対して調節
する能力を大きく高めて、最適な均一性を達成する。こ
の組合せでは、個々のガス供給路を通るガス流量が、プ
ラズマプロセスパラメタの上記の最適均一性を達成する
ように個別に制御される。
【0047】図21は、どのようにしてランプ加熱器7
2の代わりに電気加熱素子72′を使用することが可能
であるかを示している。図4の実施形態と同様に、使い
捨てケイ素部材は、ペデスタル54を包囲する環状リン
グ62である。環状リング62は、高純度ケイ素である
ことが好ましいが、その電気的または光学的特性を変え
るようにドーピングを行っても良い。ケイ素リング62
を十分な温度に維持してプラズマプロセスへの好適な参
加(例えば、フッ素掃去のためのプラズマへのケイ素材
料の供与)を確保するために、環状リング62の下に円
形に配置された複数の輻射(例えば、タングステンハロ
ゲンランプ)加熱器77が石英窓78を介してケイ素リ
ング62を加熱する。上述の同時継続出願に記載されて
いるように、加熱器77は、温度センサ79によって検
出されるケイ素リング62の測定温度に従って制御され
る。この温度センサ79は、光高温計や蛍光プローブ等
の遠隔センサとすることができる。センサ79は、リン
グ62の非常に深い穴62a内に部分的に延びていても
良く、この穴の深さおよび狭さは、ケイ素リング62の
熱放射率の温度依存性変動を少なくとも部分的に隠す傾
向があるので、ケイ素リング62は、より信頼性の高い
温度測定のために、灰色輻射体(gray-bodyradiator)
により近い振る舞いをする。
【0048】図22は、別の変形例を示している。ここ
では、天井52自体を内側円板52aおよび外側円板5
2bに分割することができる。これらの円板は、相互に
電気絶縁されており、独立のRF電源214、216に
よって個別にバイアスをかけられる。これらのRF電源
は、単一の差動制御RF電源の別個の出力であっても良
い。
【0049】他の実施形態に従い、図21および図22
に示される利用者アクセス可能な中央制御装置300
は、中央および周辺ガス供給路64a、64を通るガス
流量、内側および外側アンテナ42、90に加わるRF
プラズマ源電力レベル、天井52および側壁50にそれ
ぞれ加わるRFバイアス電力レベル(図21の場合)お
よび内側および外側天井部分52a、52bに加わるR
Fバイアス電力レベル(図22の場合)、天井52の温
度およびケイ素リング62の温度、を同時に制御するよ
うに接続されている。天井温度制御装置218は、天井
温度センサ76によって測定された温度を制御装置30
0に知らされた所期の温度と比較することにより、ラン
プ電源220によって加熱器ランプ72′に印加される
電力を調節する。リング温度制御装置222は、リング
センサ79によって測定されたリング温度を制御装置2
22に記憶された所期のリング温度と比較することによ
り、ケイ素リング62に面する加熱器ランプ77に対し
て加熱器電源224により印加される電力を制御する。
主制御装置300は、温度制御装置218および222
の所期の温度、ソレノイド電源68、96のRF電力レ
ベル、バイアス電源210、212(図21)または2
14、216(図22)のRF電力レベル、RF電源7
0によって印加されるウェーハバイアスレベル、ならび
に種々のガス供給源(または個別のバルブ)によってガ
ス流入口64a〜dに供給されるガス流量を管理する。
ウェーハバイアスレベルの制御に対して鍵となるのは、
ウェーハペデスタル54と天井52との間のRF電位差
である。このため、ペデスタルRF電源70か、あるい
は天井RF電源212は、RFグランドへの単なる短絡
箇所であっても良い。このようなプログラム可能統合制
御装置を用いると、利用者は、RF源電力、RFバイア
ス電力、および被処理体の中心部と周辺部との間のガス
流量の配分を容易に最適化して、被処理体の表面にわた
って最大の中心部−縁部間プロセス均一性(例えば、エ
ッチング速度およびエッチング選択比の均一な径方向分
布)を得ることができる。また、ソレノイド42、90
に印加されるRF電力をペデスタル54と天井52との
間のRF電力差に対して調節することにより、利用者
は、誘導結合優先モードまたは容量結合優先モードでリ
アクタを操作することができる。
【0050】図21においてソレノイド42、90、天
井52、側壁50(あるいは、図22のように内側およ
び外側天井部分52a、52b)に接続された種々の電
源は、RF周波数で動作するように説明したが、本発明
は、特定範囲の周波数に制限されるものではなく、当業
者は本発明を実施するにあたってRF以外の周波数を選
択することができる。
【0051】本発明の好適な実施形態では、高熱伝導性
スペーサ75、天井52および側壁50は、結晶または
多結晶シリコンあるいは炭化ケイ素の単一体から一体的
に形成される。
【0052】フォトレジスト選択比を高め、高密度プラ
ズマリアクタ内でのシリコン酸化物プラズマエッチング
処理中におけるフォトレジストの「切子面形成(faceti
ng)」を低減するためには、真空ポンプ速度(vacuum p
ump rate)を低減させることなく非反応性の希釈ガス
(diluent gas)を加えることにより、チャンバ圧力を
(高密度または誘導結合プラズマに関して)比較的高い
チャンバ圧力に高める。高密度または誘導結合プラズマ
に関する「高圧」の状態(regime)は、二つの方法のう
ちの一つで定めることができる。すなわち、(a)誘導
場スキンデプスがコイル−被処理体間の間隔の1/10
よりも大きくなる圧力、または(b)20mTよりも大
きく数百mTまで広がる圧力範囲、である。
【0053】エッチャント/ポリマ前駆ガスは、チャン
バ圧力を単独で高圧状態以下に維持するガス流量でチャ
ンバ内に供給されることが好ましく、非反応性ガスは、
前駆ガスの流量との組合せでチャンバ圧力を高圧状態に
高めるのに十分な流量で加えられるのが好ましい。この
ようにチャンバ真空ポンプの絞りを大きく戻すことを控
えることにより、チャンバ内のポリマ前駆物質滞留時間
はそれほど増えなくなる。本発明は、チャンバ圧力を高
めてポリマ強度を高め、これによりチャンバ中のポリマ
前駆ガスの滞留時間を増やすことなくフォトレジストの
切子面形成を抑えて、二酸化ケイ素表面上へのエッチン
グ停止ポリマの積層を防止する。この結果、プロセスウ
インドウの正味の増加という重要な利点が得られる。
【0054】本発明の一実施形態においてチャンバに供
給されるガスは、次の通りである。すなわち、エッチン
グに好適なエッチャント/ポリマ前駆物質としての90
標準立方センチメートル毎分(SCCM)のCHF3
重合に好適なエッチング/ポリマ前駆物質としての10
SCCMのC48、エッチストップ抑制剤(etch stop
inhibitor)としての16SCCMのCO2、およびチャ
ンバポンプ速度を大きく低下させることなくチャンバ圧
力を(70〜100mTの範囲内で)高めるために加え
られる非反応種としての450SCCMのアルゴンであ
る。一般に、非反応種のチャンバ内へのガス流量は、チ
ャンバに入る全てのガスの全流量の約2分の1よりも大
きい。他の実施形態(必ずしも好適ではない)では、非
反応種の流量は、チャンバに入る全ガスの全流量の約
0.3よりも大きい。別の実施形態では、非反応種の流
量は、チャンバに入る全ガスの全流量の0.7である。
【0055】上述の親出願で開示されているプラズマリ
アクタでプロセスが実行されることが好ましい。これ
は、多重ソレノイドコイルアンテナという特徴が、プラ
ズマイオン分布のより高い均一性をもたらすからであ
る。しかしながら、炭素−フッ素化学において高い比率
の非反応性希釈ガスを用いて高圧で誘導結合高密度RF
プラズマを生成する同じプロセスは、他のリアクタ、例
えば誘電性天井を覆う平面コイルアンテナを備えたリア
クタ、で実行することもできる。
【0056】本発明のプロセスには、フッ素掃去剤をチ
ャンバ内に供給して被処理体の非酸素含有表面の重合ま
たはパッシベーションを改善する態様が含まれている。
チャンバ天井をどのようにケイ素または炭化ケイ素から
形成することができるかについては既に述べた。この形
態の天井の温度が天井にポリマが堆積しない程度に高く
維持されていると、プラズマイオン衝突がフッ素掃去種
(ケイ素または炭素)を天井からアブレーションするこ
とにより、天井自体がこのような材料をプラズマ中に供
与することができる。この他に、ケイ素含有ガス(例え
ば、シラン、テトラエトキシシラン、ジエチルシラン、
四フッ化ケイ素)をチャンバ内に供給することにより、
フッ素掃去種を導入することもできる。この場合、天
井、壁またはフッ素掃去剤前駆物質リング62の温度を
低減して、これらへのポリマの堆積によりこれらからの
材料の分離が緩和または防止されるようにしても良い。
この他に、フッ素掃去ガスは、水素含有ガス、例えばシ
ラン(これは、ケイ素含有ガスのところで既に述べた)
や、純水素ガスや、メタン等の炭化水素(hydrocarbo
n)ガスや、フッ化水素や、フルオロ炭化水素(fluoro-
hydrocarbon)ガスであっても良い。
【0057】本発明のプロセスは、非反応性希釈ガスを
より高い又はより低い原子量のガスに変えることによっ
て調節することができる。例えば、ヘリウムやネオン
は、キセノンよりもイオン化に多くのプラズマ電子エネ
ルギを必要とするので、キセノンを用いると、より低い
平均電子温度とより高い電子密度を有するプラズマが生
じ、より多くのエッチング前駆種と、より高いエッチン
グ速度が得られる。この結果、キセノンを用いると、エ
ッチング速度は極めて高くなり、酸化物−フォトレジス
ト間のエッチング選択比は悪くなる。逆に、ヘリウムや
ネオンを用いると、エッチング速度は低くなる(これ
は、欠点となる可能性もある)が、エッチング選択比は
優れている。理想的なバランスは、良好なエッチング速
度と良好なエッチング選択比の双方を与えるアルゴン等
の中間原子量不活性ガスを用いることで得られる。しか
しながら、ヘリウム、ネオン、アルゴンまたはキセノ
ン、あるいは他の比較的非反応性のガスを希釈ガスとし
て用いることは可能である。当業者は、希釈種のガス流
量を反応種のガス流量に対して調節して、エッチング速
度およびエッチング選択比を最適化することができる。
一般に、ポリマ前駆ガスに対する非反応性ガスの割合を
高くすると、少ないエッチング停止で一定の圧力でエッ
チング選択比が低減されるようにプロセスが調節され
る。
【0058】本発明のプロセスは、天井温度を用いても
調節される。例えば、本発明の一形態では、より多くの
ポリマがウェーハのパッシベートされた表面に堆積し、
より少ないポリマが天井に堆積するように、天井が高い
温度−−ポリマ縮合温度に近い温度−−に加熱される。
この場合、高いチャンバ圧力でよく見られる酸化物表面
でのエッチング停止を回避するため、(a)天井、壁お
よび/またはポリマ前駆物質リングの温度が上昇するの
に応じてポリマ前駆ガスの希釈を高めることが望まし
く、および/または(b)エッチストップ抑制剤ガス流
量を増加することが望ましい。希釈ガスの含有量は、チ
ャンバ内のガスの少なくとも約50%以上であることが
望ましい。逆に、天井がポリマ縮合温度よりも相当に低
い温度に冷却される場合は、希釈剤の含有量は、50%
水準よりも相当に低くすることができる。
【0059】チャンバ内の炭素含有量の高いポリマ/エ
ッチャント前駆ガス(例えば、C48)の相対比率を高
める−−これは、重合を高めるためである−−ことによ
り、あるいはフッ素含有量の高いポリマ/エッチャント
前駆ガス(例えば、CHF3)の相対比率を高める−−
これは、エッチング速度を高めるためである−−ことに
より、重合速度を増減するように他の調節を行うことも
できる。高炭素含有前駆ガスの比率が増加するのに伴っ
て、非反応性希釈ガス(例えば、アルゴン)および/ま
たはエッチストップ抑制ガス(例えば、CO2、CO、
2)の比率を高めて酸化物表面でのエッチング停止を
避けるべきである。反応性ガスの流量に対して相対的に
非反応性の希釈ガスの流量が十分に高い割合で用いられ
ている場合は、エッチストップ抑制ガスを省くこともで
きる。
【0060】本発明のプロセスの上述の実施形態は、上
記のリアクタ、例えば図9、図21、図22のリアク
タ、のうちの一つで実行されるのが好ましい。これは、
これらのリアクタが最適なプラズマイオン密度分布均一
性を提供するからである。しかしながら、他のリアクタ
を用いてプロセスを実行することも可能である。例え
ば、平面天井および平面オーバヘッド誘導コイルを有す
るタイプのプラズマリアクタ内でプロセスを実行するこ
ともできる。このようなプラズマリアクタは、図1に示
されている。しかし、以下におけるプロセスの詳細な記
述では、与えられる使用例は、図21の好適なリアクタ
チャンバに特化されている。
【0061】使用例 リアクタパラメタ設定 CHF3ガス流量: 90sccm C48ガス流量: 10sccm CO2ガス流量: 16sccm Arガス流量: 450sccm 全チャンバ圧力: 85〜90mTorr チャンバ表面温度: 150℃ ケイ素リング温度: 450℃ プラズマ体積: 6.5リットル チャンバ容積: 22リットル 外側コイル電力: 3072ワット(2.0MHz) 内側コイル電力: 1178ワット(2.3MHz) ペデスタルに対するバイアス電力: 1600ワット
(1.8MHz) ウェーハは−10℃w/ヘリウム冷却ガスで静電チャッ
ク上に保持。
【0062】この例では、チャンバ圧力をポンプダウン
する真空ポンプは、毎秒1000リットルの公称能力を
有し、10mTのチャンバ圧力で毎秒300リットルの
正味流量、100mTのチャンバ圧力で毎秒110リッ
トルの正味流量を有するタイプの従来のターボポンプで
あった。チャンバからのポンプ吸気口に位置する絞り弁
は、この例では18%開いていた。
【0063】結果 (1)エッチング停止を伴いながら約10,000オン
グストローム/分で0.4ミクロン径にエッチングされ
た深い酸化物コンタクトホール。 (2)酸化物とフォトレジストとの間の選択比は、フォ
トレジスト切子面において約5:1である。 (3)浅い酸化物コンタクトホール(深さ3000〜4
000オングストローム)中のポリシリコン損失は、約
100オングストロームであった。
【0064】本発明は、上述の例でリアクタパラメタに
対して設定された特定の値に制限されるものでは全くな
い。実際、このようなパラメタは、本発明を実行する際
に所与の範囲内で変化させることができる。プラズマイ
オン密度に影響を与えるパラメタ、例えば種々のRF電
力レベルや内側および外側コイルに与えられる種々の周
波数は、得られるプラズマイオン密度で表すことができ
る。本発明は、ウェーハ表面付近のプラズマイオン密度
が1010イオン毎立方センチメートル(イオン/cc)
を超えている状況で実施することが可能である。しかし
ながら、本発明は、ウェーハ表面付近のプラズマイオン
密度が特に1011イオン/ccを超えている状況で実施
することが好ましい。このような比較的高いプラズマイ
オン密度は、通常、誘導結合型RFプラズマリアクタ内
で達成される。このタイプの好適なリアクタは、本明細
書に開示されている。従って、必要なプラズマイオン密
度の範囲は、誘導結合RFプラズマ中で本発明を実施す
ることにより確保される。
【0065】従来のプラズマリアクタに関して比較的低
いチャンバ圧力は、通常、約20mT未満であるが、本
発明は、本明細書で上述したように、希釈非反応性ガス
を加えることにより少なくとも一部でこの低圧力以上に
高められた比較的高いチャンバ圧力で実施される。本発
明は、20mTを超える比較的高いチャンバ圧力で実施
することができる。50mTを超えるチャンバ圧力で本
発明を実施することにより、より良い結果を得ることが
できる。しかしながら、本発明は、100mTを超える
チャンバ圧力で実施することが好ましい。このようなチ
ャンバ圧力要求は、プラズマ中の誘導場のスキンデプス
に与える影響にまとめる約することができる。具体的に
述べると、チャンバ圧力は、スキンデプスがウェーハま
たは被処理体と誘導アンテナとの間の変位または間隔の
1/10にほぼ等しいか、あるいは1/10を上回る大
きさに維持される程度に十分に高いことが好ましい。
【0066】希釈相対的非反応性ガスを加えることによ
りチャンバ圧力が低圧力範囲(例えば、約20mT以
下)から上述の高圧力範囲に高められる実施形態を参照
して本発明を説明してきた。このようなチャンバ圧力の
上昇は、希釈ガスを単に加えるか、あるいは希釈ガスの
追加とポンプ流量の変化とを(例えば、真空ポンプ絞り
弁の開き量を小さくすることにより)組み合わせること
によって達成することができる。いずれの場合も、希釈
ガスの導入がチャンバ圧力を高圧力範囲にまで高めるの
に優先的な役割を果たし、ポンプ流量(絞り弁位置)の
減少があっても大きな役割を果たさないように、チャン
バ内への希釈ガス流量は、リアクタチャンバ(反応室)
に入る全ガス流量の少なくとも2分の1であることが好
ましい。このような真空ポンプ速度(絞り弁面積)の減
少があろうとなかろうと、この希釈ガス流は、上述の高
圧力範囲のうちの少なくとも一つへチャンバ圧力を単独
で高めるのに十分である。このように、本発明は、減少
なしから有限の減少までの範囲をもつ絞り弁開き面積の
比較的わずかな減少を希釈ガスの導入と組み合わせるこ
とにより実施することが可能である。絞り弁開きの減少
は、希釈ガス流がない場合の低チャンバ圧力に対応する
絞り弁開きの分数として記述することができる。上述の
使用例では、絞り弁開きは18%であり、これは、チャ
ンバ内に入る希釈ガス流がない場合における20mTと
いう低チャンバ圧力での絞り弁開きの約9/10であ
る。
【0067】好適な実施形態に特に参照することにより
本発明を詳細に説明してきたが、本発明の趣旨と範囲を
逸脱することなく本発明に変形や変更を加えることが可
能である。
【図面の簡単な説明】
【図1】 本願の同時継続米国特許出願で使用されるタ
イプの誘導結合型プラズマリアクタであって、一般的に
平面コイルアンテナを使用するプラズマリアクタの切取
側面図である。
【図2】 プラズマ中の誘導場スキンデプス(実線)を
cm単位で示すとともに、電子−中性子の弾性衝突平均
自由行路長(破線)をtorr単位の圧力(横軸)の関数と
して示す両対数グラフである。
【図3】 図1のリアクタ内の被処理体中心を基準とし
た径方向位置の関数としてプラズマイオン密度を示すグ
ラフであり、(a)は被処理体から天井までの高さが4
インチの場合、(b)は被処理体から天井までの高さが
3インチの場合、(c)は被処理体から天井までの高さ
が2.5インチの場合、(d)は被処理体から天井まで
の高さが1.25インチの場合、(e)は被処理体から
天井までの高さが0.8インチの場合、をそれぞれ示し
ている。また、AおよびBで表される曲線は、外側およ
び内側コイルアンテナによってそれぞれ形成されたプラ
ズマイオン密度に対応している。
【図4】 単一の三次元中心非平面ソレノイド巻線を用
いるプラズマリアクタの切取側面図である。
【図5】 図4のリアクタの一部分の拡大図であり、ソ
レノイド巻線を巻きつける好適な方法を示している。
【図6】 図4と同様のプラズマリアクタであるがドー
ム形の天井を有するプラズマリアクタの切取側面図であ
る。
【図7】 図4と同様のプラズマリアクタであるが円錐
形の天井を有するプラズマリアクタの切取側面図であ
る。
【図8】 図4と同様のプラズマリアクタであるが円錐
台形の天井を有するプラズマリアクタの切取側面図であ
る。
【図9】 内側および外側垂直ソレノイド巻線を用いる
プラズマリアクタの切取側面図である。
【図10】 図9と同様のプラズマリアクタであって外
側巻線が平坦なものを示す切取側面図である。
【図11】 (a)は図4と同様のプラズマリアクタで
あって中心ソレノイド巻線が複数の直立円筒巻線からな
るものを示す切取側面図であり、(b)は(a)の形態
の第1の実施例を示す詳細図であり、(c)は(a)の
形態の第2の実施例を示す詳細図である。
【図12】 図9と同様のプラズマリアクタであって内
側および外側巻線が複数の直立円筒巻線からなるものの
切取側面図である。
【図13】 図9と同様のプラズマリアクタであって内
側巻線が複数の直立円筒巻線からなり、外側巻線が単一
の直立円筒巻線からなるものの切取側面図である。
【図14】 最大のプラズマイオン密度均一性を得るた
めに単一のソレノイド巻線が最適な径方向位置に配置さ
れているプラズマリアクタの切取側面図である。
【図15】 図4と同様のプラズマリアクタであってソ
レノイド巻線が逆円錐形のものを示す切取側面図であ
る。
【図16】 図4と同様のプラズマリアクタであってソ
レノイド巻線が直立円錐形のものを示す切取側面図であ
る。
【図17】 ソレノイド巻線が内側直立円筒部と外側平
坦部からなるプラズマリアクタの切取側面図である。
【図18】 図14と同様のプラズマリアクタであって
ソレノイド巻線が逆円錐部と平坦部の双方を有するもの
の切取側面図である。
【図19】 図16と同様のプラズマリアクタであって
ソレノイド巻線が直立円錐部と平坦部の双方を有するも
のの切取側面図である。
【図20】 プレーナ形、円錐形、およびドーム形の天
井部品の組合せを示す図である。
【図21】 個別にバイアスがかけられるケイ素側壁と
天井、ならびに使用する電気加熱器を示す図である。
【図22】 個別にバイアスがかけられる内側および外
側ケイ素天井部分、ならびに使用する電気加熱器を示す
図である。
【符号の説明】
40…チャンバ、42…コイルアンテナ、44…巻線、
45…追加巻線、46…対称軸、50…チャンバ壁、5
2…天井、54…ペデスタル、56…被処理体、58…
環状通路、60…ポンプ環状体、62…環状リング、6
4a…中央ガス供給路、64b〜d…周辺ガス供給路、
66…ハウジング、68及び70…電源、72…加熱
器、74…水冷冷却板、74a…冷却材通路、75…セ
ラミック円環体、75a…軸方向開口部、76…天井温
度センサ、77…加熱器、78…石英窓、79…温度セ
ンサ、80…磁石、84…ウェーハスリットバルブ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 デイヴィッド グルーチェル アメリカ合衆国, カリフォルニア州, サニーヴェイル, マルベリー レーン 802 (72)発明者 レイモンド ハング アメリカ合衆国, カリフォルニア州, サン ノゼ, バーケット ドライヴ 1282 (72)発明者 マイケル ライス アメリカ合衆国, カリフォルニア州, プレザントン, クラレット コート 675 (72)発明者 ジェラルド ゼヤオ イン アメリカ合衆国, カリフォルニア州, クパティノ, ビリチ プレイス 10132 (72)発明者 ジエン ディング アメリカ合衆国, カリフォルニア州, サン ノゼ, グレン ヘイヴン ドライ ヴ 1337 (72)発明者 チャンシ ツェイ アメリカ合衆国, カリフォルニア州, サンタ クララ, ハルフォード 1750 ナンバー204

Claims (148)

    【特許請求の範囲】
  1. 【請求項1】 プラズマリアクタチャンバ内で半導体被
    処理体を処理する方法であって、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを第1の流量で前記チャンバ内に供給す
    るステップであって、前記第1流量は、前記チャンバ内
    のガス圧を約20mT以下の低圧力範囲に単独で維持す
    るのに十分な流量であるステップと、 相対的に非反応性のガスを第2の流量で前記チャンバ内
    に供給するステップであって、前記第2流量は、前記チ
    ャンバ内の前記ガス圧を前記前駆ガスの第1流量との組
    合せにより20mT以上の高圧力範囲に維持するのに十
    分な流量であるステップと、 プラズマ源電力を前記チャンバ内に印加して、1010
    オン毎立方センチメートルを超えるイオン密度を有する
    高イオン密度プラズマを生成するステップと、 を備える方法。
  2. 【請求項2】 前記高圧力範囲は、50mTを超えてい
    る、請求項1記載の方法。
  3. 【請求項3】 前記高圧力範囲は、100mTを超えて
    いる、請求項1記載の方法。
  4. 【請求項4】 前記イオン密度は、1011イオン毎立方
    センチメートルを超えている、請求項1記載の方法。
  5. 【請求項5】 前記高圧力範囲は、50mTを超えてい
    る、請求項4記載の方法。
  6. 【請求項6】 前記高圧力範囲は、100mTを超えて
    いる、請求項4記載の方法。
  7. 【請求項7】 プラズマ電力を印加する前記ステップ
    は、プラズマ電力を前記チャンバ内に誘導結合するステ
    ップを含んでいる、請求項1記載の方法。
  8. 【請求項8】 前記被処理体は、前記処理によってエッ
    チングされるべき酸素含有上層と、エッチングから保護
    されるべき非酸素含有下層と、を備えており、前記前駆
    ガスは、前記プラズマ中において、前記酸素含有層をエ
    ッチングするフッ素含有エッチャント種と前記非酸素含
    有下層の上に堆積する炭素含有ポリマ種とに解離する、
    請求項1記載の方法。
  9. 【請求項9】 前記チャンバ内にフッ素掃去種源を供給
    するステップをさらに備える、請求項8記載の方法。
  10. 【請求項10】 前記フッ素掃去種源は、前記チャンバ
    内の固形物を含んでいる、請求項9記載の方法。
  11. 【請求項11】 前記フッ素掃去種源は、前記チャンバ
    内に導入されるガスを含んでいる、請求項9記載の方
    法。
  12. 【請求項12】 前記リアクタチャンバ内にエッチスト
    ップ抑制ガスを供給するステップをさらに備える請求項
    8記載の方法。
  13. 【請求項13】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも約0.5
    である、請求項1記載の方法。
  14. 【請求項14】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも約0.3
    である、請求項1記載の方法。
  15. 【請求項15】 前記非反応性ガスは、(a)アルゴ
    ン、(b)ヘリウム、(c)ネオン、(d)キセノンの
    うちの一つを含んでいる、請求項8記載の方法。
  16. 【請求項16】 前記被処理体にRFバイアス電力を印
    加してプラズマイオンエネルギを制御するステップをさ
    らに備える請求項8記載の方法。
  17. 【請求項17】 前記プラズマイオンエネルギは、前記
    被処理体の非酸素含有表面上への網状ポリマの堆積を抑
    えるのに十分なエネルギである、請求項8記載の方法。
  18. 【請求項18】 前記チャンバ内の表面の温度を制御し
    て、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去との間に所望の配分を与えるステップをさ
    らに備える請求項17記載の方法。
  19. 【請求項19】 前記エッチャントおよびポリマ前駆ガ
    スは、低炭素含有エッチャントおよびポリマ前駆ガス
    と、高フッ素含有エッチャントおよびポリマ前駆ガスと
    を、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去との間に所望の配分を与える割合で含んで
    いる、請求項1記載の方法。
  20. 【請求項20】 前記フッ素掃去種源は、固体のケイ素
    含有材料を含んでいる、請求項9記載の方法。
  21. 【請求項21】 前記フッ素掃去種源は、固体の炭素含
    有材料を含んでいる、請求項9記載の方法。
  22. 【請求項22】 前記フッ素掃去種源を加熱するステッ
    プをさらに備えている請求項10記載の方法。
  23. 【請求項23】 前記フッ素掃去種源にRFバイアスを
    印加するステップをさらに備えている請求項10記載の
    方法。
  24. 【請求項24】 RFバイアスを印加する前記ステップ
    は、前記被処理体にRFバイアスを印加するステップを
    含んでおり、これによりRFバイアスが前記被処理体か
    ら前記フッ素掃去種源に容量結合されるようになってい
    る、請求項23記載の方法。
  25. 【請求項25】 フッ素掃去種源を供給する前記ステッ
    プは、掃去種含有ガスを前記チャンバ内に導入するステ
    ップを含んでいる、請求項9記載の方法。
  26. 【請求項26】 前記ガスは、シラン、テトラエトキシ
    シラン、ジエチルシラン、四フッ化ケイ素のうちの少な
    くとも一つを含むケイ素含有ガスである、請求項25記
    載の方法。
  27. 【請求項27】 前記ガスは、シラン、純水素ガス、炭
    化水素ガス、メタン、フッ化水素、フルオロ炭化水素ガ
    スのうちの少なくとも一つを含む水素含有ガスである、
    請求項25記載の方法。
  28. 【請求項28】 半導体被処理体の上方に位置し、前記
    被処理体との間に間隙距離を形成している誘導アンテナ
    を有するプラズマリアクタチャンバ中で前記被処理体を
    処理する方法であって、前記誘導アンテナは、前記チャ
    ンバ内のガス圧の関数である誘導場スキンデプスを有す
    る誘導場を特定のRF周波数で形成しており、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを第1の流量で前記チャンバ内に供給す
    るステップであって、前記第1流量は、前記チャンバ内
    のガス圧を前記スキンデプスが前記間隙距離の約1/1
    0以下となる低圧力範囲に単独で維持するのに十分な流
    量であるステップと、 相対的に非反応性のガスを第2の流量で前記チャンバ内
    に供給するステップであって、前記第2流量は、前記チ
    ャンバ内の前記ガス圧を前記前駆ガスの第1流量との組
    合せにより前記スキンデプスが前記間隙距離の1/10
    より大きくなる高圧力範囲に維持するのに十分な流量で
    あるステップと、 プラズマ源電力を前記特定RF周波数で前記誘導アンテ
    ナに印加して、前記チャンバ内に電力を誘導結合するス
    テップと、 を備える方法。
  29. 【請求項29】 前記高圧力範囲は、20mTを超えて
    いる、請求項28記載の方法。
  30. 【請求項30】 前記高圧力範囲は、50mTを超えて
    いる、請求項28記載の方法。
  31. 【請求項31】 前記高圧力範囲は、100mTを超え
    ている、請求項28記載の方法。
  32. 【請求項32】 前記プラズマ源電力は、1010イオン
    毎立方センチメートルを超える前記プラズマのイオン密
    度を形成するのに十分な電力である、請求項28記載の
    方法。
  33. 【請求項33】 前記高圧力範囲は、20mTを超えて
    いる、請求項32記載の方法。
  34. 【請求項34】 前記高圧力範囲は、50mTを超えて
    いる、請求項32記載の方法。
  35. 【請求項35】 前記高圧力範囲は、100mTを超え
    ている、請求項32記載の方法。
  36. 【請求項36】 前記プラズマ源電力は、1011イオン
    毎立方センチメートルを超える前記プラズマのイオン密
    度を形成するのに十分な電力である、請求項28記載の
    方法。
  37. 【請求項37】 前記高圧力範囲は、20mTを超えて
    いる、請求項36記載の方法。
  38. 【請求項38】 前記高圧力範囲は、50mTを超えて
    いる、請求項36記載の方法。
  39. 【請求項39】 前記高圧力範囲は、100mTを超え
    ている、請求項36記載の方法。
  40. 【請求項40】 前記被処理体は、前記処理によってエ
    ッチングされるべき酸素含有上層と、エッチングから保
    護されるべき非酸素含有下層と、を備えており、前記前
    駆ガスは、前記プラズマ中において、前記酸素含有層を
    エッチングするフッ素含有エッチャント種と前記非酸素
    含有下層の上に堆積する炭素含有ポリマ種とに解離す
    る、請求項28記載の方法。
  41. 【請求項41】 前記チャンバ内にフッ素掃去種源を供
    給するステップをさらに備える、請求項40記載の方
    法。
  42. 【請求項42】 前記フッ素掃去種源は、前記チャンバ
    内の固形物を含んでいる、請求項41記載の方法。
  43. 【請求項43】 前記フッ素掃去種源は、前記チャンバ
    内に導入されるガスを含んでいる、請求項41記載の方
    法。
  44. 【請求項44】 前記リアクタチャンバ内にエッチスト
    ップ抑制ガスを供給するステップをさらに備える請求項
    40記載の方法。
  45. 【請求項45】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも約0.5
    である、請求項28記載の方法。
  46. 【請求項46】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも約0.3
    である、請求項28記載の方法。
  47. 【請求項47】 前記非反応性ガスは、(a)アルゴ
    ン、(b)ヘリウム、(c)ネオン、(d)キセノンの
    うちの一つを含んでいる、請求項40記載の方法。
  48. 【請求項48】 前記被処理体にRFバイアス電力を印
    加してプラズマ電子およびイオンエネルギを制御するス
    テップをさらに備える請求項40記載の方法。
  49. 【請求項49】 前記プラズマ電子エネルギは、前記非
    反応性ガスをイオン化して、前記被処理体の非酸素含有
    表面への網状ポリマの堆積を抑えるのに十分なイオンエ
    ネルギを供給するのに十分な電子エネルギである、請求
    項48記載の方法。
  50. 【請求項50】 前記チャンバ内の表面の温度を制御し
    て、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去とを均衡させるステップをさらに備える請
    求項49記載の方法。
  51. 【請求項51】 前記エッチャントおよびポリマ前駆ガ
    スは、低炭素含有エッチャントおよびポリマ前駆ガス
    と、高フッ素含有エッチャントおよびポリマ前駆ガスと
    を、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去とを均衡させる割合で含んでいる、請求項
    28記載の方法。
  52. 【請求項52】 前記フッ素掃去種源は、固体のケイ素
    含有材料を含んでいる、請求項41記載の方法。
  53. 【請求項53】 前記フッ素掃去種源は、固体の炭素含
    有材料を含んでいる、請求項41記載の方法。
  54. 【請求項54】 前記フッ素掃去種源を加熱するステッ
    プをさらに備えている請求項42記載の方法。
  55. 【請求項55】 前記フッ素掃去種源にRFバイアスを
    印加するステップをさらに備えている請求項42記載の
    方法。
  56. 【請求項56】 RFバイアスを印加する前記ステップ
    は、前記被処理体にRFバイアスを印加するステップを
    含んでおり、これによりRFバイアスが前記被処理体か
    ら前記フッ素掃去種源に容量結合されるようになってい
    る、請求項55記載の方法。
  57. 【請求項57】 フッ素掃去種源を供給する前記ステッ
    プは、掃去種含有ガスを前記チャンバ内に導入するステ
    ップを含んでいる、請求項41記載の方法。
  58. 【請求項58】 前記ガスは、シラン、テトラエトキシ
    シラン、ジエチルシラン、四フッ化ケイ素のうちの少な
    くとも一つを含むケイ素含有ガスである、請求項57記
    載の方法。
  59. 【請求項59】 前記ガスは、シラン、純水素ガス、炭
    化水素ガス、メタン、フッ化水素、フルオロ炭化水素ガ
    スのうちの少なくとも一つを含む水素含有ガスである、
    請求項57記載の方法。
  60. 【請求項60】 プラズマリアクタチャンバ内で半導体
    被処理体を処理する方法であって、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを第1の流量で前記チャンバ内に供給す
    るステップであって、前記第1流量は、前記チャンバ内
    のガス圧を約20mT以下の低圧力範囲に単独で維持す
    るのに十分な流量であるステップと、 相対的に非反応性のガスを第2の流量で前記チャンバ内
    に供給するステップであって、前記第2流量は、前記チ
    ャンバ内の前記ガス圧を前記前駆ガスの第1流量との組
    合せにより20mT以上の高圧力範囲に維持するのに十
    分な流量であるステップと、 プラズマ源電力を前記チャンバ内に誘導結合して、誘導
    結合プラズマを生成するステップと、 を備える方法。
  61. 【請求項61】 前記高圧力範囲は、50mTを超えて
    いる、請求項60記載の方法。
  62. 【請求項62】 前記高圧力範囲は、100mTを超え
    ている、請求項60記載の方法。
  63. 【請求項63】 前記プラズマ源電力は、1010イオン
    毎立方センチメートルを超える前記プラズマのイオン密
    度を形成するのに十分な電力である、請求項60記載の
    方法。
  64. 【請求項64】 前記高圧力範囲は、50mTを超えて
    いる、請求項63記載の方法。
  65. 【請求項65】 前記高圧力範囲は、100mTを超え
    ている、請求項63記載の方法。
  66. 【請求項66】 前記プラズマ源電力は、1011イオン
    毎立方センチメートルを超える前記プラズマのイオン密
    度を形成するのに十分な電力である、請求項60記載の
    方法。
  67. 【請求項67】 前記高圧力範囲は、50mTを超えて
    いる、請求項66記載の方法。
  68. 【請求項68】 前記高圧力範囲は、100mTを超え
    ている、請求項66記載の方法。
  69. 【請求項69】 前記被処理体は、前記処理によってエ
    ッチングされるべき酸素含有上層と、エッチングから保
    護されるべき非酸素含有下層と、を備えており、前記前
    駆ガスは、前記プラズマ中において、前記酸素含有層を
    エッチングするフッ素含有エッチャント種と前記非酸素
    含有下層の上に堆積する炭素含有ポリマ種とに解離す
    る、請求項60記載の方法。
  70. 【請求項70】 前記チャンバ内にフッ素掃去種源を供
    給するステップをさらに備える、請求項69記載の方
    法。
  71. 【請求項71】 前記フッ素掃去種源は、前記チャンバ
    内の固形物を含んでいる、請求項70記載の方法。
  72. 【請求項72】 前記フッ素掃去種源は、前記チャンバ
    内に導入されるガスを含んでいる、請求項70記載の方
    法。
  73. 【請求項73】 前記リアクタチャンバ内にエッチスト
    ップ抑制ガスを供給するステップをさらに備える請求項
    70記載の方法。
  74. 【請求項74】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも約0.5
    である、請求項60記載の方法。
  75. 【請求項75】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも約0.3
    である、請求項60記載の方法。
  76. 【請求項76】 前記非反応性ガスは、(a)アルゴ
    ン、(b)ヘリウム、(c)ネオン、(d)キセノンの
    うちの一つを含んでいる、請求項70記載の方法。
  77. 【請求項77】 前記被処理体にRFバイアス電力を印
    加してプラズマイオンエネルギを制御するステップをさ
    らに備える請求項70記載の方法。
  78. 【請求項78】 前記プラズマイオンエネルギは、前記
    被処理体の非酸素含有表面上への網状ポリマの堆積を抑
    えるのに十分なエネルギである、請求項77記載の方
    法。
  79. 【請求項79】 前記チャンバ内の表面の温度を制御し
    て、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去とを均衡させるステップをさらに備える請
    求項78記載の方法。
  80. 【請求項80】 前記エッチャントおよびポリマ前駆ガ
    スは、低炭素含有エッチャントおよびポリマ前駆ガス
    と、高フッ素含有エッチャントおよびポリマ前駆ガスと
    を、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去とを均衡させる割合で含んでいる、請求項
    60記載の方法。
  81. 【請求項81】 前記フッ素掃去種源は、固体のケイ素
    含有材料を含んでいる、請求項71記載の方法。
  82. 【請求項82】 前記フッ素掃去種源は、固体の炭素含
    有材料を含んでいる、請求項71記載の方法。
  83. 【請求項83】 前記フッ素掃去種源を加熱するステッ
    プをさらに備えている請求項71記載の方法。
  84. 【請求項84】 前記フッ素掃去種源にRFバイアスを
    印加するステップをさらに備えている請求項71記載の
    方法。
  85. 【請求項85】 前記フッ素掃去種源にRFバイアスを
    印加するステップと、前記フッ素掃去種源を加熱するス
    テップと、をさらに備えている請求項71記載の方法。
  86. 【請求項86】 RFバイアスを印加する前記ステップ
    は、前記被処理体にRFバイアスを印加するステップを
    含んでおり、これによりRFバイアスが前記被処理体か
    ら前記フッ素掃去種源に容量結合されるようになってい
    る、請求項84記載の方法。
  87. 【請求項87】 フッ素掃去種源を供給する前記ステッ
    プは、掃去種含有ガスを前記チャンバ内に導入するステ
    ップを含んでいる、請求項70記載の方法。
  88. 【請求項88】 前記ガスは、シラン、テトラエトキシ
    シラン、ジエチルシラン、四フッ化ケイ素のうちの少な
    くとも一つを含むケイ素含有ガスである、請求項87記
    載の方法。
  89. 【請求項89】 前記ガスは、シラン、純水素ガス、炭
    化水素ガス、メタン、フッ化水素、フルオロ炭化水素ガ
    スのうちの少なくとも一つを含む水素含有ガスである、
    請求項87記載の方法。
  90. 【請求項90】 プラズマリアクタチャンバ内で半導体
    被処理体を処理する方法であって、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを第1の流量で前記チャンバ内に供給す
    るステップと、 相対的に非反応性のガスを、前記チャンバ内に導入され
    る全ガスの全流量の少なくとも0.30である第2の流
    量で前記チャンバ内に供給するステップと、 プラズマ源電力を前記チャンバ内に印加して、1010
    オン毎立方センチメートルを超えるイオン密度を有する
    高イオン密度プラズマを生成するステップと、 を備える方法。
  91. 【請求項91】 前記被処理体は、前記処理によってエ
    ッチングされるべき酸素含有上層と、エッチングから保
    護されるべき非酸素含有下層と、を備えており、前記前
    駆ガスは、前記プラズマ中において、前記酸素含有層を
    エッチングするフッ素含有エッチャント種と前記非酸素
    含有下層の上に堆積する炭素含有ポリマ種とに解離す
    る、請求項90記載の方法。
  92. 【請求項92】 前記チャンバ内にフッ素掃去種源を供
    給するステップをさらに備える、請求項91記載の方
    法。
  93. 【請求項93】 前記フッ素掃去種源は、前記チャンバ
    内の固形物を含んでいる、請求項92記載の方法。
  94. 【請求項94】 前記フッ素掃去種源は、前記チャンバ
    内に導入されるガスを含んでいる、請求項92記載の方
    法。
  95. 【請求項95】 前記リアクタチャンバ内にエッチスト
    ップ抑制ガスを供給するステップをさらに備える請求項
    91記載の方法。
  96. 【請求項96】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも0.50
    である、請求項90記載の方法。
  97. 【請求項97】 前記第2流量は、前記リアクタチャン
    バ内に供給される全ガスの全流量の少なくとも0.70
    である、請求項90記載の方法。
  98. 【請求項98】 前記非反応性ガスは、(a)アルゴ
    ン、(b)ヘリウム、(c)ネオン、(d)キセノンの
    うちの一つを含んでいる、請求項91記載の方法。
  99. 【請求項99】 前記被処理体にRFバイアス電力を印
    加して、前記被処理体の非酸素含有表面上への網状ポリ
    マの堆積を抑えるのに十分なイオンエネルギを供給する
    ステップをさらに備える請求項91記載の方法。
  100. 【請求項100】 前記チャンバ内の表面の温度を制御
    して、前記被処理体上へのポリマ堆積と前記被処理体か
    らのポリマ除去との間に所望の配分を与えるステップを
    さらに備える請求項99記載の方法。
  101. 【請求項101】 前記エッチャントおよびポリマ前駆
    ガスは、低炭素含有エッチャントおよびポリマ前駆ガス
    と、高フッ素含有エッチャントおよびポリマ前駆ガスと
    を、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去との間に所望の配分を与える割合で含んで
    いる、請求項90記載の方法。
  102. 【請求項102】 前記フッ素掃去種源は、固体のケイ
    素含有材料を含んでいる、請求項93記載の方法。
  103. 【請求項103】 前記フッ素掃去種源は、固体の炭素
    含有材料を含んでいる、請求項93記載の方法。
  104. 【請求項104】 前記フッ素掃去種源を加熱するステ
    ップをさらに備えている請求項93記載の方法。
  105. 【請求項105】 前記フッ素掃去種源にRFバイアス
    を印加するステップをさらに備えている請求項93記載
    の方法。
  106. 【請求項106】 RFバイアスを印加する前記ステッ
    プは、前記被処理体にRFバイアスを印加するステップ
    を含んでおり、これによりRFバイアスが前記被処理体
    から前記フッ素掃去種源に容量結合されるようになって
    いる、請求項105記載の方法。
  107. 【請求項107】 フッ素掃去種源を提供する前記ステ
    ップは、ケイ素含有ガスを前記チャンバ内に導入するス
    テップを含んでいる、請求項9記載の方法。
  108. 【請求項108】 前記ガスは、シラン、テトラエトキ
    シシラン、ジエチルシラン、四フッ化ケイ素のうちの少
    なくとも一つを含むケイ素含有ガスである、請求項10
    7記載の方法。
  109. 【請求項109】 前記ガスは、シラン、純水素ガス、
    炭化水素ガス、メタン、フッ化水素、フルオロ炭化水素
    ガスのうちの少なくとも一つを含む水素含有ガスであ
    る、請求項94記載の方法。
  110. 【請求項110】 前記イオン密度は、1011イオン毎
    立方センチメートルを超えている、請求項90記載の方
    法。
  111. 【請求項111】 前記チャンバ内のガス圧を約20m
    Tを超える圧力に維持するステップをさらに備える請求
    項90記載の方法。
  112. 【請求項112】 前記チャンバ内のガス圧を約50m
    Tを超える圧力に維持するステップをさらに備える請求
    項90記載の方法。
  113. 【請求項113】 前記チャンバ内のガス圧を約70m
    Tを超える圧力に維持するステップをさらに備える請求
    項90記載の方法。
  114. 【請求項114】 前記チャンバ内のガス圧を約20m
    Tを超える圧力に維持するステップをさらに備える請求
    項96記載の方法。
  115. 【請求項115】 前記チャンバ内のガス圧を約50m
    Tを超える圧力に維持するステップをさらに備える請求
    項96記載の方法。
  116. 【請求項116】 前記チャンバ内のガス圧を約70m
    Tを超える圧力に維持するステップをさらに備える請求
    項96記載の方法。
  117. 【請求項117】 プラズマリアクタチャンバ内で半導
    体被処理体を処理する方法であって、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを第1の流量で前記チャンバ内に供給す
    るステップと、 相対的に非反応性のガスを、前記チャンバ内に導入され
    る全ガスの全流量の少なくとも0.30である第2の流
    量で前記チャンバ内に供給するステップと、 プラズマ源電力を前記チャンバ内に誘導結合するステッ
    プと、 を備える方法。
  118. 【請求項118】 前記被処理体は、前記処理によって
    エッチングされるべき酸素含有上層と、エッチングから
    保護されるべき非酸素含有下層と、を備えており、前記
    前駆ガスは、前記プラズマ中において、前記酸素含有層
    をエッチングするフッ素含有エッチャント種と前記非酸
    素含有下層の上に堆積する炭素含有ポリマ種とに解離す
    る、請求項117記載の方法。
  119. 【請求項119】 前記チャンバ内にフッ素掃去種源を
    提供するステップをさらに備える、請求項118記載の
    方法。
  120. 【請求項120】 前記フッ素掃去種源は、前記チャン
    バ内の固形物を含んでいる、請求項119記載の方法。
  121. 【請求項121】 前記フッ素掃去種源は、前記チャン
    バ内に導入されるガスを含んでいる、請求項119記載
    の方法。
  122. 【請求項122】 前記リアクタチャンバ内にエッチス
    トップ抑制ガスを供給するステップをさらに備える請求
    項119記載の方法。
  123. 【請求項123】 前記第2流量は、前記リアクタチャ
    ンバ内に供給される全ガスの全流量の少なくとも約0.
    50である、請求項117記載の方法。
  124. 【請求項124】 前記第2流量は、前記リアクタチャ
    ンバ内に供給される全ガスの全流量の少なくとも約0.
    70である、請求項117記載の方法。
  125. 【請求項125】 前記非反応性ガスは、(a)アルゴ
    ン、(b)ヘリウム、(c)ネオン、(d)キセノンの
    うちの一つを含んでいる、請求項117記載の方法。
  126. 【請求項126】 前記被処理体にRFバイアス電力を
    印加して、前記被処理体の非酸素含有表面上への網状ポ
    リマの堆積を抑えるのに十分なイオンエネルギを供給す
    るステップをさらに備える請求項118記載の方法。
  127. 【請求項127】 前記チャンバ内の表面の温度を制御
    して、前記被処理体上へのポリマ堆積と前記被処理体か
    らのポリマ除去との間に所望の配分を与えるステップを
    さらに備える請求項126記載の方法。
  128. 【請求項128】 前記エッチャントおよびポリマ前駆
    ガスは、低炭素含有エッチャントおよびポリマ前駆ガス
    と、高フッ素含有エッチャントおよびポリマ前駆ガスと
    を、前記被処理体上へのポリマ堆積と前記被処理体から
    のポリマ除去との間に所望の配分を与える割合で含んで
    いる、請求項118記載の方法。
  129. 【請求項129】 前記フッ素掃去種源は、固体のケイ
    素含有材料を含んでいる、請求項120記載の方法。
  130. 【請求項130】 前記フッ素掃去種源は、固体の炭素
    含有材料を含んでいる、請求項120記載の方法。
  131. 【請求項131】 前記フッ素掃去種源を加熱するステ
    ップをさらに備えている請求項120記載の方法。
  132. 【請求項132】 前記フッ素掃去種源にRFバイアス
    を印加するステップをさらに備えている請求項120記
    載の方法。
  133. 【請求項133】 RFバイアスを印加する前記ステッ
    プは、前記被処理体にRFバイアスを印加するステップ
    を含んでおり、これによりRFバイアスが前記被処理体
    から前記フッ素掃去種源に容量結合されるようになって
    いる、請求項132記載の方法。
  134. 【請求項134】 フッ素掃去種源を提供する前記ステ
    ップは、フッ素掃去剤含有ガスを前記チャンバ内に導入
    するステップを含んでいる、請求項121記載の方法。
  135. 【請求項135】 前記ガスは、シラン、テトラエトキ
    シシラン、ジエチルシラン、四フッ化ケイ素のうちの少
    なくとも一つを含むケイ素含有ガスである、請求項13
    4記載の方法。
  136. 【請求項136】 前記ガスは、シラン、純水素ガス、
    炭化水素ガス、メタン、フッ化水素、フルオロ炭化水素
    ガスのうちの少なくとも一つを含む水素含有ガスであ
    る、請求項134記載の方法。
  137. 【請求項137】 前記誘導結合は、約1010イオン毎
    立方センチメートルを超えるイオン密度を有する高密度
    プラズマを形成するのに十分なものである、請求項11
    7記載の方法。
  138. 【請求項138】 前記誘導結合は、約1011イオン毎
    立方センチメートルを超えるイオン密度を有する高密度
    プラズマを形成するのに十分なものである、請求項11
    7記載の方法。
  139. 【請求項139】 前記誘導結合は、約1010イオン毎
    立方センチメートルを超えるイオン密度を有する高密度
    プラズマを形成するのに十分なものである、請求項12
    3記載の方法。
  140. 【請求項140】 前記誘導結合は、約1011イオン毎
    立方センチメートルを超えるイオン密度を有する高密度
    プラズマを形成するのに十分なものである、請求項12
    3記載の方法。
  141. 【請求項141】 前記誘導結合は、約1010イオン毎
    立方センチメートルを超えるイオン密度を有する高密度
    プラズマを形成するのに十分なものである、請求項12
    4記載の方法。
  142. 【請求項142】 前記誘導結合が、約1011イオン毎
    立方センチメートルを超えるイオン密度を有する高密度
    プラズマを形成する、請求項124記載の方法。
  143. 【請求項143】 前記チャンバ内のガス圧を約20m
    Tを超える圧力に維持するステップをさらに備える請求
    項137記載の方法。
  144. 【請求項144】 前記チャンバ内のガス圧を約50m
    Tを超える圧力に維持するステップをさらに備える請求
    項137記載の方法。
  145. 【請求項145】 前記チャンバ内のガス圧を約70m
    Tを超える圧力に維持するステップをさらに備える請求
    項137記載の方法。
  146. 【請求項146】 前記フッ素掃去種源にRFバイアス
    を印加するステップと、前記フッ素掃去種源を加熱する
    ステップと、をさらに備えている請求項120記載の方
    法。
  147. 【請求項147】 プラズマリアクタチャンバ内で半導
    体被処理体を処理する方法であって、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを前記チャンバ内に供給するステップ
    と、 相対的に非反応性のガスを前記チャンバ内に供給するス
    テップと、 前記非反応性ガスの流量を前記チャンバ内に導入される
    全ガスの全流量の大きな分数に維持するステップと、 プラズマ源電力を前記チャンバ内に誘導結合して、10
    10イオン毎立方センチメートルを超える高イオン密度を
    有する誘導結合プラズマを生成するステップと、 を備える方法。
  148. 【請求項148】 プラズマリアクタチャンバ内で半導
    体被処理体を処理する方法であって、 少なくとも炭素およびフッ素を含むポリマおよびエッチ
    ャント前駆ガスを前記チャンバ内に供給するステップ
    と、 相対的に非反応性のガスを前記チャンバ内に供給するス
    テップと、 前記非反応性ガスの流量を前記チャンバ内に導入される
    全ガスの全流量の大きな分数に維持して、前記チャンバ
    の内側の圧力を約20mTを超える圧力に維持するステ
    ップと、 プラズマ源電力を前記チャンバ内に誘導結合するステッ
    プと、 を備える方法。
JP9288819A 1996-10-21 1997-10-21 高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス Withdrawn JPH10144663A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/733554 1996-10-21
US08/733,554 US6238588B1 (en) 1991-06-27 1996-10-21 High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process

Publications (1)

Publication Number Publication Date
JPH10144663A true JPH10144663A (ja) 1998-05-29

Family

ID=24948108

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9288819A Withdrawn JPH10144663A (ja) 1996-10-21 1997-10-21 高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス

Country Status (5)

Country Link
US (1) US6238588B1 (ja)
EP (1) EP0840365A3 (ja)
JP (1) JPH10144663A (ja)
KR (1) KR100498585B1 (ja)
TW (1) TW350976B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002526919A (ja) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション 誘電材料をプラズマ・エッチングする方法
JP2002533951A (ja) * 1998-12-29 2002-10-08 ラム リサーチ コーポレーション 誘導結合プラズマ処理システムにおける高アスペクト比サブミクロンコンタクトエッチング工程
WO2011071073A1 (ja) * 2009-12-10 2011-06-16 東京エレクトロン株式会社 静電チャック装置
JP2012119699A (ja) * 2005-12-13 2012-06-21 Tokyo Electron Ltd プラズマ処理方法、記憶媒体及びプラズマ処理装置

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5998838A (en) * 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6284149B1 (en) 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
EP1142001B1 (en) * 1998-11-20 2007-10-03 Steag RTP Systems, Inc. Fast heating and cooling apparatus for semiconductor wafers
US6168726B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6217786B1 (en) 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
JP2001308086A (ja) * 2000-04-18 2001-11-02 Nec Corp 膜形成方法
US6562189B1 (en) * 2000-05-19 2003-05-13 Applied Materials Inc. Plasma reactor with a tri-magnet plasma confinement apparatus
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
DE10136022B4 (de) * 2001-07-24 2006-01-12 Robert Bosch Gmbh Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
KR100489508B1 (ko) * 2002-06-10 2005-05-16 황정남 저온 플라즈마 발생 장치 및 방법
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7342236B2 (en) * 2004-02-23 2008-03-11 Veeco Instruments, Inc. Fluid-cooled ion source
US20060000358A1 (en) * 2004-06-29 2006-01-05 Rajat Agrawal Purification and delivery of high-pressure fluids in processing applications
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US7439521B2 (en) * 2005-02-18 2008-10-21 Veeco Instruments, Inc. Ion source with removable anode assembly
US7425711B2 (en) * 2005-02-18 2008-09-16 Veeco Instruments, Inc. Thermal control plate for ion source
US7566883B2 (en) * 2005-02-18 2009-07-28 Veeco Instruments, Inc. Thermal transfer sheet for ion source
US7476869B2 (en) * 2005-02-18 2009-01-13 Veeco Instruments, Inc. Gas distributor for ion source
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP2006351862A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
KR100808862B1 (ko) 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
US20090218315A1 (en) * 2008-02-28 2009-09-03 Steven Shannon Method and system for controlling center-to-edge distribution of species within a plasma
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
KR101927821B1 (ko) 2010-12-17 2019-03-13 맷슨 테크놀로지, 인크. 플라즈마 처리를 위한 유도 결합 플라즈마 소스
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
JP5750328B2 (ja) * 2011-07-20 2015-07-22 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9607809B2 (en) * 2013-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. High density plasma reactor with multiple top coils
US20140272108A1 (en) 2013-03-15 2014-09-18 Plasmability, Llc Toroidal Plasma Processing Apparatus
US20150099069A1 (en) * 2013-10-07 2015-04-09 AeonClad Coatings, LLC Technologies, Inc. Low-cost plasma reactor
US9396930B2 (en) * 2013-12-27 2016-07-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN107810542A (zh) 2015-05-21 2018-03-16 普拉斯玛比利提有限责任公司 具有成形工件夹具的环形等离子体处理装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
JP7112490B2 (ja) 2017-11-11 2022-08-03 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7229061B2 (ja) * 2019-03-26 2023-02-27 東京エレクトロン株式会社 基板のエッチング装置及びエッチング方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TWI816087B (zh) * 2020-02-19 2023-09-21 南韓商源多可股份有限公司 天線結構
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US20220208527A1 (en) * 2020-12-28 2022-06-30 Mattson Technology, Inc. Cooled Shield for ICP Source
JP7534235B2 (ja) * 2021-02-01 2024-08-14 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
CN113031409A (zh) * 2021-03-03 2021-06-25 苏州子山半导体科技有限公司 一种氧化钒热成像芯片制造中的聚酰亚胺光刻胶去除方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1550853A (en) 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS55154582A (en) 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6191377A (ja) 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4810935A (en) 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JPS62254428A (ja) 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
DE58904540D1 (de) 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5258824A (en) 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JP2519364B2 (ja) 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5392018A (en) 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
JP2635267B2 (ja) 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5187454A (en) 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US5164945A (en) 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
US5249251A (en) 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
JP3221025B2 (ja) 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
EP0552490A1 (en) 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP3253215B2 (ja) * 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
KR100333237B1 (ko) 1993-10-29 2002-09-12 어플라이드 머티어리얼스, 인코포레이티드 플라즈마에칭챔버내에서오염물질을감소시키는장치및방법
US5414246A (en) 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002526919A (ja) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション 誘電材料をプラズマ・エッチングする方法
JP2002533951A (ja) * 1998-12-29 2002-10-08 ラム リサーチ コーポレーション 誘導結合プラズマ処理システムにおける高アスペクト比サブミクロンコンタクトエッチング工程
JP2012119699A (ja) * 2005-12-13 2012-06-21 Tokyo Electron Ltd プラズマ処理方法、記憶媒体及びプラズマ処理装置
WO2011071073A1 (ja) * 2009-12-10 2011-06-16 東京エレクトロン株式会社 静電チャック装置
US8981263B2 (en) 2009-12-10 2015-03-17 Tokyo Electron Limited Electrostatic chuck apparatus
US9721822B2 (en) 2009-12-10 2017-08-01 Tokyo Electron Limited Electrostatic chuck apparatus

Also Published As

Publication number Publication date
KR19980033000A (ko) 1998-07-25
EP0840365A2 (en) 1998-05-06
US6238588B1 (en) 2001-05-29
TW350976B (en) 1999-01-21
EP0840365A3 (en) 2003-10-29
KR100498585B1 (ko) 2005-09-09

Similar Documents

Publication Publication Date Title
JPH10144663A (ja) 高圧非反応性希釈ガス高含有高プラズマイオン密度プラズマ酸化物エッチングプロセス
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6589437B1 (en) Active species control with time-modulated plasma
US6818140B2 (en) Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US5990017A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US8911589B2 (en) Edge ring assembly with dielectric spacer ring
US6365063B2 (en) Plasma reactor having a dual mode RF power application
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US8231799B2 (en) Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7431859B2 (en) Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US5707486A (en) Plasma reactor using UHF/VHF and RF triode source, and process
US6036877A (en) Plasma reactor with heated source of a polymer-hardening precursor material
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US7540971B2 (en) Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
US20060175015A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20080023443A1 (en) Alternating asymmetrical plasma generation in a process chamber
EP0849766A2 (en) Etch process
US20070254483A1 (en) Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050104