KR19980033000A - 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법 - Google Patents

플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법 Download PDF

Info

Publication number
KR19980033000A
KR19980033000A KR1019970053880A KR19970053880A KR19980033000A KR 19980033000 A KR19980033000 A KR 19980033000A KR 1019970053880 A KR1019970053880 A KR 1019970053880A KR 19970053880 A KR19970053880 A KR 19970053880A KR 19980033000 A KR19980033000 A KR 19980033000A
Authority
KR
South Korea
Prior art keywords
gas
chamber
fluorine
workpiece
plasma
Prior art date
Application number
KR1019970053880A
Other languages
English (en)
Other versions
KR100498585B1 (ko
Inventor
케네쓰 콜린스
데이비드 그로이첼
레이몬드 헝
마이클 라이스
제럴드 제야오 인
쟝 딩
천시 키
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980033000A publication Critical patent/KR19980033000A/ko
Application granted granted Critical
Publication of KR100498585B1 publication Critical patent/KR100498585B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법을 구체화한 것으로서, 이는 20mT 이하의 저압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 1 흐름 속도에서 상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와, 20mT 이상의 고압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 2 흐름 속도에서 상기 전조 가스의 제 1 흐름 속도와 결합하여 상기 챔버 내부로 비반응성 가스를 공급하는 단계와, 1010ions/㎤를 초과하는 이온 밀도를 갖는 고이온 밀도 플라즈마를 형성하기 위해 상기 챔버 내부로 플라즈마 전력 공급원을 인가시키는 단계를 포함한다. 본 발명의 하나의 응용예에서, 상기 공작물은 상기 공정에 의해 에칭되어질 산소 함유 상부층과 에칭으로부터 보호되어질 비 산소 함유 하부층을 포함하고 있으며, 상기 전조 가스는 상기 플라즈마를 상기 산소 함유 층을 에칭시키는 불소 함유 식각제 반응물과 상기 비 산소 함유 하부층 위로 축적되는 탄소 함유 중합체 반응물로 분리한다. 또한, 고압 범위는 유도성 안테나와 공작물 사이의 간극의 1/10을 초과하는 유도장의 표면 깊이에서의 압력으로 정의된다.

Description

플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법
본 발명은 고압 상태에서 높은 비반응성 희석 가스 함량을 갖는 고 플라즈마 이온 밀도의 플라즈마 산화 에칭 공정에 관한 것이다.
본 출원은 1996년 5월 13일 케네쓰 에스. 콜린스(Kenneth S. Collins et al.)에 의해 출원된 솔레노이드 안테나를 갖는 유도결합된 RF 플라즈마 반응기로 제목붙혀진 미국 특허 출원 제 08/648,254호의 일부 계속 출원으로서, 참고로 구체화된 하기에 기술된 계류중에 있는 미국 출원의 일부 계속 출원이다.
(a) 1991년 6월 27일 출원된 제 07/722,340호의 계속 출원인 1993년 4월 1일 출원된 제 08/041,796호의 계속으로서 1995년 12월 20일 케네쓰 에스. 콜린스에 의해 출원된 제 08/580,026호.
(b) 1993년 10월 15일 출원된 제 08/138,060호의 분할 출원인 마이클 라이스(Michael Rice et al.)에 의해 1995년 7월 18일 출원된 제 08/503,467호.
(c) (현재 포기된) 1992년 12월 1일 제 07/984,045호의 계속 출원인, 1994년 8월 11일 출원된 제 08/289,336호의 일부 계속 출원인, (현재 포기된) 1995년 8월 31일 출원된 제 08/521,668호의 일부 계속 출원인, 케네쓰 콜린스에 의해 1996년 2월 2일 출원된 제 08/597,577호. 또한, 발명의 명칭이 중합체 경화 건조 재료의 가열원을 갖는 플라즈마인 미국 특허 출원 케네쓰 에스. 콜린스에 의해 1996년 5월 13일 출원된 제 08/648,256호는 관련된 문제를 기술한다.
플라즈마 처리 챔버 특히, 고밀도의 플라즈마 처리 챔버에 있어서, RF 전력원은 처리 챔버내에서 플라즈마를 발생시키고 유지시키는데 사용된다. 상기 전술되어진 적용예에서 상세히 설명되어진 것처럼, 처리 조건에 의해 부과된 열 부하에 따라 변화하는 시간과 주위 조건에 변화하는 다른 시간과 관계없이, 처리 챔버내의 표면의 온도를 제어하는 것은 때때로 필요하다. 이는 용량성 결합 전극과 유도성 안테나로부터 유도 결합된 RF 전력을 통과하기 위한 윈도우를 갖는 반응기 챔버의 경우에 특히 유효하다. 윈도우/전극은 반도체 재료인, 일부 경우에 있어서 적절한 윈도우의 전기적인 특성을 얻기 위해 특정 온도 범위 내에서 윈도우/전극의 온도를 제어하는 것이 필요하다. 플라즈마를 발생시키고 유지시키기 위한 RF 전력원의 적용은 (RF 또는 마이크로파 전력원의 유도성 또는 전자석 결합에 사용되는 것과 같은)윈도우 또는 (RF 전력의 용량성 또는 정전기 결합, 또는 RF 전력의 용량성 또는 전자석 결합에 접지 또는 반환 통로를 종결하거나 제공하기 위해 사용되는 것과 같은) 전극을 포함하거나 또는 윈도우/전극의 결합을 위해 챔버내의 표면의 가열을 초래한다.
상기 전술한 모 출원에서, 챔버압과 관련하여 종래의 제한 사항을 극복하고, 반응기 챔버 천장위로 각각의 방사상 위치에서 다수의 솔레노이드 와인딩을 사용하여 전술한 문제점들을 극복하는 방법이 기술되어 있다. 전술한 바와 같이, (1011이온/㏄와 같은) 고이온 밀도 플라즈마 반응기(유도 결합된 RF 플라즈마 반응기와 같은)내의 챔버 압력은 챔버압력이 증가하는 플라즈마 전자 재결합 손실에 의해 제한된다. 상기 손실은 플라즈마 이온 분포 균일도를 증가시키는 전자 확산을 방지한다. 마이크로파 전자 사이클로트론 공명 플라즈마 반응기에서도 동일하게 적용된다. 유도 결합된 RF 플라즈마 반응기의 경우에 있어서, 일반적인 챔버 압력 범위는 1mT 내지 10mT이며, 20mT는 일반적인 범위를 초과한 것으로 간주된다. 유도장 표면 깊이가 상층 전극과 웨이퍼 사이의 간극의 1/10 보다 더 큰 고압 상태로 가정하면, 100mT는 명백하게 고압상태이다. 에칭 속도 및 에칭 선택도의 균일도는 일반적인 안테나 형상의 비균일도가 표면 깊이 증가량만큼 웨이퍼 표면에 보다 강하게 형성됨으로 인해 표면 깊이(또는 챔버압) 증가함에 따라 감소된다. 예를 들어, 75mT 내지 20mT로 챔버압이 감소하는 것은 웨이퍼를 가로질러 에칭 선택도 균일도를 증가시킨다. 따라서, 종래의 기술은 고밀도 플라즈마 반응기내에서 챔버압을 제한하였다.
상기 문제점들은 (폴리 실리콘, 실리콘, 실리콘 질화물 등과 같은) 비 산소 함유 층 아래에 실리콘 이산화물층의 플라즈마 에칭에 특히 민감하다. 이는 플라즈마내의 중합체 전조 가스 반응물로부터 증착된 중합체에 의해 하부층의 표면 안정을 필요로 하며, 실리콘 산소 결합이 하부 층내의 결합보다 강하기 때문이다. 상기 표면 안정화가 없다면, 하부의 비 산소 함유 층에 실리콘 이산화물의 에칭 선택도는 불충분하다. 공지되어진 바와 같이, 바람직한 처리 가스는 상기 가스가 식각제 반응물(불소)과 중합체 반응물에 모두 전조 가스이므로 불화 탄소 또는 불소-탄화수소를 포함한다. 선택도는 상기 압력이 보다 많은 중합체 전조 가스 반응물이 플라즈마 내에서 형성되도록 중합체 전조 가스 반응물의 순수 체류 시간을 증가시키므로, (챔버 진공 펌프 및 조절판 후면 펌프 속도를 감소시킴으로써) 챔버 압력을 증가시킴으로써 증가시킨다. (본문에 인지되어진 것처럼, 상기 용어 체류 시간은 특정 가스 반응물이며 반응기 가스가 챔버 내부로 공급된 흐름 속도에서 분할된 플라즈마 공급 전력 웨이퍼 또는 공작물과 (일반적으로 유도성 안테나인) 플라즈마 전력 적용기 사이에서 둘러싸여진 체적에 의해 곱해진 가스의 압력이다.) 상기 조건하에서, 보다 강한 중합체는 하부의 표면 안정층 위에 형성되는 경향이 있어, 에칭 선택도를 증가시킨다.
에칭되어질 실리콘 이산화물상에 보다 강한 중합체 형성을 저지하기 위해서는, 플라즈마내의 이온 에너지는 실리콘 이산화물 표면상에 중합체 증착을 극복하도록 (실리콘-산소 결합 에너지) 통상의 수치 이상으로 증가되어져야 한다. 그 결과, 공정 윈도우는 고이온 에너지가 에칭 방해를 방지하는데 요구되는 정도로 감소된다. 상기 어려움을 방지하기 위해서는, (챔버 진공 펌프 압력을 증가시킴으로써) 챔버압을 제한하는 것이 바람직하며, 압력의 증가에 의해 강화된 선택도를 제한한다. 따라서, 에칭 선택도와 에칭 방해의 기피 사이에는 임의의 교환이 발생한다.
챔버압을 제한함으로서 발생하는 문제점은 중합체 형성이 약해지는 것이다. 전술한 바와 같이, 높은 챔버 압력은 보다 강한 중합체를 형성하고, 역으로 낮은 챔버 압력은 보다 약한 표면 안정층을 생성하는 것이다. 중합체 강도의 결과적인 제한은 웨이퍼상의 사진 평판층에 예를 들어 감광성 내식막 마스크 페이스팅(photoresist mask faceting)으로 언급되는 현상에서 표시되어 있으며, 중합체 표면 안정층은 감광성 내식막 마스크층내의 접촉 개구의 엣지 둘레로 임의의 결점이 나타난다. 일반적으로, 상기 부면(facet)에서 실리콘 이산화물 대 감광성 내식막 선택도는 약 3: 1이다. 그 결과 에칭 공정시에 접촉 개구의 상층이 더 넓어져서, 개구의 직경이 제어될 수 없다. 상기 문제점은 감광서 내식막 위로 중합체 표면 안정층을 간화시키기 위해 챔버 압을 증가시킴으로써 감소되며, 이러한 압력의 증가는 웨이퍼 중심 부근에 에칭 방해를 방지하기 위해 플라즈마 이온 에너지의 대응 증가를 필요로 하며, 그 결과 공정 윈도우를 좁힌다. 따라서, 상기 문제점에는 해결책이 없는 것으로 보인다.
따라서, 본 발명의 목적은 에칭 방해 또는 챔버압의 증가와 관련하여 다른 단점이 발생됨을 방지하기 위해 플라즈마 이온 에너지의 증가를 필요로 하는 에칭 방해없이 감광성 내식막 부면 부근에 특히 중합체 표면 안정층을 강화하기 위한 것이다.
본 발명의 목적은 챔버 진공 펌프 후면을 조절하기 보다는 (불활성 가스와 같은) 비반응성 가스의 도입에 의해 고이온 밀도의 RF 플라즈마 반응기의 챔버압 증가는 에칭 방해의 위험으로 인한 오염 인자의 증가없이 특히, 감광성 내식막 부면 근처의 중합체 표면안정층의 강도를 증가시키는 것이다. 따라서, 본 발명은 불화탄소 또는 불화 탄화수소 가스와 같은 식각제 및 중합체 전조 가스가 챔버 진공 펌프 속도에서 대응 변화없이 챔버압을 증가시키기 위해 아르곤과 같은 불활성 가스로 희석되는 공정에서 구체화된다. 바람직하게, 식각제 및 전조 가스는 고압 상태 아래로 챔버압을 유지시키는 가스 흐름 속도에서 챔버로 공급되며, 비반응성 가스는 챔버압을 고압 상태로 상승시키기에 충분한 전조 가스의 흐름 속도와 결합한 흐름 속도에서 부가된다. 챔버 진공 펌프 후면의 조절을 제한함으로써, 챔버내의 중합체 전조 잔류 시간은 증가되지 못한다. 본 발명은 중합체 강도를 증가시키기 위해 챔버압을 증가시키는 것이며, 그 결과 실리콘 이산화물 표면에 에칭 방해 중합체 조성을 차단하기 위해 챔버내의 중합체 전조 가스의 체류 시간의 증가시키지 않고 감광성 내식막 부면을 감소시키는 것이다. 그 결과는 공정 윈도우내의 순수 증가를 초래하는 잇점을 갖는다.
도 1은 평면의 코일 안테나를 사용하여 설명한 계류중에 있는 미국 특허 출원에 사용되는 형태의 유도결합된 플라즈마 반응기의 측면 절단도.
도 2는 (수평 축이) 압력(torr)의 함수로서 (실선의) ㎝로 표시된 플라즈마의 유도장 표면 깊이와 (점선의) 전자-중화 탄성 충돌 평균 자유 통로 길이를 log-log로 표시한 그래프.
도 3A는 각각 A와 B로 표시된 곡선이 각각 외부 및 내부 코일 안테나에 의해 발생된 플라즈마 이온 밀도에 대응하는, 공작물 대 실링의 높이가 10.2㎝(4인치)인 도 1의 반응기내의 공작물 중심에 대해 방사상 위치의 함수로서 플라즈마 이온 밀도를 나타낸 그래프.
도 3B는 각각 A와 B로 표시된 곡선이 각각 외부 및 내부 코일 안테나에 의해 발생된 플라즈마 이온 밀도에 대응하는, 공작물 대 실링의 높이가 7.6㎝(3인치)인 도 1의 반응기내의 공작물 중심에 대해 방사상 위치의 함수로서 플라즈마 이온 밀도를 나타낸 그래프.
도 3C는 각각 A와 B로 표시된 곡선이 각각 외부 및 내부 코일 안테나에 의해 발생된 플라즈마 이온 밀도에 대응하는, 공작물 대 실링의 높이가 6.4㎝(2.5인치)인 도 1의 반응기내의 공작물 중심에 대해 방사상 위치의 함수로서 플라즈마 이온 밀도를 나타낸 그래프.
도 3D는 각각 A와 B로 표시된 곡선이 각각 외부 및 내부 코일 안테나에 의해 발생된 플라즈마 이온 밀도에 대응하는, 공작물 대 실링의 높이가 3.2㎝(1.25인치)인 도 1의 반응기내의 공작물 중심에 대해 방사상 위치의 함수로서 플라즈마 이온 밀도를 나타낸 그래프.
도 3E는 각각 A와 B로 표시된 곡선이 각각 외부 및 내부 코일 안테나에 의해 발생된 플라즈마 이온 밀도에 대응하는, 공작물 대 실링의 높이가 2.03㎝(0.8인치)인 도 1의 반응기내의 공작물 중심에 대해 방사상 위치의 함수로서 플라즈마 이온 밀도를 나타낸 그래프.
도 4A는 단일 3 차원의 중심 비평면 솔레노이드 와인딩을 사용한 플라즈마 반응기 부분의 측면 절단도.
도 4B는 솔레노이드 와인딩이 바람직하게 감겨 있는 방식을 나타낸 도 4A의 반응기 부분의 확대도.
도 4C는 도 4A의 플라즈마 반응기와 유사한 반구형의 실링을 갖는 절단도.
도 4D는 도 4A의 플라즈마 반응기와 유사한 원추형의 실링을 갖는 절단도.
도 4E는 도 4A의 플라즈마 반응기와 유사한 절단된 원추형 실링을 갖는 절단도.
도 5는 내부 및 외부 수직 솔레노이드 와인딩을 사용한 플라즈마 반응기의 측면 절단도.
도 6은 외부 와인딩이 편평한 도 5에 대응하는 플라즈마 반응기의 측면 절단도.
도 7A는 중심 솔레노이드 와인딩이 다수의 직립 원형 와인딩으로 구성된 도 4에 대응하는 플라즈마 반응기의 측면 절단도.
도 7B는 도 7A의 실시예의 제 1 실시예의 상세도.
도 7C는 도 7A의 실시예의 제 2 실시에의 상세도.
도 8은 내부 및 외부 와인딩이 다수의 직립 원형 와인딩으로 구성되는 도 5에 대응하는 플라즈마 반응기의 측면 절단도.
도 9는 내부 와인딩이 다수의 직립의 원형 와인딩으로 구성되고 외부 와인딩이 단일의 직립 원형 와인딩으로 구성되는 도 5에 대응하는 플라즈마 반응기의 측면 절단도.
도 10은 단일의 솔레노이드 와인딩이 최대의 플라즈마 이온 밀도 균일도를 위해 최적의 방사상 위치에서 위치된 플라즈마 반응기의 측면 절단도.
도 11은 솔레노이드 와인딩이 역전의 원추 형상인 도 4에 대응하는 플라즈마 반응기의 측면 절단도.
도 12는 솔레노이드 와인딩이 직립의 원추 형상인 도 4에 대응하는 플라즈마 반응기의 측면 절단도.
도 13은 솔레노이드 와인딩이 내부의 직립 원형 부분 및 외부 편평한 부분으로 구성되는 플라즈마 반응기의 측면 절단도.
도 14는 솔레노이드 와인딩이 반대의 원추형 부분 및 편평한 부분을 포함하는 도 10에 대응하는 플라즈마 반응기의 측면 절단도.
도 15는 솔레노이드 와인딩이 직립의 원추형 부분 및 편평한 부분을 포함하는 도 12에 대응하는 플라즈마 반응기의 측면 절단도.
도 16은 평면의 원추형 및 반구형의 실링 부재를 결합한 도면.
도 17A는 전기 가열기를 사용하고 각각 기울어진 실리콘 측면 벽을 도시한 도면.
도 17B는 전기 가열기를 사용하고 각각 기울어진 내부 및 외부 실리콘 부분을 도시한 도면.
* 도면의 주요 부분에 대한 부호의 설명 *
40 : 챔버 42 : 코일 안테나
44 : 와인딩 50 : 측벽
52 : 실링 56 : 공작물
64 : 가스 공급기 66: 하우징
70 : RF 전력 공급원 74 : 냉각판
75 : 원환체 79 : 온도 센서
본 발명의 하나의 실시 양태에 있어서, 챔버 내부로 공급된 가스는 다음과 같다 : 에칭에 유리한 식각제/중합체 전조제로서 CHF390㎤/min (SCCM), 중합 반응에 유리한 식각제/중합체 전조제로서 C4F810 sccm, 에칭 방지 억제제로서 CO216 sccm, 챔버 펌프 속도의 감소없이 챔버압을 증가시키기 위해 더해진 비반응물로서 아르곤 450 sccm(본 명세서에서 사용되어진 상기 용어 에칭 방지 억제제는 중합체 재료의 산화를 증진시키고 상기 재료의 사용은 과다한 중합체 증착을 개선하는 경향이 있는 CO, CO2, 및 O2를 함유한 가스증류를 의미하며, 그 결과 에칭 방지를 감소시키거나 막을 수 있다). 일반적으로, 챔버 내부로 공급된 비반응물(희석액) 가스에서 유량은 다양한 처리 변수에 따라 달라지는 챔버에 공급된 모든 가스의 전체 유량의 일부 부분이다.
바람직하게, 상기 공정은 다수의 솔레노이드 코일 안테나 특성물이 가장 균일한 플라즈마 이온 분포를 제공함으로 인해 전술한 모출원에 기술되어진 플라즈마 반응기 내에서 수행된다. 그러나, 대부분의 비반응성 희석 가스를 갖는 고압 상태에서 탄화 불소 화학내의 유도결합된 고밀도의 RF 플라즈마를 발생하는 동일한 공정이 절연체 실링 위로 평면의 코일 안테나를 갖는 비반응성 가스, 또는 도옴 형상의 실링 위로 절연체 원통형 또는 등강의 코일 둘레로 단일의 솔레노이드 안테나를 갖는 비반응성 가스와 같은 다른 반응기 내에서 수행된다.
본 발명의 공정은 비반응성 희석 가스를 원자 중량이 많거나 낮은 가스로 변화시킴으로써 조절된다. 예를 들어, 헬륨 또는 네온은 이온화하기 위해 크세논보다 보다 높은 플라즈마 전극 에너지를 필요로 하며, 크세논으로 낮은 평균 전자 온도 및 높은 전자 밀도를 갖는 플라즈마는 보다 많은 에칭 전조 반응물의 수율과 높은 에칭 속도를 제공한다. 역으로, 헬륨 또는 네온의 경우에서는, 에칭 속도는 더 낮은 반면 에칭 선택도는 더 높다. 우수한 에칭 속도와 우수한 에칭 선택도를 제공하는 아르곤과 같은 중간 원자 중량의 불활성 가스로 이상적인 균형이 이루어진다.
본 발명의 공정은 또한 실링의 온도로 조절된다. 예를 들어, 본 발명의 하나의 실시예에서, 실링은 중합체 응축 온도 부근의 고온으로 가열되어, 보다 많은 중합체가 웨이퍼의 표면 안정 표면상에 증착된다. 이러한 경우에 있어, 높은 챔버 압력에서 산화물 표면상에 에칭 방해을 방지하기 위해서는, 중합체 전조 가스의 희석은 실링 온도가 증가하는 것에 따라 증가되어져야 한다. 희석 가스 농도는 (바람직하게) 챔버 내에서 가스의 적어도 50% 또는 그 이상이 되어야 한다. 역으로, 실링이 중합체 응축 온도 이하로 냉각된다면, 희석 농도는 50% 수준 이하로 감소되어야 한다.
또 다른 조절은 중합 반응을 증가시키기 위해 (C4F8과 같은) 챔버내에 비교적 높은 탄소 함량 중합체/식각제 가스를 증가시키거나 또는 에칭 속도를 증가시키기 위해 (CHF3와 같은) 비교적 높은 분율의 높은 불소 농도 중합체/식각제 전조 가스를 증가시키는 것으로써, 중합 반응 속도를 증가 또는 감소시킨다. 높은 탄소 농도의 전조 가스 분율이 증가됨에 따라, (아르곤과 같은) 비반응성 희석 가스의 분율 및 (CO2와 같은) 에칭 방해 억제 가스는 산화물 표면상에 에칭 방해를 방지하기 위해 증가되어야 한다.
본 발명은 감광성 내식막에 특히, 감광성 내식막 부면에 산화물의 에칭 선택도에 두드러진 증가를 나타내며, (에칭시에 개구 크기가 증가하는) 부면이 감소하여, 따라서 임의의 치수의 더 큰 제어를 가져오는 잇점을 갖는다.
본 발명의 목적은 소형의 안테나 대 공작물 간극을 갖는 플라즈마 반응기에 있어서, 유도성 안테나 중심 영점에 대응하는 공작물의 중심 영역 부근에 플라즈마 이온 밀도의 감소를 최소화하기 위해서, 중심 영역에서 유도 전기장의 강도를 증가시키는 것이다. 본 발명은 안테나 대칭 축의 부근에 실링 위에 있는 유도성 코일의 회전을 집중시키고 상기 중심 영역내의 안테나와 플라즈마 사이의 자석 유량의 (RF 공급원 주파수에서) 변화 속도를 최대화함으로서 수행된다.
본 발명에 따라, 대칭축 둘레로 솔레노이드 코일은 상기 축 둘레로 유도성 코일 회전을 동시에 집중시키고 공작물 인접한 중심 영역내에서 안테나와 플라즈마 사이의 자석 유동 연결의 변화 속도를 최대화한다. 이는 중심 영역내에 플라즈마에 강한 유동 플러스 연결 및 밀접한 상호 결합 요구에 따라, 회전수가 많고 코일 반경이 작기 때문이다. (대조적으로, 종래의 평면의 코일 안테나는 외주부를 향해 밖으로 향하는 방사상 전력 분포를 누르고, 넓은 방사상 면적위로 유도장을 분포시킨다.) 본 명세서에서 인지되어진 것처럼, 솔레노이드 형의 안테나는 공작물 평면 또는 공작물 지지면 또는 상부의 챔버 실링과 관련하여 비평면 방식으로 분포되거나, (챔버내의 공작물 지지 받침대에 의해 정의된) 공작물 지지면에 횡단하여 다른 거리로 떨어지거나 상부의 챔버 실링에 횡단한 다른 거리에서 떨어진 다수의 유도성 부재를 가진다. 본 명세서에서 인지되어진 것처럼, 유도성 부재는 챔버내의 플라즈마 또는 안테나의 다른 유도성 부재로 상호 결합되는 유동 전달 부재이다.
본 발명의 바람직한 실시예는 중심부 부근에 하나의 솔레노이드와 외부 주변부 반경에서 다른 솔레노이드를 갖는 이중의 솔레노이드 코일 안테나를 포함한다. 두 개의 솔레노이드는 다른 RF 주파수 또는 동일 주파수에서 구동되며, 이러한 경우 바람직하게 상 잠금되며, 보다 바람직하게는 상기 유도장이 상호작용하는 방식으로 상 잠금된다. 내부 및 외부 솔레노이드 사이의 가장 큰 변위는 공작물 주변부에서 에칭 속도에 대해 공작물 중심에서 에칭 속도의 다양한 제어를 제공함으로 인해 바람직하다. 능숙한 작업자는 공작물을 가로질러 에칭 속도의 방사상 균일도를 최적화하기 위해 넓은 범위 또는 공정 윈도우를 얻기 위해 RF 전력원, 챔버압 및 (분자 및 불활성 가스의 적정비를 선택함으로써) 공정 가스 혼합물의 전자 소극성을 쉽게 변화시킨다. 바람직한 실시예의 각각의 내부 및 외부 솔레노이드 사이의 최대 공간은 다음과 같은 잇점을 제공한다.
(1) 최대 균일한 제어 및 조절.
(2) 하나의 솔레노이드와 다른 솔레이드와의 전장의 간섭을 방지하기 위해 내부 및 외부 솔레노이드 사이를 최대로 분리.
(3) 실링 온도 제어를 최적화하기 위한 온도 제어 부재용 (내부 및 외부 솔레노이드 사이의) 실링 위의 최대 공간.
도 4A는 짧은 공작물 대 실링 간극을 갖는 유도 결합된 RF 플라즈마 반응기의 단일 솔레노이드 실시예(바람직한 실시예는 아님)를 도시하고 있으며, 유도장의 표면 깊이가 간극 길이와 비슷함을 의미한다. 본 명세서에서 인지되어진 것처럼, 간극 길이와 유사한 표면 깊이는 간극 길이의 1/10 내지 10배이다.
도 5는 유도 결합된 RF 플라즈마 반응기의 이중의 솔레노이드 실시예를 예시하고 있으며, 본 발명의 바람직한 실시예이다. 이중의 솔레노이드 특성물을 제외하고, 도 4A 및 도 5의 실시예의 반응기 구조물은 거의 동일하며, 도 4A를 참조로 기술되어질 것이다. 이러한 반응기는 도 1과 유사한 원형의 챔버(40)를 포함하며, 도 4A의 반응기가 비평면 코일 안테나(42)를 가지며, 그 와인딩(44)은 안테나 대칭축(46) 부근에 비평면 형상으로 밀접하게 집중되어 있다. 예시된 실시예에서, 이러한 와인딩(44)은 대칭이며, 그 대칭축(46)은 챔버의 중심 축과 일치하며, 본 발명은 다르게 수행된다. 예를 들어, 상기 와인딩은 대칭이 아니며 그 대칭축은 일치하지 않는다. 그러나, 대칭 안테나의 경우에, 안테나는 챔버 중심 또는 공작물 중심과 일치하는 대칭 축(46) 부근에 방사상 패턴 영점을 갖는다. 중심 축(46)에 대해 와인딩(44)의 농도는 상기 영점으로 보정되며, 와인딩이 챔버 중심축(46)으로부터 각각의 최소 거리에 있도록 솔레노이드 방식으로 와인딩(44)을 수직으로 충적함으로써 수행된다. 이는 도 3D 및 도 3E에 참고로 기술되어진 것처럼, 플라즈마 이온 밀도가 짧은 공작물 대 실링 높이에서 가장 약한 챔버 중심축(46) 부근의 전류(I) 제품 및 코일 선회(N)를 증가시킨다. 그 결과, 비평면 코일 안테나(42)에 인가된 RF 전력은 웨이퍼 중심에서 (주변부 영역과 비교하여) -안테나 대칭축(46)에서- 보다 큰 유도 [d/dt][N·I]를 발생하여, 상기 영역에서 보다 큰 플라즈마 이온 밀도를 발생하여 최종적인 플라즈마 이온 밀도는 낮은 공작물 대 실링 높이에도 불구하고 보다 균일하다. 따라서, 본 발명은 공정 균일도의 희생없이 증가된 플라즈마 공정 성능의 실링 높이를 감소시키기 위한 방법을 제공하는 것이다.
도 4B는 도 4A의 실시예에 사용된 와인딩의 바람직한 시행을 도시하고 있다. 공작물(56)의 평면에 적어도 평행하기 위해서는, 바람직하게 통상의 나선형 방식으로 감겨지지 않고, 대신에 각각의 회전이 (하나의 수평면으로부터 다음 면에 이르기까지) 회전 사이의 단계 또는 전이(44a)에서 제외된 공작물(56)의 (수평) 평면에 평행하도록 감겨 있다.
원형 챔버(40)는 일체식으로 측벽(50)으로 형성된 원형의 측벽(50)과 원형의 실링(52)으로 구성되어 상기 측벽(50) 및 실링(52)이 실리콘과 같은 단일의 재료로 구성된다. 그러나, 본 발명은 후술되어질 각각의 부분으로 형성되는 측벽(50) 및 실링(52)으로 수행된다. 원형의 실링(52)은 평면(도 4A), 반구형(도 4C), 원추형(도 4D), 절단 원추형(도 4E), 원형 또는 회전 형상 또는 곡선과 같은 적절한 횡단면 형상이다. 상기 결합은 이후에 설명되어질 것이다. 일반적으로, (수평 너비에 의해 분할된 수직 높이인) 솔레노이드(42)의 수직의 피치는 반구형, 원추형,절단 원추형 등과 같은 3차원 표면을 한정하는 실링용에서도 실링(52)의 수직 피치를 능가한다. 바람직한 실시예에서 본 발명의 목적은 본 명세서에서 이전에 기술되어진 것처럼, 안테나 대칭축 부근에 안테나의 도입을 집중시키는 것이다. 실링의 피치를 초과하는 피치를 갖는 솔레노이드는 비 등각의 솔레노이드로 언급되며, 일반적으로 그 형상은 실링의 형상과 일치하지 않으며, 더욱이 특히 수직의 피치는 실링의 수직 피치를 초과한다. 2차원 또는 평면의 실링은 제로의 수직의 피치를 가지며, 3차원의 실링은 제로가 아닌 수직 피치를 갖는다.
챔버(40)의 바닥에서 받침대(54)는 처리시에 공작물 지지면내에 평면의 공작물(56)을 지지한다. 공작물(56)은 일반적으로 반도체 웨이퍼이며 공작물 지지면은 웨이퍼 또는 공작물(56)의 평면이다. 챔버(40)는 챔버(40)의 하부 부분을 둘러싸는 펌프 작용 고리(60)에 환형의 통로(58)를 통해 (도면에 도시되지 않은) 펌프에 의해 진공화된다. 펌프 작용 고리의 내부는 교체가능한 금속 라이너(60a)로 정열한다. 환형의 통로(58)는 받침대(54)를 둘러싼 원형의 측벽(50)과 평면 링(62)의 바닥 엣지(50a)에 의해 제한된다. 공정 가스는 다양한 가스 공급물의 하나 또는 전부를 통해 챔버(40) 내부로 공급된다. 공작물 중심 부근의 공정 가스 흐름을 제어하기 위해, 중심 가스 공급기(64a)는 공작물(56)의 중심(또는 공작물 지지면의 중심)을 향해 실링(52)의 중심을 통해 하향으로 연장될 수 있다. 공작물 주변부 부근(또는 공작물 지지면의 외주부 부근)의 가스 흐름을 제어하기 위해서는, 중심 가스 공급기(64a)와는 관계없이 제어될 수 있는 다수의 방사상 가스 공급기(64b)는 측벽(50)으로부터 공작물 주변부를 향해(또는 공작물 지지면 외주부를 향해) 방사상으로 내부로 연장하거나, 또는 기저 축선 가스 공급기(64c)는 받침대(54) 부근으로부터 공작물 외주부까지 하향으로 연장할 수 있거나, 또는 실링 축선 가스공급기(64d)는 실링(52)으로부터 공작물 외주부를 향해 하향으로 연장할 수 있다. 공작물 중심과 외주부에서 각각의 속도는 각각 중심 가스 공급기(64a)와 외부 가스 공급기(64b-d)중의 하나를 통해 공작물 중심과 외주부를 향해 공정 가스 흐름 속도를 제어함으로써 공작물을 가로지르는 보다 방사상으로 균일한 에칭 속도 분포를 이루기 위해 서로 관련되어 독립적으로 조절될 수 있다. 본 발명의 이러한 특성물은 중심 가스 공급기(64a)와 외주부 가스 공급기(64b-d)의 하나와 함께 수행될 수 있다.
솔레노이드 코일 안테나(42)는 중심 가스 공급기(64)를 둘러싼 하우징(66) 둘레로 감겨진다. 플라즈마 소오스 RF 공급원(68)은 코일 안테나(42)를 가로질러 연결되며 바이어스 RF 전력 공급원(70)은 받침대(54)에 연결된다.
실링(52)의 중심 영역에 총괄적인 코일 안테나(42)의 제한은 비워진 실링(52)의 대부분의 상층 표면을 남겨두어 상기 부분을 통해 연장하는 냉각제 통로(74a)로 구리 또는 알루미늄으로 형성되는 텅스텐 할로겐 램프 및 수냉식 냉각판(74)과 같은 다수의 방사상 가열기(72)를 포함한 온도 제어 장치와 직접적으로 접촉할 수 있다. 바람직하게 냉각제 통로(74a)는 안테나 또는 솔레노이드(42)가 전기적으로 부하의 떨어짐을 방지하기 위해 낮은 전기 전도성을 가지나 높은 열 전도성을 갖는 다양한 냉각제를 갖는다. 냉각판(74)은 실링(52)에 일정한 냉각을 제공하며 복사 가열기(72)의 최대 전력은 반응성을 용이하게 하고 실링(52)의 안정된 온도 제어를 위해 냉각판(74)에 의해 냉각을 압도할 수 있도록 선택된다. 가열기(72)에 의해 비춰진 대형의 실링 영역은 보다 균일하고 효율적인 온도 제어를 제공한다. (본 발명을 수행하는데 복사 가열이 꼭 필요하지는 않으나, 본 명세서에서 후술되어질 것처럼 당업자들은 전기 가열 부재를 사용할 수 있도록 선택된다.) 1996년 2월 2일 케네스 에스. 콜린스등에 의해 출원된 미국 특허 출원 제 08/597,577호에 기술되어진 실링(52)이 실리콘이라면, 실링을 가로질러 온도 제어를 균일하고 효율적으로 증대할 수 있다. 특히, 중합체 전조 및 (부화탄소 가스와 같은) 식각제 전조 공정 가스가 사용되며, (불소와 같은) 식각제는 배출되어야 하며, 전체 실링(52)을 가로지르는 중합체 증착 속도 및 플라즈마 내부로 불소 식각제 배출 재료(실리콘)을 공급하는 실링(52)의 속도는 온도 제어 가열기(72)와 실링(52)의 접촉 영역을 증가시킴으로써 보다 제어될 수 있다. 솔레노이드 안테나(42)는 솔레노이드 와인딩(44)이 실링(52)의 중심축에 집중됨으로 인해 실링(52) 상에 이용가능한 접촉 영역을 증가시킨다.
열 접촉을 위한 실링(52)상에 이용가능한 영역의 증가는 (알루미늄 질화물, 알루미늄 산화물, 실리콘 질화물과 같은 세라믹 또는 도핑처리되거나 도핑 처리되지 않은 비세라믹형의 실리콘 또는 실리콘 탄화물 세라믹으로 형성된) 높은 열 도전성 원환체(75)에 의해 바람직하게 시행되며, 상기 원환체의 바닥 표면은 실링(52) 상에 놓이며 상층 표면은 냉각판(74)을 지지한다. 원환체(75)의 하나의 특성은 솔레노이드(42)의 상층위에 냉각판(74)이 위치한다는 것이다. 이러한 특성은 냉각판(74)의 도전성 평면 부근으로부터 솔레노이드(42)에 이르기까지 초래하는 솔레노이드(42)와 플라즈마 사이의 유도성 결합의 감소를 완화시키거나 제거시킨다. 유도성 결합의 감소를 방지하기 위해서는, 냉각판(74)과 솔레노이드(42)의 상층 와인딩 사이의 거리를 솔레노이드(42) 전체 높이의 적어도 일부분(예를 들어, 1/2)이 되는 것이 바람직하다. 원환체(75)를 통해 연장하는 다수의 축선 구멍(75a)은 두 개의 동심원을 따라 이격되며 다수의 복사 가열기 또는 램프(72)를 고정하고 상기 가열기 또는 램프가 직접적으로 실링(52)을 비추도록 한다. 가장 큰 램프 효율을 위해, 구멍 내부 표면은 (알루미늄과 같은) 반사층으로 정열된다. 도 4의 중심 가스 공급기(64a)는 특정 반응기 설계 및 공정 조건에 따라 (도 5에 도시되어진 것처럼) 복사 가열기(72)에 의해 교체된다. 실링의 온도는 램프 가열기(72)에 의해 사용되지 않은 구멍(75a)중의 하나를 통해 연장하는 열전지(76)와 같은 센서에 의해 감지된다. 붕소 질화물로 포화된 실리콘 고무와 같은 높은 열 도전성 탄성체(73)는 세라믹 원환체(75)와 구리 냉각판(74) 사이와 세라믹 원환체(75)와 실리콘 실링(52) 사이에 위치된다.
전술한 계류중의 출원에 기술되어진 것처럼, 챔버(40)는 모든 반도체 챔버내에 있으며, 이러한 경우 실링(52) 및 측벽(50)은 실리콘 또는 실리콘 탄화물과 같은 반도체 재료이다. 전술한 계류중의 출원에 기술되어진 것처럼, 실링(52) 또는 벽(50)에 적용된 RF 바이어스 전력의 온도 제어는 플라즈마 내부로 불소 소기 전조 재료(fluorine scavenger precursor material)(실리콘)를 공급하는 정도와 중합체로 피복하는 정도로 조절한다. 실링(52) 재료는 실리콘으로 제한되지 않으며, 대신에 실리콘 탄화물, 실리콘 이산화물(석영), 실리콘 질화물 또는 세라믹일 수 있다.
전술한 계류중인 출원에 기술되어진 것처럼, 챔버 벽 또는 실링(50,52)은 불소 소기 재료의 공급원으로 사용될 필요가 없다. 대신에, 처분가능한 실리콘 부재가 챔버(40) 내부에 위치될 수 있으며, 상기 챔버위에 중합체 응축을 방지하기 위해 충분히 높은 온도에서 유지된다. 이러한 경우에 있어서,벽(50) 및 실링(52)은 반드시 실리콘일 필요는 없으나, 모두 실리콘일 경우에는 소모됨을 방지하기 위해 플라즈마로부터 중합체로 덮혀 있도록 처분가능한 실리콘 부재는 중합체 응축 온도 (또는 중합체 응축 RF 바이어스 최저 한계치) 아래 또는 그 부근의 온도(또는 RF 바이어스)에서 유지된다. 처분가능한 실리콘 부재가 임의의 적절한 형상을 취하고 있는 반면에, 도 4의 실시에에서 처분가능한 실리콘 부재는 받침대(54)를 둘러싼 환형의 링(62)이다. 바람직하게, 환형의 링(62)은 고순도의 실리콘이며 전기 또는 광학 특성을 개선하기 위해 도핑처리된다. (불소 소기용 플라즈마 내부로 실리콘 재료가 기여하는) 플라즈마 공정내의 바람직한 관련되도록 보장하기 위해 충분한 온도에서 실리콘 링(62)을 유지하기 위해서, 환형의 링(62) 아래의 원 내부에 배열된 (텅스텐 할로겐 램프와 같은) 다수의 복사 가열기(77)는 석영 윈도우(78)를 통해 실리콘 링(62)을 가열시킨다. 전술한 계류중의 출원에서 기술한 것처럼, 상기 가열기(77)는 광학 고온계 또는 불소 광학 탐침과 같은 온도 센서(79)에 의해 감지되는 실리콘 링(62)의 측정된 온도에 따라 제어된다. 센서(79)는 링(62)내의 매우 깊은 구멍(62a) 내부로 부분적으로 연장하며, 상기 구멍의 깊이와 협소함은 실리콘 링(62)의 열 방사율의 온도에 따른 변동을 적어도 부분적으로 차폐하는 경향이 있어, 보다 신뢰성있는 온도 측정에 복사체와 같이 행동한다.
미국 특허 제 08/597,577호에 기술되어진 것처럼, 모든 반도체 챔버의 잇점은 플라즈마가 예를 들어 금속과 같은 재료를 생산하는 오염 인자와 자유롭게 접촉한다는 것이다. 이러한 목적으로, 환형의 개구(58)에 인접한 플라즈마 제한 자석(80,82)은 펌핑 고리(60) 내부로 플라즈마 흐름을 방지하거나 감소시킨다. 펌핑 고리(60)에 유입하는데 성과를 거둔 임의의 중합체 전조 및 활성화물에서, 교체가능한 내부 라이너(60a)상에 증착된 임의의 최종적인 중합체 또는 오염 인자는 전술한 계류중의 출원에서 기술되어진 것처럼 중합체 온도 이하의 온도에서 라이너(60a)를 유지시킴으로써 플라즈마 챔버(40)로 재유입됨을 방지한다.
펌핑 고리(60)의 외부 벽을 통한 웨이퍼 슬릿 밸브(84)는 웨이퍼 입구 및 출구를 수용한다. 챔버(40)와 펌핑 고리(60) 사이의 환형의 고리(58)는 웨이퍼 슬릿 밸브(84)에 인접하여 대형이며 비대칭 펌프 포오트 위치와 보다 대칭인 챔버 압력 분포를 이루기 위해 원형의 측벽(50)의 바닥 엣지의 경사를 이용하여 반대 측면상에 가장 소형이다.
챔버 중심 축(46) 부근의 최대의 인덕턴스는 수직으로 충적된 솔레노이드 와인딩(44)에 의해 성취된다. 도 4의 실시예에서, 하부 솔레노이드 와인딩(44a)의 수평면을 제외한 수직의 와인딩 스택(44)의 외부에 또 다른 와인딩(45)이 하부 솔레노이드 와인딩(44a)에 인접한 추가의 와인딩(45)이 제공되어 추가된다.
도 5의 바람직한 이중의 솔레노이드 실시예를 참조하면, (열 도전성 원환체(75)의 외부 원주 표면에 대한) 외부 위치에서 와인딩(122)의 제 2 외부 수직 스택 또는 솔레노이드(122)는 솔레노이드 와인딩(44)의 내부 수직 스택으로부터 방사상 거리 δR만큼 위치된다. 도 5에서, 중심부에 내부 솔레노이드 안테나(42)와 외주부에 외부 솔레노이드 안테나(120)의 제한은 도 4A에서와 같이, 온도 제어 장치(72,74,75)와 직접적으로 접하여 이용가능한 실링(52)의 상층 표면의 대부분을 남겨둔다. 실링(52)과 온도 제어 장치 사이의 대형의 표면적 접촉이 실링(52)의 보다 효율적이고 보다 균일한 온도 제어를 제공하는 잇점을 갖는다.
측벽 및 실링이 12.6인치(32㎝)의 내부 직경을 갖는 단일 실리콘 부분으로 형성되는 반응기에 있어서, 웨이퍼 대 실링의 간극은 3인치(7.5㎝)이며, 내부 솔레노이드의 평균 직경은 3.75인치(9.3㎝)인 반면, 외부 솔레노이드의 평균 직경은 0.03 두께의 테프론 절연층으로 덮혀진 공동의 구리 튜브의 직경이 3/16을 사용하여 10인치(25.4㎝)이며, 각각의 솔레노이드는 4번의 회전으로 구성되며 1인치(2.54㎝)의 높이를 갖는다. 외부 스택 또는 솔레노이드(120)는 제 2 제어가능한 플라즈마 소오스 RF 전력 공급원(96)에 의해 활성화된다. 상기 목적은 웨이퍼 표면을 가로질러 공지된 처리 비균일도에 보상을 허용하는 공작물 또는 웨이퍼(56)에 대한 다른 방사상 위치에서 적용되어질 사용자가 선택할 수 있는 다른 플라즈마 공급원 전력 수치를 허용하는 것으로 많은 잇점을 갖는다. 각각 제어가능한 중심 가스 공급기(64a)와 외주부 가스 공급기(64b-d)를 결합하여, 공작물 중심에서 에칭 성능은 외부 솔레노이드(90)에 적용된 전력과 관련하여 내부 솔레노이드(42)에 적용된 RF 전력을 조절하거나 외부 가스 공급기(64b-d)를 통해 흐름 속도에 대해 중심 가스 공급기(64a)를 통해 가스 흐름 속도를 조절함으로써 엣지에서 에칭 성능에 대해 조절될 수 있다. 본 발명이 전술한 바와 같이 인덕턴스 전기장 내에 중심이 없거나 파여진 문제점을 해결하거나 개선하는 반면, 다른 플라즈마 처리 비균일성 문제점이 있으며, 이는 내부 및 외부 안테나에 적용된 상대적인 RF 전력 수치를 조절함으로서 도 5의 다양한 실시예에서 보정될 수 있다. 편의성을 갖도록 이러한 목적에 영향을 미치기 위해서는, 내부 및 외부 솔레노이드(42,90)의 각각의 RF 전력 공급원(68,96)은 내부 및 외부 솔레노이드(42,90)의 전기장 사이의 고정된 상 관계를 보존하는 동안 사용자가 내부 및 외부 솔레노이드(42,90) 사이의 동력의 상대적인 배분을 변화시키도록 허용하는 공용 동력 공급기(97a)와 동력 스플리터(97b)에 의해 교체된다. 이는 두 개의 솔레노이드(42,90)가 동일한 주파수에서 RF 전력을 수용하는 경우에 특히 중요하다. 다른 경우에 있어, 두 개의 독립된 동력 공급원(68,96)이 사용될 경우에, 다른 RF 주파수에서 동력을 받으며, 이러한 경우에 두 개의 솔레노이드 사이의 결합으로부터 주파수 피드백을 방지하기 위해 각각의 RF 전력 공급원(68,96)의 출력에서 RF 필터를 설치하는 것이 바람직하다. 이러한 경우에, 주파수 차이는 두 개의 솔레노이드 사이의 시간 평균을 내기에 충분하며, RF 필터의 반대 대역폭을 초과해야 한다. 바람직한 선택 사항은 각각의 솔레노이드에 필적하는 독립적으로 반향하는 각각의 주파수를 설정하는 것이며, 각각의 주파수는 종래의 임피던스 매칭 기술 대신에 플라즈마 임피던스내의 변화를 따르도록 변화된다(그 결과, 공명이 유지된다). 즉, 안테나에 적용된 RF주파수는 챔버내의 플라즈마의 임피던스에 의해 로딩되어진 것처럼 안테나의 공명 주파수를 따르도록 구성되어 있다. 이러한 실행에서, 두 개의 솔레노이드의 주파수 범위는 상호 배타적이다. 또한, 두 개의 솔레노이드는 동일한 RF 주파수에서 구동되며, 이러한 경우에 두 개의 솔레노이드 사이의 상 관계는 두 개의 솔레노이드 전장의 구조적인 상호 작용 및 중첩을 초래하는 것이 바람직하다. 일반적으로, 이러한 요구량은 동일한 방향으로 감긴다면, 두 개의 솔레노이드에 적용된 신호 사이의 제로 상의 각도에 의해 충족된다. 그렇지 않고, 반대로 감겨지면 상 각도는 바람직하게 180℃이다. 어떠한 경우에, 내부 및 외부 솔레노이드 사이의 결합은 본 명세서에서 하기에 설명되어질 것처럼, 내부 및 외부 솔레노이드(42,90) 사이의 비교적 대형의 공간을 가짐으로써 축소되거나 제거된다.
상기 조절로 인해 얻어지는 범위는 내부 및 외부 솔레노이드(42,90) 사이의 간격을 증가시키도록 하기 위해 외부 솔레노이드(90)의 반경을 증가시킴으로써 증가되어, 두 개의 솔레노이드(42,90)의 작용이 각각 공작물 중심 및 엣지에 보다 국한된다. 이는 두 개의 솔레노이드(42,90)의 작용을 첨가하기 위한 커다란 제어 범위를 허용한다. 예를 들어, 내부 솔레노이드(42)의 반경은 공작물 반경의 절반보다 적으며, 바람직하게 공작물 반경의 1/3이다. (내부 솔레노이드(42)의 최소 반경은 솔레노이드(42)를 형성하는 반도체의 직경에 의해 부분적으로 영향을 받으며, 인덕턴스를 발생하기 위해 아치형의 --예를 들어, 원형의-- 전류 통로의 한정된 비 제로 원주를 제공하기 위한 필요성에 의해 부분적으로 영향을 받는다.) 외부 코일(90)의 반경은 적어도 공작물의 반경과 동일하며, 바람직하게 공작물 반경의 1.2배 또는 그 이상이다. 상기 배치로 인해, 내부 외부 솔레노이드(42,90)의 중심 및 엣지 효과가 표명되어 내부 솔레노이드에 전력을 증가시킴으로써, 챔버 압력은 수백mT로 상승되어 균일한 플라즈마를 제공하며, 외부 솔레노이드(90)에 전력을 증가시킴으로써, 챔버 압력은 0.01mT 정도로 감소되어 균일한 플라즈마를 제공한다. 대형의 외부 솔레노이드(90) 반경의 커다란 잇점은 내부 및 외부 솔레노이드(42,90)사이의 결합을 최소화한다는 것이다.
도 5는 대형의 챔버 직경으로 바람직한, 선택 사항으로 부가되는 제 3 솔레노이드를 점선으로 도시하고 있다.
도 6은 외부 솔레노이드(90)가 평면 와인딩(100)에 의해 교체되는 도 5의 실시예의 변형을 도시하고 있다.
도 7A는 도 4A의 실시예의 변형을 나타낸 것으로 중심 솔레노이드 와인딩은 두 개의 스택이 이중으로 감겨진 솔레노이드(106)를 구성하도록 와인딩(44)의 수직 스택(42)과 또한 제 1 스택(42)에 근접하여 인접한 와인딩(104)의 제 2 수직 스택(102)을 포함한다. 도 7B를 참조하면, 이중으로 감겨진 솔레노이드(106)는 독립적으로 감겨진 두 개의 단일 솔레노이드(42,102)로 구성되며, 내부 솔레노이드(42)는 와인딩(44a,44b)으로 구성되고 외부 솔레노이드(102)는 와인딩(104a,104b)로 구성된다. 또한 도 7C를 참조하면, 이중으로 감겨진 솔레노이드(106)는 수직으로 충적된 적어도 한 쌍의 공동 평면 와인딩으로 구성된다. 도 7C의 대안에서, (상기 쌍(44a,104a 또는 상기 쌍 44b, 104b인) 각각의 공동 평면 쌍은 헬리컬 형상으로 감겨진 단일 반도체에 의해 형성된다. 상기 용어이중으로 감겨진은 도 7B 또는 도 7C에 도시된 형태의 와인딩을 언급하고 있다. 게다가, 솔레노이드 와인딩은 단순히 이중으로 감겨진 것이 아니라 3중 내지 그 이상으로 감겨져 있거나, 일반적으로 대칭축을 따라 각각의 평면에 다수의 와인딩으로 구성된다. 상기 다수 감겨있는 솔레노이드는 도 5의 이중의 솔레노이드 실시예의 내부 및 외부 솔레노이드(42,90) 하나 또는 모두에 사용된다.
도 8은 도 7A의 실시예의 변형을 나타낸 것으로, 내부로 이중으로 감겨진 솔레노이드(106)와 동심인 외부로 이중으로 감겨진 솔레노이드(110)는 내부 솔레노이드(106)로부터 방사상 위치δR에 위치된다.
도 9는 도 8의 실시예의 변형을 나타낸 것으로, 외부로 이중으로 감겨진 솔레노이드(110)는 도 5의 실시예에서 사용된 외부 솔레노이드에 대응하는 통상의 외부 솔레노이드(112)에 의해 교체된다.
도 10은 도 5의 솔레노이드(42)가 중심 가스 공급 하우징(66)으로부터 방사상 위치δR에 의해 옮겨지는 위치에서 위치되는 또 다른 바람직한 실시예를 도시하고 있다. 도 4의 실시예에서, δR은 제로인 반면에 도 10의 실시예에서, δR은 원형 측벽(50)의 반경 분율이다. 도 10에 예시된 정도에 δR의 증가량은 도 3D 및 도 3E를 참조하여 기술된 플라즈마 이온 밀도에 토상의 중심 침하 이외에도 비 균일성을 보정하기 위한 도4, 도4, 도 7 및 도 8의 실시예의 대안으로 유용하다. 유사하게, 도 10의 실시예는 (도 4에서와 같이) 챔버 중심 축(46)으로부터 최소 거리에서 솔레노이드(42)를 위치시키는 것은 웨이퍼(56)의 중심부 부근에서 플라즈마 이온 밀도를 증가시켜 플라즈마 공정 가동에서 또 다른 비균일성을 발생하는 것이 유용하다. 이러한 경우에 있어서, 도 10의 실시예는 δR가 플라즈마 이온 밀도에 가장 큰 균일성을 제공하는 최적치를 선택하는 것이 바람직하다. 이상적으로, 이러한 경우에 있어서 δR는 플라즈마 이온 밀도에서 통상의 중심 강하의 하한-보정 및 상한-보정을 방지하기 위해 선택된다. δR에 대한 최적치의 결정은 다른 방사상 위치에서 솔레노이드(42)를 위치시키고 각각의 단계에서 플라즈마 이온 밀도의 방사상 프로파일을 결정하기 위한 종래의 기술을 사용하며 시행착오에 의해 능숙한 작업자에 의해 수행될 수 있다.
도 11은 솔레노이드(42)가 반전된 원추형상이며, 도 12는 솔레노이드(42)가 직립의 원추형상인 실시예를 도시하고 있다.
도 13은 솔레노이드(42)가 평면의 헬리컬 형상의 와인딩(120)으로 결합된 실시예를 도시하고 있다. 평면의 헬리컬 형상의 와인딩은 솔레노이드 와인딩(42)이 중심으로부터 다소 떨어진 RF 전력의 일부를 분포시킴으로써 공작물의 중심부 부근에 유도장을 집중시킴으로 인한 피해의 감소 효과를 갖는다. 이러한 특성은 통상의 중심 부재의 상한 보정을 방지하기 위해 필요한 경우에 유용하다. 중심으로부터 떨어진 유도장의 전환 정도는 평면의 헬리컬 형상의 와인딩(120)의 반경과 상응한다. 도 14는 도 13의 실시예의 변형을 도시한 것으로 솔레노이드(42)는 도 11에서와 같이 반전의 원추 형상을 갖는다. 도 15는 도 13의 실시예의 변형을 도시한 것으로 솔레노이드(42)는 도 12의 실시예에서와 같이 직립의 원추 형상을 갖는다. 실링(52) 위의 RF 바이어스 전위는 실링에 연결된 RF 전력 공급원으로부터 직접적으로 적용된다. 부가적으로, RF 바이어스 전위는 챔버내의 또 다른 전극으로부터 용량성의 결합에 의해 실링(52)에 간접적으로 적용된다. 예를 들어, 웨이퍼 받침대에 직접적으로 인가된 RF 바이어스 전력은 실링을 전기 용량으로 결합시킨다. 이러한 경우에 있어서, 실링(52) 위의 RF 전위는 (공작물 또는 측벽과 같은) 챔버의 다른 전극에 대해 효과적인 용량성 전극 영역을 감소시킴으로써) 실링위의 중합체 증착을 방지하기 위해 증가된다. 도 16은 외부 고리(200)상에 실링(52')의 소형 영역 변형을 지지함으로써 어떻게 수행될 수 있는지를 예시하고 있으며, 상기 고리로부터 소형 영역의 실링(52')이 절연된다. 상기 고리(200)는 실링(52')으로 동일한 재료(실리콘)로 형성되며, (직선으로 나타낸) 절두 원추형 또는 (점선으로 나타낸) 절두 반구형일 것이다. 각각의 RF 전력 공급원(205)은 보다 많은 공작물 중심 대 엣지 공정 조절량을 허용하기 위해 고리(200)에 연결된다. 또 다른 전극으로부터 용량성 결합을 통해 RF 바이어스 전력의 간접적인 적용은 실링을 치우치게 하고 처분가능한 실리콘 부재(62) 또는 챔버내의 임의의 실리콘 함유 물품을 치우치게 하는데 사용된다. 이러한 간접적인 RF 바이어스는 각각의 RF 바이어스 공급원에 직접적인 연결과 결합하거나 직접적 연결 대신에 사용된다.
도 17A는 도 5의 실시예의 변형예를 도시한 것으로 실링(52) 및 측벽(50)은 엣지에 대해 중심 에칭 속도와 선택도위로 제어를 강화하기 위해 각각의 RF 공급원(210,212)으로부터 반도체 부분에 인가된 각각 제어된 RF 바이어스 전력 수치를 갖는 서로 절연된 각각의 반도체(실리콘) 부분이다. 1996년 2월 2일 케네쓰 에스. 콜린스에 의해 출원된 미국 특허 출원 제 08/597,577호에 개시되어진 것처럼, 실링(52)은 챔버 내부로 인가된RF 마이어스 전력을 전기 용량으로 결합하는 전극으로 작동하고 동시에 챔버 내부로 유도 결합된 솔레노이드(42)에 인가된RF 전력을 통해 윈도우로 작동하도록 도핑처리된 반도체(실리콘)재료이다. 이러한 윈도우-전극의 잇점은 RF 전위가 (이온 에너지를 제어하기 위한)웨이퍼 위로 직접적으로 발생하는 동시에 웨이퍼 위로 직접적으로 RF 전력을 유도결합하는 것이다. 각각 제어된 내부 및 외부 솔레노이드(42,90)와 중심 및 주변부 가스 공급기(64a,64b)와 결합한 후자의 특성은 최적의 균일도를 이루기 위해 공작물 엣지에 대해 공작물 중심에서 이온 밀도, 이온 에너지, 에칭 속도, 및 에칭 선택도와 같은 다양한 플라즈마 공정 변수를 조절하기 위한 성능을 증가시킨다. 상기 결합에서, 각각의 가스 공급기를 통해 가스 흐름 속도는 플라즈마 공정 변수의 최적의 균일도를 이루기 위해 개별적으로 각각 제어된다.
도 17A는 램프 가열기(72)가 전기 가열 부재(72')에 의해 어떻게 교체되는 지를 도시하고 있다. 도 4의 실시예에서, 처분가능한 실리콘 부재는 받침대(54)를 둘러싸는 환형의 링(62) 이다. 바람직하게, 환형의 링(62)은 고순도의 실리콘이며 전기적 또는 광학적 특성을 변경하기 위해 도핑 처리된다 플라즈마 공정(불소 소기용 플라즈마 내부로 실리콘 재료의 기여와 같은) 에서 바람직한 관계를 보장하기 위해 충분한 온도에서 실리콘 링(62)을 유지하기 위해, 환형의 링(62)아래의 원에 배열된 복수의 복사(텅스텐 할로겐) 가열기(77)는 석영 윈도우(78)를 통해 실리콘 링(62)을 가열시킨다. 전술한 계류중의 출원에 있어서, 가열기(77)는 광학 고온계 또는 불소 광학 탐침과 같은 원격 센서인 온도 센서(79)에 의해 감지된 실리콘 링(62)의 측정된 온도에 따라 제어된다. 센서(79)는 링(62)내의 깊은 구멍(62a)내부로 부분적으로 연장하며, 구멍의 깊이와 협소함은 실리콘 링(62)의 열 방사율의 온도에 따라 변동을 적어도 부분적으로 차폐하는 경향이 있으므로, 보다 신뢰성있는 온도 측정을 위해 보다 복사체처럼 가동된다.
대안의 실시예에 따라, 도 17A와 도 17B에 도시된 예를 들어 종래의 마이크로 프로세서 및 메모리를 포함하는 계획적인 전자 제어기와 같은, 사용자가 이용하기 쉬운 중심 제어기(300)는 중심 및 외주부 가스 공급기(64a,64), 내부 및 외부 안테나(42, 90)에 인가된 RF 플라즈마 공급원 전력 수치와, 실링(52)과 측벽(50)에 각각 인가된 RF 바이어스 전력 수치와(도 17A), 내부 및 외부 실링 부분(52a,52b)에 인가된 RF 바이어스 전력 수치(도 17B), 실링(52)의 온도와 실리콘 링(62)의 온도를 통해 가스 흐름 속도를 제어하기 위해 동시에 연결된다. 실링 온도 제어기(218)는 제어기(300)로 공지된 소정의 온도로 실링 온도 센서(76)에 의해 측정된 온도를 비교함으로써 가열기 램프(72')에 램프 전력 공급원(220)에 의해 인가된 전압을 제어한다. 링 온도 제어기(222)는 상기 제어기(222)에 공지되어 저장된 소정의 링 온도로 링 센서(79)에 의해 측정된 링의 온도를 비교함으로써 실리콘 링(62)과 접한 가열기 램프(77)에 가열기 전력 공급원(224)에 의해 인가된 전력을 제어한다. 마스터 제어기(300)는 온도 제어기(218,222)의 소정의 온도와, 솔레노이드 전력 공급원(68,96)의 RF 전력 수치, 바이어스 전력 공급원(210, 212-도 17A 또는 214,216-도 17B)의 RF 전력 수치, RF 전력 공급원(70)에 의해 인가된 웨이퍼 바이어스 수치, 및 가스 유입구(64a-d)에 다양한 가스 공급원(또는 각각의 밸브)에 의해 공급된 가스 흐름 속도를 억제한다. 웨이퍼 바이어스 수치를 제어하기 위한 해결책은 웨이퍼 받침대(54)와 실링(52) 사이의 RF 전위 차잇점이다. 따라서, 받침대 RF 전력 공급원(70) 또는 실링 RF 전력 공급원(212)중의 하나는 RF 접지에 미치지 못한다. 상기 일체식의 제어기로 인해, 사용자는 RF 공급 전력, 공작물의 표면을 가로지른 최대의 중심 대 엣지 공정의 균일도를 이루기 위해 공작물 중심과 외주부 사이의 RF 바이어스 전력 및 가스 흐름 속도의 분할을 용이하게 최적화할 수 있다. 또한, 받침대(54)와 실링(52)사이의 RF 전력 차잇값에 대해 솔레노이드(42, 90)에 적용된 RF 전력을 (제어기(300)를 통해) 조절함으로써, 사용자는 주로 유도 결합 모드 또는 용량 결합 모드내의 반응기를 사용할 수 있다.
솔레노이드(42,90), 실링(52), 측벽(50), (또는 도 17B와 같이 내부 및 외부 실링 부분(52a,52b))에 도 17A에 연결된 다양한 전력 공급원이 RF 주파수에서 작동하는 것처럼 기술되어 졌다고 하나, 본 발명은 임의의 특정 주파수 범위로 제한되는 것은 아니며, RF 이외의 주파수는 본 발명에서 수행되는 숙련된 작업자에 의해 선택된다.
본 발명의 바람직한 실시예에서, 높은 열 도전성 스페이서(75), 실링(52), 및 측벽(50)은 결정체 또는 다결정체 또는 실리콘 탄화물이 단일 부분으로부터 함께 일체식으로 형성된다.
고밀도의 플라즈마 반응기내의 실리콘 산화물 플라즈마 에칭시에 감광성 내식막 선택도를 증가시키고 감광성 내식막 페이스팅을 감소시키기 위해서, 챔버 압은 진공 펌프 속도에 감소없이 비반응성 희석 가스를 첨가함으로서 (고밀도 또는 유도 결합된 플라즈마용으로) 비교적 높은 챔버 압력에서 증가된다. 고밀도 또는 유도결합된 플라즈마에서의 고압 상태는 (a) 코일 대 공작물 간극의 1/10보다 큰 유도장 표면 깊이에서의 압력 (b) 20mT 보다 크고 수백 mT에까지 연장하여 변화하는 압력중 하나로 제한된다.
바람직하게, 식각제/중합체 전조 가스는 고압 상태 이하의 챔버압을 유지시키는 가스 흐름 속도에서 챔버 내부로 공급되며, 전조 가스의 흐름 속도와 결합한 흐름 속도에서 더해진 비반응성 가스는 고압 상태 내부로 챔버압을 상승시키기에 충분하다. 챔버 진공 후면의 조절판으로부터 제한함으로써, 챔버내의 중합체 전조 잔류 시간은 크게 증가하지 못한다. 본 발명은 중합체 강도를 증가시키기 위해 챔버압을 증가시켜, 그 결과 실리콘 이산화물 표면상에 에칭 방해 중합체 조성을 방지하기 위해 챔버내의 중합체 전조 가스 체류 시간의 증가없이 감광성 내식막 페이스팅을 감소시킨다. 그 결과는 공정 윈도우내에 순수한 증가를 가져오는 잇점을 갖는다.
본 발명의 하나의 실시예에서, 챔버에 공급된 가스는 에칭에 유리한 식각제/중합체 전조제로서 CHF390㎤/min (SCCM), 중합 반응에 유리한 식각제/중합체 전조제로서 C4F810 sccm, 에칭 방지 억제제로서 CO216 sccm, 챔버 펌프 속도의 감소없이 챔버압을 증가시키기 위해 더해진 비반응물로서 아르곤 450 sccm이다. 일반적으로, 비반응물의 챔버 내부로 가스 흐름 속도는 챔버 내부로 모든 가스의 총괄 흐름 속도의 1/2보다 더 크다. (반드시 바람직하지는 않는) 대안의 실시예에서, 비반응물의 유량은 챔버 내부로 모든 가스의 총괄 유량의 약 0.3보다 더 크다. 대안의 바람직한 실시예에서, 비반응물의 유량은 챔버 내부로 모든 가스의 전체 유량의 0.7이다.
바람직하게, 이러한 공정은 다수의 솔레노이드 코일 안테나 특성이 가장 큰 플라즈마 이온 분포의 균일도를 제공함으로 인해 전술한 특허 출원에 기술된 플라즈마 반응기 내에서 시행된다. 그러나, 고압 상태에서 대부분의 비반응 희석 가스를 갖는 탄소-불소 화학의 유도 결합된 고밀도 RF 플라즈마를 발생하는 동일 공정은 절연 실링위로 평면 코일 안테나를 갖는 반응기와 같은 다른 반응기내에서 수행된다.
본 발명 공정은 공작물위에 비 산소 함유면의 중합 반응 또는 표면 안정을 증진시키기 위해 챔버내에 불소 소기물이 제공된 실시예를 포함한다. 챔버 실링이 실리콘 및 실리콘 탄화물을 어떻게 형성하는지는 이미 기술되어졌다. 이러한 형태의 실링의 온도가 중합체가 축적되지 않고 실링을 유지하기에 충분히 높은 온도로 유지된다면, 실링으로부터 상기 재료를 제거하는 플라즈마 이온 충돌로 인해 플라즈마 내부로 불소 소기물(실리콘 또는 탄소)을 내어 줄 수 있다. 또한, 불소 소기물은 챔버 내부로 실리콘 함유 가스(예를 들어, 시레인, 테트라에톡시시레인, 디에틸시레인, 또는 실리콘 테트라불화물)를 공급함으로써 도입된다. 또한 불소 소기 가스는 (실리콘 함유 가스에서 이미 기술하였음)시레인, 순수 수소 가스, 메탄, 수고, 불소, 또는 불소-탄화수소 가스와 같은 탄화수소 가스일 수 있다.
본 발명 공정은 비반응성 희석 가스를 원자 중량이 높거나 낮은 가스로 변경함으로써 조절된다. 예를 들어, 헬륨 또는 네온은 크세논보다 더 많이 이온화하기 위해 보다 많은 플라즈마 전자 에너지를 필요로 하며, 보다 낮은 평균 전자 온도 및 높은 전자 밀도를 갖는 크세논으로 보다 많은 에칭 전조 반응물을 얻고 높은 에칭 속도를 제공한다. 그 결과, 크세논을 사용할 때 에칭 속도는 매우 높으나 산화물 대 감광성 내식막 에칭 선택도는 낮다. 역으로, 헬륨 또는 네온을 사용할 때 에칭 속도는 낮으나(상당한 단점) 에칭 선택도는 우수하다. 우수한 에칭 속도와 우수한 에칭 선택도를 제공하는 아르곤과 같은 중간 원자 중량 불활성 가스로 행하여 질 때, 이상적인 조화가 이루어진다. 그러나, 헬륨, 네온, 아르곤, 제논, 또는 다른 비반응 가스가 희석 가스로 사용된다. 공지된 기술중의 하나는 에칭 속도 및 에칭 선택도를 최적화하기 위해 반응물의 가스 흐름 속도에 대해 희석물의 가스 흐름 속도를 조절하는 것이다. 일반적으로, 비반응성 가스 대 중합체 전조 가스의 높은 비는 에칭 방해가 적은 일정 압력에서 에칭 선택도를 감소시키기 위해 공정을 조절하는 것이다.
본 발명의 공정은 실링 온도로 또한 조절하는 것이다. 예를 들어, 본 발명의 하나의 실시예에서, 실링은 중합체 응축 온도 부근의 고온으로 가열되어 보다 많은 중합체가 웨이퍼의 표면안정층에 증착되며 실링위에는 덜 증착된다. 이러한 경우에 있어, 높은 챔버 압력에서 산화물 표면상에 에칭 방해를 방지하기 위해서, (a) 중합체 전조 가스의 희석은 실링의 온도로 바람직하게 증가하며, 벽 및 중합체 전조 링이 증가하거나 (b) 에칭 방해 억제 흐름 가스 속도는 바람직하게 증가된다. 희석 가스 농도는 바람직하게 챔버내의 가스의 적어도 50%이다. 역으로, 실링이 중합체 응축 온도 이하로 냉각되다면, 희석 농도는 50%수치 이하로 감소된다.
또 다른 조절은 중합 반응을 증가시키기 위해 챔버내에 고 탄소 함량의 중합체 식각제 전조 가스(예를 들어 C4F8) 부분을 증가시키거나 에칭 속도를 증가시키기 위해 고 불소 함량의 중합체/식각제 전조 가스(예를 들어 CHF3) 부분을 증가시킴으로써 중합체 속도를 증가시키거나 감소시키는 작용을 한다. 고 탄소 함량의 전조 가스가 증가함에 따라, 비반응성 희석 가스(아르곤) 및 에칭 방해 억제 가스(CO2, CO, 또는 O2) 부분은 산화물 표면상에 에칭 방해를 방지하기 위해 증가되어져야 한다. 에칭 방해 억제 가스는 반응성 가스 흐름 속도에 비반응성 희석 가스의 비가 충분히 높다면 생략해도 된다.
본 발명 공정의 전술한 실시예는 예를 들어, 도5, 도 17A, 도 17B에서의 반응기와 같이 전술되어진 반응기 중의 하나에서 바람직하게 수행된다. 이는 상기 반응기가 최적의 플라즈마 이온 밀도 분포 균일성을 제공하기 때문이다. 그러나, 또 다른 반응기는 상기 공정을 수행하는데 사용된다. 예를 들어, 상기 공정은 평면 실링 및 평면 총괄적인 유도 코일을 갖는 형태의 플라즈마 반응기 내에서 수행된다. 상기 플라즈마 반응기는 도 1에 예시되어 있다. 그러나, 상기 공정의 다음의 상세한 실시예에서, 주어진 작업 실시예는 도 17a의 바람직한 반응기 챔버에 특히 적용가능하다.
작동 실시예
반응기 변수 설정
CHF3가스 흐름 속도 : 90 sccm
C4F8가스 흐름 속도 : 10 sccm
CO2가스 흐름 속도 : 16 sccm
Ar 가스 흐름 속도 : 450 sccm
총괄 챔버 압력 : 85-90 mTorr
챔버 표면 온도 : 150℃
실리콘 링 온도 : 450℃
플라즈마 체적 : 6.5 리터
챔버 체적 : 22 리터
외부 코일 전력 : 3072 와트 @ 2.0 MHz
내부 코일 전력 : 1178 와트 @ 2.3 MHz
받침대에 바이어스 전력 : 1600 와트 @ 1.8 MHz
-10 ℃ w/헬륨 냉각 가스에서 정전기 척상의 웨이퍼
상기 실시예에서, 챔버압으로 펌핑된 진공 펌프는 10mT의 챔버압에서 300 리터/초의 순 흐름 속도와 100mT의 챔버압에서 110 리터/초의 순 흐름 속도를 갖는 1000 리터/초의 근소한 용량을 갖는 형태의 종래의 터보 펌프이다. 챔버로부터 펌프 통풍구에서 펌프에서 감속 밸브는 상기 실시예에서 18% 개방되어 있다.
결과
(1) 0.4 마이크론의 직경에서 에치되어진 산화물 접촉구는 에칭 방지를 갖는 10,000Å/min 에서
(2) 산화물 대 감광성 내식막 선택도는 감광서 내식막 한 면에서 대략 5:1 이다.
(3) (깊이가 3000-4000Å인) 얕은 산화물 접촉구내의 다중실리콘 손실은 대략 1000Å이다.
본 발명은 전술한 실시예에서 설정된 반응기 변수의 특정치로 제한되는 것은 아니며, 본 발명을 수행하는 주어진 범위내에서 변화된다. 내부 및 외부 코일에 적용된 다양한 RF 전력 수치 및 주파수와 같은 변수에 영향을 주는 플라즈마 이온 밀도는 최종적인 플라즈마 이온 밀도에 의해 나타난다. 본 발명은 1010(ions/㏄)를 초과하는 웨이퍼 표면 부근의 플라즈마 이온 밀도에서 수행되어진다. 그러나, 바람직하게 본 발명은 웨이퍼 표면 부근, 특히 1011ions/㏄를 초과한 플라즈마 이온 밀도에서 수행된다. 이와 같은 비교적 높은 플라즈마 이온 밀도는 유도 결합된 RF 플라즈마반응기 내에서 이루어진다. 이러한 형태의 바람직한 반응기는 본 명세서에서 기술된다. 따라서, 필수의 플라즈마 이온 밀도 범위는 유도 결합된 RF 플라즈마내에서 본 발명을 수행함으로써 보장된다.
종래의 플라즈마 반응기에 대한 비교적 낮은 챔버압이 20mT보다 적은 경우에는, 본 발명은 본 명세서에서 전술한 바와 같이 희석 비반응성 가스의 부가에 의해 적어도 부분적으로 저압 이상으로 증가되는 높은 챔버압에서 수행된다. 본 발명은 20mT를 초과하는 높은 챔버압에서 수행될 수 있다. 50mT을 초과하는 챔버압에서 본 발명을 수행할 때 더 나은 결과를 가져온다. 그러나, 바람직하게, 본 발며은 100mT을 초과하는 챔버압에서 수행된다. 상기 챔버압의 요구량은 플라즈마내의 유도장의 표면 깊이에 최종적인 결과로 요약될 수 있다. 특히, 표면 깊이를 유지시키기 위해 충분히 높은 챔버압은 웨이퍼 또는 공작물 및 유도 안테나 사이의 변이 또는 간극과 같거나 1/10 보다 더 큰 것이 바람직하다.
본 발명은 희석되어진 비반응성 가스의 첨가로 인해 기술되어진 챔버압이 (20mT 이하의) 저압 범위로부터 고압 범위로 증가되는 실시예를 참조하여 기술되어졌다. 상기와 같은 챔버압의 증가는 희석 가스 하나의 첨가나 또는 희석 가스의 첨가나 펌프 흐름 속도의 변화(진공 펌프 감속 밸브의 개구 크기를 감소시킴으로써)의 결합에 의해 이루어진다. 어느 경우에 있어서, 챔버 내부로의 희석 가스 흐름 속도는 바람직하게 반응기 챔버내의 모든 총괄적인 가스 흐름 속도의 적어도 1/2이 되며, 희석 가스의 도입은 고압 범위내로 챔버압을 증가시키는 주된 역할을 한 반면에 펌프 흐름 속도의 감속은 거의 아무런 역할을 하지 못한다. 진공 펌프 속도(감속 밸브 영역)에서 감속이 있든 없건간에, 희석 가스 흐름은 전술되어진 고압 범위중의 적어도 하나에서 챔버압내에 증가를 가져오기에 충분하다. 따라서, 본 발명은 감속이 없는 곳에서 유한의 감속을 가져오는 감속 밸브 개구 영역내의 비교적 작은 감속과 협력하여 희석 가스의 도입으로 이루어진다. 감속 밸브 개구내의 감속은 희석 가스 흐름의 부재시에 낮은 챔버압에 대응하는 감속 밸브 개구의 분율로 언급된다. 주어진 작업 실시예에서, 감속 밸브 개구는 18% 개방되어 있으며, 챔버 내부로 희석 가스 흐름의 부재시에 20mT의 낮은 챔버 압력에서 감속 밸브 개구의 약 9/10이다.
본 발명에서 바람직한 실시예를 참조하여 본 발명을 상세하게 설명하였지만, 본 기술 분야의 숙련된 당업자들은 하기의 특허 청구범위에 기재된 본 발명의 사상 및 영역을 벗어나지 않는 범위내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
본 발명으로 인해, 비반응성 가스의 도입에 의해 고이온 밀도의 RF 플라즈마 반응기의 챔버압 증가는 에칭 방해의 위험으로 인한 오염 인자의 증가없이 특히, 감광성 내식막 부면 근처의 중합체 표면안정층의 강도를 증가시킬 수 있다.

Claims (148)

  1. 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법에 있어서,
    20mT 이하의 저압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 1 흐름 속도에서 상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와,
    20mT 이상의 고압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 2 흐름 속도에서 상기 전조 가스의 제 1 흐름 속도와 결합하여 상기 챔버 내부로 비반응성 가스를 공급하는 단계와,
    1010ions/㎤를 초과하는 이온 밀도를 갖는 고이온 밀도 플라즈마를 형성하기 위해 상기 챔버 내부로 플라즈마 공급 전력을 인가시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
  2. 제 1항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  3. 제 1항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  4. 제 1항에 있어서, 상기 이온 밀도는 1010ions/㎤를 초과하는 방법.
  5. 제 4항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  6. 제 4항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  7. 제 1항에 있어서, 상기 인가 플라즈마 전력은 상기 챔버 내부로 유도결합된 플라즈마 전력으로 구성되는 방법.
  8. 제 1항에 있어서, 상기 공작물은 상기 공정에 의해 에칭되어질 산소 함유 상부층과 에칭으로부터 보호되어질 비 산소 함유 하부층을 포함하고 있으며, 상기 전조 가스는 상기 플라즈마를 상기 산소 함유 층에 에칭되는 불소 함유 식각제와 상기 비 산소 함유 하부층위로 축적되는 탄소 함유 중합체 반응물로 분리하는 방법.
  9. 제 8항에 있어서, 상기 챔버내에 불소 소기물 공급원을 제공하는 단계를 더 포함하는 방법.
  10. 제 9항에 있어서, 상기 불소 소기물 공급원은 상기 챔버내의 고형 물품을 포함하는 방법.
  11. 제 9항에 있어서, 상기 불소 소기물 공급원은 상기 챔버 내부로 도입된 가스를 포함하는 방법.
  12. 제 8항에 있어서, 상기 반응기 챔버 내부로 에칭 방해 억제 가스를 공급하는 단계를 더 포함하는 방법.
  13. 제 1항에 있어서, 상기 제 2 유량은 상기 반응기 챔버 내부로 공급되는 모든 가스 총괄 유량의 적어도 0.5인 방법.
  14. 제 1항에 있어서, 상기 제 2 유량은 상기 반응기 챔버 내부로 공급되는 모든 가스 총괄 유량의 적어도 0.3인 방법.
  15. 제 8항에 있어서, 상기 비반응성 가스는 (a)아르곤, (b)헬륨, (c)네온, (d)크세논 중의 하나로 이루어지는 방법.
  16. 제 8항에 있어서, 플라즈마 이온 에너지를 제어하기 위해 상기 공작물에 RF 바이어스 전력을 인가시키는 단계를 더 포함하는 방법.
  17. 제 16항에 있어서, 상기 플라즈마 이온 에너지는 상기 공작물의 비 산소 함유 표면상에 축적된 순수 중합체를 억제하기에 충분한 방법.
  18. 제 17항에 있어서, 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거 사이에 소정의 할당을 제공하기 위해 상기 챔버내의 표면 온도를 제어하는 단계를 더 포함하는 방법.
  19. 제 1항에 있어서, 상기 식각제 및 중합체 전조 가스는 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거사이에 소정의 할당을 제공하는 비를 갖는 낮은 탄소 농도의 식각제와 중합체 전조 가스 및 높은 불소 농도의 식각제와 중합체 전조 가스로 이루어지는 방법.
  20. 제 9항에 있어서, 상기 불소 소기물의 공급원은 고형 실리콘 함유 재료를 포함하는 방법.
  21. 제 9항에 있어서, 상기 불소 소기물의 공급원은 고형 탄소 함유 재료를 포함하는 방법.
  22. 제 10항에 있어서, 상기 불소 소기물의 공급원을 가열시키는 단계를 더 포함하는 방법.
  23. 제 10항에 있어서, 상기 불소 소기물의 공급원에 RF 바이어스를 인가시키는 단계를 더 포함하는 방법.
  24. 제 23항에 있어서, 상기 RF 바이어스의 인가 단계는 상기 공작물에 RF 바이어스를 인가시키는 단계를 포함하며, RF 바이어스는 상기 공작물로부터 상기 불소 소기물의 공급원에 용량 결합되는 방법.
  25. 제 9항에 있어서, 상기 불소 소기물의 공급원의 제공 단계는 상기 챔버 내부로 소기물 함유 가스를 도입시키는 단계를 포함하는 방법.
  26. 제 25항에 있어서, 상기 가스는 시레인, 테트라에톡시시레인, 디에틸시레인, 또는 실리콘 테트라불화물중의 적어도 하나를 포함하는 실리콘 함유 가스인 방법.
  27. 제 25항에 있어서, 상기 가스는 시레인, 순 수소 가스, 탄화수소 가스, 메탄, 수소 불화물, 불화-탄화수소 가스 중의 적어도 하나를 포함하는 수소 함유 가스인 방법.
  28. 상기 공작물 위에 놓인 유도 안테나를 가지며 그 사이의 간극 거리를 한정하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법으로서, 상기 유도 안테나는 상기 반응기 챔버내의 가스압의 함수인 유도장 표면 깊이를 갖는 특정 RF 주파수에서 유도장을 발생시키는 상기 공정은,
    상기 표면 깊이는 상기 간극 거리의 1/10를 초과하지 않는 저압 범위 내에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 1 흐름 속도에서 상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와,
    상기 표면 깊이는 상기 간극 거리의 1/10 보다 큰 고압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 2 흐름 속도에서 상기 전조 가스의 제 1 흐름 속도와 결합하여 상기 챔버 내부로 비반응성 가스를 공급하는 단계와,
    상기 챔버 내부로 전력을 유도 결합하기 위해 상기 유도성 안테나에 상기 특정 RF 주파수에서 플라즈마 전력을 인가시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
  29. 제 28항에 있어서, 상기 고압 범위는 20mT를 초과하는 방법.
  30. 제 28항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  31. 제 28항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  32. 제 28항에 있어서, 상기 플라즈마 공급 전력은 1010ions/㎤를 초과하는 상기 플라즈마의 이온 밀도를 제공하기에 충분한 방법.
  33. 제 32항에 있어서, 상기 고압 범위는 20mT를 초과하는 방법.
  34. 제 32항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  35. 제 32항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  36. 제 28항에 있어서, 상기 플라즈마 공급 전력은 1010ions/㎤를 초과하는 상기 플라즈마의 이온 밀도를 제공하기에 충분한 방법.
  37. 제 36항에 있어서, 상기 고압 범위는 20mT를 초과하는 방법.
  38. 제 36항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  39. 제 36항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  40. 제 28항에 있어서, 상기 공작물은 상기 공정에 의해 에칭되어질 산소 함유 상부층과 에칭으로부터 보호되어질 비 산소 함유 하부층을 포함하고 있으며, 상기 전조 가스는 상기 산소 함유 층을 에칭시키는 불소 함유 식각제와 상기 비 산소 함유 하부층위로 축적되는 탄소 함유 중합체 반응물로 상기 플라즈마를 분리하는 방법.
  41. 제 40항에 있어서, 상기 챔버내에 불소 소기물 공급원을 제공하는 단계를 더 포함하는 방법.
  42. 제 41항에 있어서, 상기 불소 소기물 공급원은 상기 챔버내에 고형 물품을 포함하는 방법.
  43. 제 41항에 있어서, 상기 불소 소기물 공급원은 상기 챔버 내부로 도입된 가스를 포함하는 방법.
  44. 제 40항에 있어서, 상기 반응기 챔버 내부로 에칭 방해 억제 가스를 공급하는 단계를 더 포함하는 방법.
  45. 제 28항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.5인 방법.
  46. 제 28항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.3인 방법.
  47. 제 40항에 있어서, 상기 비반응성 가스는 (a)아르곤, (b)헬륨, (c)네온, (d)크세논 중의 하나를 포함하는 방법.
  48. 제 40항에 있어서, 플라즈마 전자 및 이온 에너지를 제어하기 위해 상기 공작물에 RF 바이어스 전력을 인가시키는 단계를 더 포함하는 방법.
  49. 제 48항에 있어서, 상기 플라즈마 전자 에너지는 상기 비반응성 가스를 이온화시키고 상기 공작물의 비 산소 함유 표면상에 축적된 순수 중합체를 억제하기 위해 충분한 이온 에너지를 제공하기에 충분한 방법.
  50. 제 49항에 있어서, 상기 공작물위에 중합체 축적과 상기 공작물로부터 중합체 이동에 조화를 이루기 위해 상기 챔버내의 표면 온도 제어 단계를 더 포함하는 방법.
  51. 제 28항에 있어서, 상기 식각제 및 중합체 전조 가스는 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 이동에 조화를 이루는 비를 갖는 낮은 탄소 농도의 식각제와 중합체 전조 가스 및 높은 불소 농도의 식각제와 중합체 전조 가스를 포함하는 방법.
  52. 제 41항에 있어서, 상기 불소 소기물의 공급원은 고형 실리콘 함유 재료를 포함하는 방법.
  53. 제 41항에 있어서, 상기 불소 소기물의 공급원은 고형 탄소 함유 재료를 포함하는 방법.
  54. 제 42항에 있어서, 상기 불소 소기물의 공급원을 가열시키는 단계를 더 포함하는 방법.
  55. 제 42항에 있어서, 상기 불소 소기물의 공급원에 RF 바이어스를 인가시키는 단계를 더 포함하는 방법.
  56. 제 55항에 있어서, 상기 RF 바이어스의 인가 단계는 상기 공작물에 RF 바이어스를 인가시키는 단계를 포함하며, RF 바이어스는 상기 공작물로부터 상기 불소 소기물의 공급원에 용량 결합된 방법.
  57. 제 41항에 있어서, 상기 불소 소기물 공급원의 제공 단계는 상기 챔버 내부로 소기물 함유 가스를 도입시키는 단계를 포함하는 방법.
  58. 제 57항에 있어서, 상기 가스는 시레인, 테트라에톡시시레인, 디에틸시레인, 또는 실리콘 테트라불화물 중의 적어도 하나를 포함하는 방법.
  59. 제 57항에 있어서, 상기 가스는 시레인, 순 수소 가스, 탄화수소 가스, 메탄, 수소 불화물, 불화-탄화수소 가스중의 적어도 하나를 포함하는 수소 함유 가스인 방법.
  60. 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법에 있어서,
    20mT 이하의 저압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 1 흐름 속도에서 상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계,
    20mT 이상의 고압 범위에서 상기 챔버내의 가스압을 유지시키기에 충분한 제 2 흐름 속도에서 상기 전조 가스의 제 1 흐름 속도와 결합한 상기 챔버 내부로 비반응성 가스를 공급하는 단계, 및
    유도 결합된 플라즈마를 발생시키기 위해 상기 챔버 내부로 플라즈마 전력 공급원을 유도 결합시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
  61. 제 60항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  62. 제 60항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  63. 제 60항에 있어서, 상기 플라즈마 공급 전력은 1010ions/㎤를 초과하는 상기 플라즈마의 이온 밀도를 제공하기에 충분한 방법.
  64. 제 63항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  65. 제 63항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  66. 제 60항에 있어서, 상기 플라즈마 공급 전력은 1010ions/㎤를 초과하는 상기 플라즈마의 이온 밀도를 제공하기에 충분한 방법.
  67. 제 66항에 있어서, 상기 고압 범위는 50mT를 초과하는 방법.
  68. 제 66항에 있어서, 상기 고압 범위는 100mT를 초과하는 방법.
  69. 제 60항에 있어서, 상기 공작물은 상기 공정에 의해 에칭되어질 산소 함유 상부층과 에칭으로부터 보호되어질 비 산소 함유 하부층을 포함하고 있으며, 상기 전조 가스는 상기 플라즈마를 상기 산소 함유 층을 에칭시키는 불소 함유 식각제와 상기 비 산소 함유 하부층위로 축적되는 탄소 함유 중합체 반응물로 분리시키는 방법.
  70. 제 69항에 있어서, 상기 챔버내에 불소 소기물 공급원을 제공하는 단계를 더 포함하는 방법.
  71. 제 70항에 있어서, 상기 불소 소기물 공급원은 상기 챔버내의 고형 물품을 포함하는 방법.
  72. 제 70항에 있어서, 상기 불소 소기물 공급원은 상기 챔버 내부로 도입된 가스를 포함하는 방법.
  73. 제 70항에 있어서, 상기 반응기 챔버 내부로 에칭 방해 억제 가스를 공급하는 단계를 더 포함하는 방법.
  74. 제 60항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.5인 방법.
  75. 제 60항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.3인 방법.
  76. 제 70항에 있어서, 상기 비반응성 가스는 (a) 아르곤, (b) 헬륨, (c) 네온, (d) 크세논중의 하나를 포함하는 방법.
  77. 제 70항에 있어서, 플라즈마 이온 에너지를 제어하기 위해 상기 공작물에 RF 바이어스 전력을 인가시키는 단계를 더 포함하는 방법.
  78. 제 77항에 있어서, 상기 플라즈마 이온 에너지는 상기 공작물의 비 산소 함유 표면상에 축적된 순수 중합체를 억제하기에 충분한 방법.
  79. 제 78항에 있어서, 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거사이에 조화를 이루기 위해 상기 챔버내의 표면 온도 제어 단계를 더 포함하는 방법.
  80. 제 60항에 있어서, 상기 식각제 및 중합체 전조 가스는 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거 사이에 조화를 이루는 비를 갖는 낮은 탄소 농도의 식각제와 중합체 전조 가스 및 높은 불소 농도의 식각제와 중합체 전조 가스를 포함하는 방법.
  81. 제 71항에 있어서, 상기 불소 소기물 공급원은 고형 실리콘 함유 재료를 포함하는 방법.
  82. 제 71항에 있어서, 상기 불소 소기물 공급원은 고형 탄소 함유 재료를 포함하는 방법.
  83. 제 71항에 있어서, 상기 불소 소기물의 공급원을 가열시키는 단계를 더 포함하는 방법.
  84. 제 71항에 있어서, 상기 불소 소기물의 공급원에 RF 바이어스를 인가시키는 단계를 더 포함하는 방법.
  85. 제 71항에 있어서, 상기 불소 소기물의 공급원에 RF 바이어스를 인가시키고 상기 불소 소기물의 공급원을 가열시키는 단계를 더 포함하는 방법.
  86. 제 84항에 있어서, 상기 RF 바이어스의 인가 단계는 상기 공작물에 RF 바이어스를 인가시키는 단계를 포함하며, RF 바이어스는 상기 공작물로부터 상기 불소 소기물의 공급원에 용량 결합된 방법.
  87. 제 70항에 있어서, 상기 불소 소기물의 공급원의 제공 단계는 상기 챔버 내부로 소기물 함유 가스를 도입시키는 단계를 포함하는 방법.
  88. 제 87항에 있어서, 상기 가스는 시레인, 테트라에톡시시레인, 디에틸시레인, 또는 실리콘 테트라불화물중의 적어도 하나를 포함하는 실리콘 함유 가스인 방법.
  89. 제 87항에 있어서, 상기 가스는 시레인, 순 수소 가스, 탄화수소 가스, 메탄, 수소 불화물, 불화-탄화수소 가스중의 적어도 하나를 포함하는 수소 함유 가스인 방법.
  90. 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법에 있어서,
    제 1 흐름 속도에서 상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와,
    상기 챔버 내부로 모든 가스의 총괄 흐름 속도의 적어도 0.3인 제 2 흐름 속도에서 상기 챔버 내부로 비반응성 가스를 공급하는 단계와,
    1010ions/㎤를 초과하는 이온 밀도를 갖는 고이온 밀도 플라즈마를 형성하기 위해 상기 챔버 내부로 플라즈마 전력 공급원을 인가시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
  91. 제 90항에 있어서, 상기 공작물은 상기 공정에 의해 에칭되어질 산소 함유 상부층과 에칭으로부터 보호되어질 비 산소 함유 하부층을 포함하고 있으며, 상기 전조 가스는 상기 플라즈마를 상기 산소 함유 층을 에칭시키는 불소 함유 식각제와 상기 비 산소 함유 하부층위로 축적되는 탄소 함유 중합체 반응물로 분리시키는 방법.
  92. 제 91항에 있어서, 상기 챔버내에 불소 소기물 공급원을 제공하는 단계를 더 포함하는 방법.
  93. 제 92항에 있어서, 상기 불소 소기물 공급원은 상기 챔버내의 고형 물품을 포함하는 방법.
  94. 제 92항에 있어서, 상기 불소 소기물 공급원은 상기 챔버 내부로 도입된 가스를 포함하는 방법.
  95. 제 91항에 있어서, 상기 반응기 챔버 내부로 에칭 방해 억제 가스를 공급하는 단계를 더 포함하는 방법.
  96. 제 90항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.5인 방법.
  97. 제 90항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.7인 방법.
  98. 제 91항에 있어서, 상기 비반응성 가스는 (a) 아르곤, (b) 헬륨, (c) 네온, (d) 크세논중의 하나를 포함하는 방법.
  99. 제 91항에 있어서, 상기 공작물의 비 산소 함유 표면상에 순수한 중합체 축적을 억제하기 위한 충분한 이온 에너지를 제공하기 위해 상기 공작물에 RF 바이어스 전력을 인가시키는 단계를 더 포함하는 방법.
  100. 제 99항에 있어서, 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거 사이에 소정의 할당을 제공하기 위해 상기 챔버내의 표면 온도 제어 단계를 더 포함하는 방법.
  101. 제 90항에 있어서, 상기 식각제 및 중합체 전조 가스는 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거 사이에 소정의 할당을 제공하는 비를 갖는 낮은 탄소 농도의 식각제와 중합체 전조 가스 및 높은 불소 농도의 식각제와 중합체 전조 가스를 포함하는 방법.
  102. 제 93항에 있어서, 상기 불소 소기물의 공급원은 고형 실리콘 함유 재료를 포함하는 방법.
  103. 제 93항에 있어서, 상기 불소 소기물의 공급원은 고형 탄소 함유 재료를 포함하는 방법.
  104. 제 93항에 있어서, 상기 불소 소기물의 공급원을 가열시키는 단계를 더 포함하는 방법.
  105. 제 93항에 있어서, 상기 불소 소기물의 공급원에 RF 바이어스를 인가시키는 단계를 더 포함하는 방법.
  106. 제 105항에 있어서, 상기 RF 바이어스의 인가 단계는 상기 공작물에 RF 바이어스를 인가시키는 단계를 포함하며, RF 바이어스는 상기 공작물로부터 상기 불소 소기물 공급원에 용량 결합된 방법.
  107. 제 94항에 있어서, 상기 불소 소기물 공급원의 제공 단계는 상기 챔버 내부로 실리콘 함유 가스를 도입시키는 단계를 포함하는 방법.
  108. 제 107항에 있어서, 상기 가스는 시레인, 테트라에톡시시레인, 디에틸시레인, 또는 실리콘 테트라불화물 중의 적어도 하나를 포함하는 실리콘 함유 가스인 방법.
  109. 제 94항에 있어서, 상기 가스는 시레인, 순 수소 가스, 탄화수소 가스, 메탄, 수소 불화물, 불화-탄화수소 가스 중의 적어도 하나를 포함하는 수소 함유 가스인 방법.
  110. 제 90항에 있어서, 상기 이온 밀도는 1010ions/㎤를 초과하는 방법.
  111. 제 90항에 있어서, 20mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  112. 제 90항에 있어서, 50mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  113. 제 90항에 있어서, 70mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  114. 제 96항에 있어서, 20mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  115. 제 96항에 있어서, 50mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  116. 제 96항에 있어서, 70mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  117. 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법에 있어서,
    제 1 흐름 속도에서 상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와,
    상기 챔버 내부로 모든 가스의 총괄 흐름 속도의 적어도 0.3인 제 2 흐름 속도에서 상기 챔버 내부로 비반응성 가스를 공급하는 단계와,
    상기 챔버 내부로 플라즈마 공급 전력을 유도 결합시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
  118. 제 117항에 있어서, 상기 공작물은 상기 공정에 의해 에칭되어질 산소 함유 상부층과 에칭으로부터 보호되어질 비 산소 함유 하부층을 포함하고 있으며, 상기 전조 가스는 상기 플라즈마를 상기 산소 함유 층을 에칭시키는 불소 함유 식각물과 상기 비 산소 함유 하부층위로 축적되는 탄소 함유 중합체 반응물로 분리시키는 방법.
  119. 제 118항에 있어서, 상기 챔버내에 불소 소기물 공급원을 제공하는 단계를 더 포함하는 방법.
  120. 제 119항에 있어서, 상기 불소 소기물 공급원은 상기 챔버내의 고형 물품을 포함하는 방법.
  121. 제 119항에 있어서, 상기 불소 소기물 공급원은 상기 챔버 내부로 도입된 가스를 포함하는 방법.
  122. 제 119항에 있어서, 상기 반응기 챔버 내부로 에칭 방해 억제 가스를 공급하는 단계를 더 포함하는 방법.
  123. 제 117항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.5인 방법.
  124. 제 117항에 있어서, 상기 제 2 흐름 속도는 상기 반응기 챔버 내부로 공급되는 모든 가스의 총괄 흐름 속도의 적어도 0.7인 방법.
  125. 제 117항에 있어서, 상기 비반응성 가스는 (a) 아르곤, (b) 헬륨, (c) 네온, (d) 크세논중의 하나를 포함하는 방법.
  126. 제 118항에 있어서, 상기 공작물의 비 산소 함유 표면상에 순수한 중합체 축적을 억제하기 위해 충분한 이온 에너지를 제공하기 위해 상기 공작물에 RF 바이어스 전력을 인가시키는 단계를 더 포함하는 방법.
  127. 제 126항에 있어서, 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거 사이에 소정의 할당을 제공하기 위해 상기 챔버내의 표면 온도 제어 단계를 더 포함하는 방법.
  128. 제 118항에 있어서, 상기 식각제 및 중합체 전조 가스는 상기 공작물상에 중합체 축적과 상기 공작물로부터 중합체 제거 사이에 소정의 할당을 제공하는 비를 갖는 낮은 탄소 농도의 식각제와 중합체 전조 가스 및 높은 불소 농도의 식각제와 중합체 전조 가스로 이루어지는 방법.
  129. 제 120항에 있어서, 상기 불소 소기물 공급원은 고형 실리콘 함유 재료를 포함하는 방법.
  130. 제 120항에 있어서, 상기 불소 소기물 공급원은 고형 탄소 함유 재료를 포함하는 방법.
  131. 제 120항에 있어서, 상기 불소 소기물 공급원을 가열시키는 단계를 더 포함하는 방법.
  132. 제 120항에 있어서, 상기 불소 소기물 공급원에 RF 바이어스를 인가시키는 단계를 더 포함하는 방법.
  133. 제 132항에 있어서, 상기 RF 바이어스의 인가 단계는 상기 공작물에 RF 바이어스를 인가시키는 단계를 포함하며, RF 바이어스는 상기 공작물로부터 상기 불소 소기물 공급원에 용량 결합된 방법.
  134. 제 121항에 있어서, 상기 불소 소기물 공급원의 제공 단계는 상기 챔버 내부로 소기물 함유 가스를 도입시키는 단계를 포함하는 방법.
  135. 제 134항에 있어서, 상기 가스는 시레인, 테트라에톡시시레인, 디에틸시레인, 또는 실리콘 테트라불화물 중의 적어도 하나를 포함하는 실리콘 함유 가스를 포함하는 방법.
  136. 제 134항에 있어서, 상기 가스는 시레인, 순 수소 가스, 탄화수소 가스, 메탄, 수소 불화물, 불화-탄화수소 가스 중의 적어도 하나를 포함하는 수소 함유 가스인 방법.
  137. 제 117항에 있어서, 상기 유도성 결합은 1010ions/㎤ 보다 더 큰 이온 밀도를 갖는 고밀도 플라즈마를 제공하기에 충분한 방법.
  138. 제 117항에 있어서, 상기 유도성 결합은 1011ions/㎤ 보다 더 큰 이온 밀도를 갖는 고밀도 플라즈마를 제공하기에 충분한 방법.
  139. 제 123항에 있어서, 상기 유도성 결합은 1010ions/㎤ 보다 더 큰 이온 밀도를 갖는 고밀도 플라즈마를 제공하기에 충분한 방법.
  140. 제 123항에 있어서, 상기 유도성 결합은 1011ions/㎤ 보다 더 큰 이온 밀도를 갖는 고밀도 플라즈마를 제공하기에 충분한 방법.
  141. 제 124항에 있어서, 상기 유도성 결합은 1010ions/㎤ 보다 더 큰 이온 밀도를 갖는 고밀도 플라즈마를 제공하기에 충분한 방법.
  142. 제 124항에 있어서, 상기 유도성 결합은 1011ions/㎤ 보다 더 큰 이온 밀도를 갖는 고밀도 플라즈마를 제공하기에 충분한 방법.
  143. 제 137항에 있어서, 20mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  144. 제 137항에 있어서, 50mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  145. 제 137항에 있어서, 70mT를 초과하는 상기 챔버내의 가스압을 유지시키는 단계를 더 포함하는 방법.
  146. 제 120 항에 있어서, 상기 불소 소기물 공급원에 RF 바이어스를 인가시키고 상기 불소 소기물 공급원을 가열시키는 단계를 더 포함하는 방법.
  147. 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법에 있어서,
    상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와,
    상기 챔버 내부로 비반응 가스를 공급하는 단계와,
    상기 챔버 내부로의 모든 가스의 총흐름 속도의 분율에서 비반응성 가스의 흐름 속도를 유지시키는 단계와,
    1010ions/㎤를 초과하는 높은 이온 밀도를 갖는 유도결합된 플라즈마를 발생하기 위해 상기 챔버 내부로 플라즈마 공급 전력을 유도 결합시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
  148. 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법에 있어서,
    상기 챔버 내부로 적어도 탄소 및 불소를 함유하는 중합체와 식각제 전조 가스를 공급하는 단계와,
    상기 챔버 내부로 비반응 가스를 공급하는 단계와,
    상기 챔버 내부의 압력을 20mT를 초과하게 유지시키기 위해 상기 챔버 내부로의 모든 가스의 총흐름 속도의 분율에서 비반응성 가스의 흐름 속도를 유지시키는 단계와,
    상기 챔버 내부로 플라즈마 공급 전력을 유도 결합시키는 단계를 포함하는 플라즈마 반응기 챔버내의 반도체 공작물을 처리하기 위한 방법.
KR1019970053880A 1996-10-21 1997-10-21 플라즈마반응기챔버내의반도체공작물을처리하기위한방법 KR100498585B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/733,554 1996-10-21
US08/733,554 US6238588B1 (en) 1991-06-27 1996-10-21 High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US08/733,554 1996-10-21

Publications (2)

Publication Number Publication Date
KR19980033000A true KR19980033000A (ko) 1998-07-25
KR100498585B1 KR100498585B1 (ko) 2005-09-09

Family

ID=24948108

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970053880A KR100498585B1 (ko) 1996-10-21 1997-10-21 플라즈마반응기챔버내의반도체공작물을처리하기위한방법

Country Status (5)

Country Link
US (1) US6238588B1 (ko)
EP (1) EP0840365A3 (ko)
JP (1) JPH10144663A (ko)
KR (1) KR100498585B1 (ko)
TW (1) TW350976B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100489508B1 (ko) * 2002-06-10 2005-05-16 황정남 저온 플라즈마 발생 장치 및 방법
KR100860931B1 (ko) * 2004-02-23 2008-09-29 비코 인스트루먼츠 인코포레이티드 유체 냉각식 이온 공급원
WO2012170302A2 (en) * 2011-06-06 2012-12-13 Lam Research Corporation Method for providing high etch rate

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5998838A (en) * 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6284149B1 (en) 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
KR100634642B1 (ko) * 1998-11-20 2006-10-16 스티그 알티피 시스템즈, 인코포레이티드 반도체 웨이퍼의 급속 가열 및 냉각 장치
US6168726B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6228774B1 (en) * 1998-12-29 2001-05-08 Lam Research Corporation High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
JP2001308086A (ja) * 2000-04-18 2001-11-02 Nec Corp 膜形成方法
US6562189B1 (en) * 2000-05-19 2003-05-13 Applied Materials Inc. Plasma reactor with a tri-magnet plasma confinement apparatus
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
DE10136022B4 (de) * 2001-07-24 2006-01-12 Robert Bosch Gmbh Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20060000358A1 (en) * 2004-06-29 2006-01-05 Rajat Agrawal Purification and delivery of high-pressure fluids in processing applications
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US7425711B2 (en) * 2005-02-18 2008-09-16 Veeco Instruments, Inc. Thermal control plate for ion source
US7566883B2 (en) * 2005-02-18 2009-07-28 Veeco Instruments, Inc. Thermal transfer sheet for ion source
US7439521B2 (en) * 2005-02-18 2008-10-21 Veeco Instruments, Inc. Ion source with removable anode assembly
US7476869B2 (en) * 2005-02-18 2009-01-13 Veeco Instruments, Inc. Gas distributor for ion source
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP2006351862A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7405160B2 (en) * 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
KR100808862B1 (ko) 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
US20090218315A1 (en) * 2008-02-28 2009-09-03 Steven Shannon Method and system for controlling center-to-edge distribution of species within a plasma
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
US9653264B2 (en) 2010-12-17 2017-05-16 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
JP5750328B2 (ja) * 2011-07-20 2015-07-22 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9607809B2 (en) * 2013-03-12 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. High density plasma reactor with multiple top coils
WO2014143775A1 (en) 2013-03-15 2014-09-18 Plasmability, Llc Toroidal plasma processing apparatus
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor
KR101749434B1 (ko) * 2013-12-27 2017-06-20 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR20180000721A (ko) 2015-05-21 2018-01-03 플라즈마빌리티, 엘엘씨 성형된 피처리물 지지체를 갖는 토로이달 플라즈마 처리 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7229061B2 (ja) * 2019-03-26 2023-02-27 東京エレクトロン株式会社 基板のエッチング装置及びエッチング方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
EP4064324A4 (en) * 2020-02-19 2023-12-06 En2Core Technology, Inc ANTENNA STRUCTURE AND PLASMA GENERATING DEVICE THEREFROM
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2022117669A (ja) * 2021-02-01 2022-08-12 東京エレクトロン株式会社 フィルタ回路及びプラズマ処理装置
CN113031409A (zh) * 2021-03-03 2021-06-25 苏州子山半导体科技有限公司 一种氧化钒热成像芯片制造中的聚酰亚胺光刻胶去除方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1550853A (en) 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS55154582A (en) 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6191377A (ja) 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4810935A (en) 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JPS62254428A (ja) 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
ES2040914T3 (es) 1988-03-24 1993-11-01 Siemens Aktiengesellschaft Procedimiento y dispositivo para la elaboracion de capas semiconductoras que consisten de aleaciones amorfas de silicio-germanio segun la tecnica de descarga de efluvios, sobre todo para celulas solares.
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5258824A (en) 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JP2519364B2 (ja) 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
US5187454A (en) 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
JP2635267B2 (ja) 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5392018A (en) 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5164945A (en) 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
US5249251A (en) 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
JP3221025B2 (ja) 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP3253215B2 (ja) * 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
KR100333237B1 (ko) * 1993-10-29 2002-09-12 어플라이드 머티어리얼스, 인코포레이티드 플라즈마에칭챔버내에서오염물질을감소시키는장치및방법
US5414246A (en) 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100489508B1 (ko) * 2002-06-10 2005-05-16 황정남 저온 플라즈마 발생 장치 및 방법
KR100860931B1 (ko) * 2004-02-23 2008-09-29 비코 인스트루먼츠 인코포레이티드 유체 냉각식 이온 공급원
WO2012170302A2 (en) * 2011-06-06 2012-12-13 Lam Research Corporation Method for providing high etch rate
WO2012170302A3 (en) * 2011-06-06 2013-02-07 Lam Research Corporation Method for providing high etch rate
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate

Also Published As

Publication number Publication date
KR100498585B1 (ko) 2005-09-09
US6238588B1 (en) 2001-05-29
EP0840365A3 (en) 2003-10-29
TW350976B (en) 1999-01-21
JPH10144663A (ja) 1998-05-29
EP0840365A2 (en) 1998-05-06

Similar Documents

Publication Publication Date Title
KR100498585B1 (ko) 플라즈마반응기챔버내의반도체공작물을처리하기위한방법
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6790311B2 (en) Plasma reactor having RF power applicator and a dual-purpose window
US6036877A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6589437B1 (en) Active species control with time-modulated plasma
US6818140B2 (en) Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US5990017A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6524432B1 (en) Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6074512A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6036878A (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US8299391B2 (en) Field enhanced inductively coupled plasma (Fe-ICP) reactor
US20060175015A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR20140004718U (ko) 극단 엣지 튜닝성을 위한 연장형 및 독립형의 rf 전력공급형 음극 기판과 함께 사용하기 위한 프로세스 키트 구성요소들
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110530

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee