JP4813755B2 - 有機反射防止膜をプラズマエッチングする方法 - Google Patents

有機反射防止膜をプラズマエッチングする方法 Download PDF

Info

Publication number
JP4813755B2
JP4813755B2 JP2002578550A JP2002578550A JP4813755B2 JP 4813755 B2 JP4813755 B2 JP 4813755B2 JP 2002578550 A JP2002578550 A JP 2002578550A JP 2002578550 A JP2002578550 A JP 2002578550A JP 4813755 B2 JP4813755 B2 JP 4813755B2
Authority
JP
Japan
Prior art keywords
reaction chamber
etching
plasma
opening
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002578550A
Other languages
English (en)
Other versions
JP2004528711A (ja
Inventor
ツクィアング ニー,
ウェイナン ジアング,
コナン チアング,
フランク, ワイ. リン,
クリス リー,
ダイ, エヌ. リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004528711A publication Critical patent/JP2004528711A/ja
Application granted granted Critical
Publication of JP4813755B2 publication Critical patent/JP4813755B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00595Control etch selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

発明の分野
本発明は、集積回路の製造において有機反射防止膜をプラズマエッチングするための改善された方法に関する。
発明の背景
集積回路の製造において共通して要求されるのは、誘電体材料にコンタクト及びビア等の開口部をエッチングすることである。この誘電体材料は、FSG(fluorinated silicon oxide)、SiO(silicon dioxide)等のアンドープシリコン酸化物(undoped silicon oxide)、BPSG(boron phosphate silicate glass)及びPSG(phosphate silicate glass)等のケイ酸塩ガラス(silicate glass)、ドープされた熱成長シリコン酸化物(thermally grown silicon oxide)及びドープされていない熱成長シリコン酸化物、ドープされたTEOSが堆積されたシリコン酸化物又はドープされていないTEOSが堆積されたシリコン酸化物等を含む。誘電体は、多結晶シリコン等の導電層又は半導体層、アルミニウム(aluminum)、銅(copper)、チタニウム(titanium)、タングステン(tungsten)、モリブデン(molybdenum)又はこれらの合金等の金属、窒化チタン(titanium nitride)等の窒化物、チタンシリサイド(titanium silicide)、コバルトシリサイド(cobalt silicide)、タングステンシリサイド(tungsten silicide)、モリデンシリサイド(molybdenum silicide)等の金属シリサイド(metal silicides)の上に重なって配置されうる。
シリコン酸化物に開口部をエッチングするための、様々なプラズマエッチング技術が、米国特許第5,013,398号;同5,013,400号;同5,021,121号;同5,022,958号;同5,269,879号;同5,529,657号;同5,595,627号;同5,611,888号;及び同5,780,338号に開示されている。プラズマエッチングは、‘398特許に記載された平行平板プラズマ反応室チャンバ若しくは‘400特許に記載された3極反応室(triode type reactors)等の中密度反応室(medium density reactors)又は‘657特許に記載された誘導結合反応室等の高密度反応室で実行されうる。米国特許第6,090,304号は、2周波プラズマ反応室で半導体基板をプラズマエッチングする方法を開示している。この2周波プラズマ反応室では、第1の高周波(RF)源がRFマッチング回路網(RF matching network)を通じて上部シャワーヘッド電極に結合され、下部電極(半導体ウエハがその上に支持される)が第2のマッチング回路網を通して結合される。
半導体ウエハの処理では、フォトレジストに開口部(例えば、コンタクトホール)のパターンを現像するために用いられる照射(radiation)の光の反射を最小限にする目的で、フォトレジストの下に有機性下部反射防止膜(BARC;bottom antireflective coating)を提供することが一般的である。有機アーク(organic arc)をBARCと称し、無機ARCを“誘電体”ARC又はDARCと称することが一般的である。また、フォトレジストパターンをBARCに移すために、レジストに形成された開口部を通してBARCをプラズマエッチングすることが一般的である。有機ARC材料をプラズマエッチングするためのエッチングガス混合物は、米国特許第5,773,199号;同5,910,453号;同6,039,888号;同6,080,678号;及び同6,090,722号に開示されている。これらのうちで‘199特許はCHF+CF+OW+Arのガス混合物を開示し、‘453特許はN+He+O又はN+O又はN+Heのガス混合物を開示し、‘888特許はO+COのガス混合物を開示し、‘678特許はO+SOのガス混合物を開示し、‘722特許はC+Arのガス混合物を開示する。
デバイスの形状寸法(device geometries)がますます小さくなるにつれて、クリティカルディメンジョン(CD;critical dimensions)を維持する一方で、有機反射防止膜(antireflective coatings)を通して開口部をプラズマエッチングすることを実現するために高いエッチング選択性(high etch selectivity)への要求がさらに大きくなってきている。したがって、高いエッチング選択性を提供し、及び/又は、このような層を所望の速度でエッチングする、プラズマエッチング技術に対する技術的な要求がある。
発明の要約
本発明は、下層に対して選択性を有する有機反射防止膜をエッチングする方法を提供するものであって、プラズマエッチング反応室で、下層の上に有機反射防止膜を有する半導体基板を支持する工程と、硫黄を含有するガスとキャリアガスとを含み、Oを含まないエッチングガスにエネルギを与えてプラズマ状態にし、前記有機反射防止膜に開口部をエッチングする工程と、を含む。
好適な実施の形態によれば、前記開口部は、デュアルダマシンのビア、コンタクト及び/又はトレンチ、自己整合されたコンタクト構造又は自己整合されたトレンチ構造を含む。前記開口部は、ゲート電極のための導電体ラインのパターンを含んでもよい。前記有機反射防止膜は、パターニングされたフォトレジストの下層のポリマー膜であってもよい。前記エッチングガスは、該フォトレジスト中の開口部の側壁を皮膜で保護し(passivate)、エッチングガスがフォトレジストの横方向のエッチング速度を最小化することによって、該フォトレジストによって定められるクリティカルディメンジョンを維持する。
前記プラズマエッチング反応室は、ECRプラズマ反応室、誘導結合プラズマ反応室、容量結合プラズマ反応室、ヘリコンプラズマ反応室又はマグネトロンプラズマ反応室を含みうる。好適なプラズマエッチング反応室は、RFエネルギを誘電体ウインドを通してチャンバに結合させる平面アンテナを含む誘導結合プラズマ反応室である。
前記硫黄を含有するガスはSOであるのが好ましく、前記キャリアガスはHe又はArであることが好ましい。前記エッチングガスは、更に、HBrを含んでもよい。エッチング工程中では、前記プラズマエッチングチャンバ内の圧力は最大で100mTorr、及び/又は、前記基板支持体の温度は−20℃〜+80℃であってもよい。一例として、前記硫黄を含有するガスは、5〜200sccmの流量で前記プラズマエッチング反応室に供給されるSOガスを含み、前記キャリアガスは、5〜150sccmの流量で前記プラズマエッチング反応室に供給されるHe又は/又はArガスを含んでもよい。前記エッチングガスにHBrが含まれる場合は、該HBrは、5〜150sccmの流量で前記プラズマエッチング反応室に供給されてもよい。より望ましくは、SO、HBr及びHeの流量は、SOが5〜200sccm、HBrが10〜50sccm並びにHeが50〜150sccmである。
前記エッチング工程は、続いて付加的なエッチング工程が行われてもよいし、金属で開口部を充填する工程がその後に行われてもよい。本発明の方法は、前記基板上に前記フォトレジスト層を形成する工程と、前記有機反射防止膜に導電体ラインの金属パターン、ビア開口部又はコンタクト開口部をエッチングする工程が後に続く、複数の前記開口部を形成するフォトレジスト層をパターニングする工程と、と、をも含むことができる。
発明の詳細な説明
本発明は、半導体製造プロセスを提供するものである。この半導体製造プロセスでは、上層(overlying)のフォトレジストによって定められるクリティカルディメンジョン(CD;critical dimensions)を維持する一方で、薄い有機反射防止膜(BARC)に開口部がプラズマエッチングされうる。有機反射防止膜は、約200nm又はそれ以下の所望の厚さを有する炭化水素膜(hydrocarbon film)である。有機反射防止膜は、フォトリソグラフィプロセスで、より優れた制御を行うために用いられる。このフォトリソグラフィプロセスでは、ビア、コンタクト又はトレンチ等の開口部のパターンが、フォトレジストに形成される。従来、Oを用いてBARCをエッチングすることが一般的であった。しかしながら、Oを用いてプラズマエッチングする間、分子酸素(molecular oxygen)が酸素原子(oxygen atoms)に解離(dissociate)する。この酸素原子(oxygen atoms)は、上層のフォトレジストを横方向にエッチングし、クリティカルディメンジョンを変化させる。本発明によれば、Oを含まないが(O-free)硫黄を含有するエッチングガスを用いることによって、フォトレジストの横方向エッチングが最小化されうる。
BARC中のCDの損失(CD loss)は、エッチングフィーチャ(etching features)が小さいライン幅(small line widths)を持つときには望ましくない。BARC開口部をエッチングする間に、CDを維持するフッ素(fluorine)を含有するガスを用いると、プラズマ中のフッ素による下層(underlying layer)の攻撃(attack)によって、プロファイルと均一性の問題が生じうる。本発明によれば、このような問題は、硫黄を含有するエッチングガスを用いることによって避けられうる。この硫黄を含有するエッチングガスは、アルゴン又はヘリウム等のキャリアガス(carrier gas)と混合したSOを用いることが好適である。好適な実施の形態によれば、エッチングガスは、フッ素を含まない(F-free)が、HBr等の他のハロゲンガスを含むものであってもよい。
プロセスは、エッチングガスにエネルギを与えてプラズマ状態にして、BARCに開口部をエッチングすることによって実行される。BARCのエッチング中にCDの損失を最小限にするために、BARCにエッチングされた開口部の側壁と上層のフォトレジストとを保護膜で覆うのが望ましい。フッ素とOとを含有するエッチングガスは、上述したCD、プロファイル及び均一性の問題の原因となりうるが、SOはエッチングガスとして様々な利点を与える。例えば、プラズマ内部でSOを有害な酸素原子(harmful oxygen atoms)に解離させることは難しく、フォトレジストを攻撃する(attack)ことができる自由酸素原子(free oxygen atoms)が少ないため、CDの損失が最小化されうる。更に、SOは、保護膜をその上に形成することによって、フォトレジストの開口部の側壁を保護するために用いられうる。均一性及びプロファイルの改善が具現されうるが、これはBARCエッチングは下層の誘電体、導電体、半導体層に対して選択性(selectivity)を持つイオンアシストエッチング(ion assisted etch)であるからである。選択性を得ることができるのは、SOがエッチングされるBARCの表面に吸収され、照射イオンによってSOからOが放出されるからである。放出されたOは、開口部の下側でBARCの炭素と水素の構成要素(components)を攻撃し、下層の誘電体層に達すると、フッ素を含有するエッチングガスが用いられる場合よりも遅い速度で下層がエッチングされる。
本願の譲受人(assignee)であるラムリサーチコーポレーション(Lam Research Corporation)から入手可能なTCP(登録商標)誘導結合高密度プラズマエッチング反応室(TCPTM inductively coupled high density plasma etch reactor)内で試験を行った。反応室は、RFエネルギを誘電ウインド(dielectric window)を通して反応室に誘導結合させる平面アンテナを含み、RFバイアスを基板に与えることができる下部電極上に、エッチングされる半導体基板が支持される。以下の表では、Pは反応室内の真空圧力をmTorrで示したもの、TCP(登録商標)はアンテナに与えられるパワーをワットで示したもの、BPは下部電極に与えられるパワーを示してたものであり、ガス流量はsccmの単位でリストにされ、D−CDはナノメートル単位で密集したライン(dense lines)のCDバイアスであり、I−CDはナノメートル単位で分離されたライン(isolated lines)のCDバイアスである。
Figure 0004813755
上記の試験結果は、Oを含有するプラズマエッチングガス(Run番号1及び2)が、最も高いCDの損失であり、Oを含まないがSOをHBrと混合したときの量がより多いエッチングガス(Run番号3)が、より高いCDの損失であったこと示す。HBrを含有するエッチングガス(Run番号4及び5)に対して、SO流量を減少させた場合は、許容できるCD損失であった。OもHBrも含まないガス(Run番号6〜12)は、SO流量が100sccmより低いときに、わずかであるがより良いCDの損失値が得られた。アルゴン(Run番号10)を用いた場合は、キャリアガスがヘリウム(Run番号6−9、11及び12)である場合と比較して、同様のCDの損失結果が得られた。これらの結果は、下部電極によって与えられるRFバイアスを増加させることによって、CDの損失が減少されうることをも示している。
表2は、前述したTCP(登録商標)エッチングチャンバを用いたときの、BARCエッチング速度(Å/分の単位でのER)を示す。このエッチングチャンバでは、チャンバ圧力は5mTorrであり、TCP(登録商標)パワー(ワット)、下部パワー(ワット)、He又はAr流量(sccm)、SO流量(sccm)及びHBr流量(sccm)を表2に示した。BARCは薄いので(200nmより薄い)、Ar及び/又はHe等のキャリアガスは、BARCの望ましいエッチング速度を実現するのに効果的な量だけ与えられる。
Figure 0004813755
表2で示される結果は、許容できるBARCエッチング速度は、SO及びAr又はHe等のキャリアガスだけを用いた場合に実現されうる。Heを増加させると(Run番号14)、より低い流量のAr及びHeが用いられたときに比べて、BARCエッチング速度が若干落ちる。
を用いてプラズマエッチングしたときをSOと比較してパフォーマンス(performance)の違いを調べるために行った試験では、BARC開口部の中に酸素原子からの発光(optical emission)が観察された。酸素プラズマ中の酸素原子からの発光(777.4nm及び844nm波長)は、SOプラズマよりも極めて強いことが分かった。酸素原子はフォトレジストとBARCを等方的に(isotropically)エッチングするため、Oを用いたプラズマエッチングはCDの損失につながる。
本発明の一実施形態に係る集積回路におけるフィーチャをエッチングする場合では、BARCは、窒化シリコン又は酸化シリコン、SiLK、BPSG、OSG及び低誘電率材料(low-k materials)等の誘電体層の上に配置される。このような構造を製造する間には、コンタクト、ビア、導電ライン等のフィーチャは、集積回路の製造中に酸化物層等の誘電体材料にエッチングされる。本発明は、従来のエッチング技術が抱える問題点を解決するものである。このようなエッチング技術では、BARCエッチングが、BARCエッチング後に誘電体層をエッチングする間に、CDの損失、均一性の欠如及びプロファイルの劣化(loss of profile)をまねく。
本発明の第1の側面によれば、BARCエッチングは、シングルダマシン又はデュアルダマシンエッチングプロセスに組み込まれうる。これらのシングルダマシン又はデュアルダマシンエッチングプロセスでは、ドープされた酸化膜及びドープされていない酸化膜(BPSG、PSG、TEOS)が0.25μm又はそれ以下の形状寸法(geometry)から、少なくとも1.8μmのエッチング深さ(etch depth)までエッチングされる。これらのプロセスは、小さな若しくは逆のRIEエッチング遅れ(a low or reversed RIE lag)を提供し、多層の誘電体エッチングへの応用(applications)を可能にし、デュアルダマシンデバイスの製造を可能にする。
図1(a)〜(d)は、本発明に従って、ビアファースト・デュアルダマシン構造(via-first dual-damascene structure)がどのようにエッチングされうるかを示す図である。図1(a)は、プリエッチング(pre etch)状態を示す図であり、ビアに対応する開口部10がフォトレジストマスク層12に設けられる。このフォトレジストマスク層12は、BARC13、シリコン酸化物等の第1の誘電体層14、窒化シリコン等の第1の停止層16、シリコン酸化物等の第2の誘電体層18、窒化シリコン等の第2の停止層20、シリコンウエハ等の基板22のスタック(stack)の上に位置する。図1(b)は、エッチング後の構造を示す図であり、ここでは開口部10が、誘電体層14、18及び第1の停止層16を通って第2の停止層20まで延びる。図1(c)は、トレンチ24のためのマスク層を再びパターニングした後の構造を示す図である。図1(d)は、エッチング後の構造を示す図であり、ここでは第1の誘電体層14が第1の停止層16まで下方にエッチングされる。
図2(a)〜(d)は、本発明に従って、トレンチファースト・デュアルダマシン構造(trench-first dual-damascene structure)がどのようにエッチングされうるかを示す図である。図2(a)は、プリエッチング(pre etch)状態を示す図であり、トレンチに対応する開口部30がフォトレジストマスク層32に設けられる。このフォトレジストマスク層32は、BARC33、シリコン酸化物等の第1の誘電体層34、窒化シリコン等の第1の停止層36、シリコン酸化物等の第2の誘電体層38、窒化シリコン等の第2の停止層40及びシリコンウエハ等の基板42の積み重ね(stack)の上に位置する。図2(b)は、エッチング後の構造を示す図であり、ここでは開口部30が誘電体層34を通って第1の停止層36まで延びる。図2(c)は、ビア44のためのマスク層を再びパターニングした後の構造を示す図である。図2(d)は、エッチング後の構造を示す図であり、ここでは第2の誘電体層38が第2の停止層40まで下方にエッチングされる。
図3A、Bは、本発明に従って、1つの工程で、デュアルダマシン構造(dual-damascene structure)がどのようにエッチングされうるかを示す図である。図3Aは、プリエッチング(pre etch)状態を示す図であり、トレンチに対応する開口部50がフォトレジストマスク層52に設けられる。このフォトレジストマスク層52は、BARC53、シリコン酸化物等の第1の誘電体層54、窒化シリコン等の第1の停止層56、シリコン酸化物等の第2の誘電体層58、窒化シリコン等の第2の停止層60及びシリコンウエハ等の基板62のスタック(stack)の上に位置する。1回のエッチング工程で第1の停止層56を通ってビアをエッチングするために、第1の停止層56は、開口部64を含む。図2(b)は、エッチング後の構造を示す図であり、ここでは開口部50が誘電体層54を通って第1の停止層56まで延びて、開口部64が第2の誘電体層58を通って第2の停止層60まで延びる。このような構成は、“自己整合デュアルダマシン”構造と呼ばれることがある。
本発明のプロセスは、FSG(fluorinated silicon oxide)等のドープされたシリコン酸化物、BPSG(boron phosphate silicate glass)及びPSG(phosphate silicate glass)等のケイ酸塩ガラス(silicate glass)、ポリイミド(polyimide)等の有機ポリマー材料、有機シロキサンポリマー(organic siloxane polymer)、ポリアリーレンエーテル(poly-arylene ether)、炭素がドープされたケイ酸塩ガラス(carbon-doped silicate glass)、シルセスオキサンガラス(silsesquioxane glass)、フッ素化された又はフッ素化されていないケイ酸塩ガラス(silicate glass)、ダイヤモンドライク・アモルファスカーボン(diamond-like amorphous carbon)、SiLK(Dow Chemical社から入手可能な製品)等の芳香族炭化水素ポリマー(aromatic hydrocarbon polymer)、CORAL(Novellus Systemsから入手可能な製品)等の炭素がドープされた石英ガラス(silica glass)又は4.0以下、好適には3.0以下の誘電率を持つ他の適当な誘電材料を含む、様々な低誘電率層を覆うBARC層のエッチングに適用できる。低誘電率の誘電体(low-k dielectric)は、バリア層(barrier layer)等の中間層(intermediate layer)、多結晶シリコン等の導電層又は半導体層、アルミニウム(aluminum)、銅(copper)、チタニウム(titanium)、タングステン(tungsten)、モリブデン(molybdenum)又はこれらの合金等の金属、窒化チタン(titanium nitride)等の窒化物、チタンシリサイド(titanium silicide)、コバルトシリサイド(cobalt silicide)、タングステンシリサイド(tungsten silicide)、モリデンシリサイド(molybdenum silicide)等の金属シリサイド(metal silicides)の上に重なって配置されうる。
他の実施形態では、BARCは、多結晶シリコン等の導電層又は半導体層、アルミニウム(aluminum)、銅(copper)、チタニウム(titanium)、タングステン(tungsten)、モリブデン(molybdenum)又はこれらの合金等の金属、窒化チタン(titanium nitride)等の窒化物、チタンシリサイド(titanium silicide)、コバルトシリサイド(cobalt silicide)、タングステンシリサイド(tungsten silicide)、モリデンシリサイド(molybdenum silicide)等の金属シリサイド(metal silicides)の上に重なって配置されうる。例えば、下層は、ゲート電極の一部を形成しうる。一例として、BARCは、1000〜3000Åの厚さを持つ導電性のポリシリコン層の上に形成されうる。このポリシリコン層は、50Å以下の厚さを持つSiO(silicon dioxide)等のゲート酸化物の上に配置され、ゲート酸化物はシリコン基板の上に配置される。ゲート電極のパターンを形成する場合には、フォトレジストがパターニングされ、本発明のプロセスに従ってBARCがプラズマエッチングされる。これによって、所望の導電体パターン(conductor pattern)がポリシリコン層の上に残るまでBARCの一部が取り除かれる。その後、ポリシリコンの一部がエッチングされてシリコン基板上に所望の導電体パターンを形成する。必要に応じて、シリサイド層(silicide layer)(例えば、タングステンシリサイド(tungsten silicide))等の付加的な導電体層がポリシリコン上に設けられ、本発明のプロセスはシリサイド層の上に開いた、BARC中の導電体ラインパターンをエッチングするために用いられうる。
本発明の更に他の実施形態によれば、BARCは、アルミニウム、銅又はこれらの合金等の金属導電体層の上に設けられうる。導電体ライン等のパターンを金属層に移す場合には、BARCの上層のフォトレジストが開口部の所望の導電体パターンを備えて、BARCは、金属層上に開口部のパターンが開くまで、BARC中の開口部のパターンをエッチングする発明に従ってエッチングされうる。
プラズマは、様々なタイプのプラズマ反応室で生成されうる。このようなプラズマ反応室は、典型的には、中密度を高密度プラズマにするための、RFエネルギ、マイクロ波エネルギ、磁界等のエネルギ源を有する。例えば、ラムリサーチコーポレーション(Lam Research Corporation)から入手可能な、誘導結合プラズマ反応室、電子サイクロトロン共鳴(ECR)プラズマ反応室、ヘリコンプラズマ反応室などとも呼ばれる変圧器結合プラズマ(TCP(登録商標))で作られた高密度プラズマが生成されうる。高密度プラズマを提供する高流速(high flow)プラズマ反応室の例は、本願と同じ出願人による米国特許第5,820,723号に開示されており、この開示は本願に参照によって組み込まれる。本願と同じ出願人による米国特許第6,090,304号に開示された2周波プラズマエッチング反応室等の平行平板エッチング反応室でもプラズマが生成されることができ、この開示は本願に参照によって組み込まれる。
本発明のプロセスは、図4に示す反応室100等の誘導結合プラズマ反応室で行われうる。反応室100は、その反応室の下方の壁の中のアウトレット(outlet)104に接続された真空ポンプによって所望の真空圧力が維持された内部102を含む。誘電体ウインド110の下側の周りに延びるプレナム(plenum)108にガス供給部106からガスを供給するシャワーヘッド装置(showerhead arrangement)にエッチングガスが供給されうる。反応室の上部の誘電体ウインド110の外側に1つ又は複数の巻数を有する平板スパイラルコイル(planar spiral coil)等の外部RFアンテナ114に、RF源112からのRFエネルギを供給することによって、高密度プラズマが反応室内に生成されうる。プラズマ生成源は、反応室の上端の上に真空気密で(in a vacuum tight manner)取り外し可能に実装された、モジュラー実装機構(modular mounting arrangement)一部であってもよい。
ウエハ等の半導体基板116は、基板支持体/支持アームアセンブリ全体が反応室の側壁の開口部を通してアセンブリを通すことによって反応室から取り除くことができるように、反応室の側壁からモジュラー実装機構によって取り外し可能に指示されたカンチレバーの方法で(in a cantilever fashion)実装された支持アームの一端にある。基板支持体118は、静電チャック120等のチャッキング装置(chucking apparatus)を含み、基板は誘電体フォーカスリング122によって取り囲まれうる。チャックは、エッチング処理中に基板にRFバイアスを加えるためのRFバイアス電極(RF biasing electrode)を含むことができる。ガス供給部106によって供給されるエッチングガスは、チャネルを通って、ウインド110と下層のガス供給プレート(gas distribution plate)124との間を流れて、プレート124内のガスアウトレットを通って内部102に入る。反応室は、プレート124から円錐状に延びている円筒型又は円錐型のライナー126も含むことができる。
本発明のプロセスは、図5に示す反応室200等の平行平板プラズマ反応室においても実行されうる。反応室200は、その反応室の壁の中のアウトレット204に接続された真空ポンプによって所望の真空圧力に維持された内部202を含む。エッチングガスは、ガス供給部206からガスを供給することによってシャワーヘッド電極に供給されうる。RFエネルギをRF源212からシャワーヘッド電極に供給することによって反応室内に中密度プラズマが生成されて、2つの異なる周波数でRFエネルギが下部電極に供給されうる。他の容量結合エッチング反応室(capacitively coupled etch reactors)は、シャワーヘッド若しくは上部電極にだけ又は下部電極にだけ供給されるRF電力を有する等のようにして用いられうる。
一実施形態では、本発明は、半導体基板上の誘電体層、導電層又は半導体層に、導電体ライン、ビア及び自己整合コンタクト(SAC)を含むコンタクト等の0.3μmかそれ以下の高アスペクト比を持つフィーチャをエッチングする前に、BARC層をプラズマエッチングするためのプロセスを提供するものである。本プロセスでは、硫黄を含有するガスとキャリアガス(例えば、アルゴン)とを含んだ、Oを含まないガスの混合物が、プラズマエッチング反応室内でエネルギを与えられてプラズマ状態になる。エッチングプロセス中は、エネルギを与えられたキャリアガスと、BARCに吸収されたSOと、キャリアガスの照射イオンによって吸収されたSOから放出されたOによってエッチングされるBARCの中のH及びCのと、を混合したものによってエッチングされる。
本発明によれば、エッチングガス成分(etching gas chemistry)のエッチング速度の選択性を制御するのに効果的な量でSOが加えられる。すなわち、SOを含有するエッチングガスを用いると、SOは上層のフォトレジストを等方的に攻撃することなくBARCをエッチングする。エッチングガス混合物は、不活性キャリアガスとHBr等の随意的な他のガスとを含むのが望ましい。アルゴンは、特に、BARCを攻撃するときにSOを助ける有用な内部キャリアガスである。He、Ne、Kr及び/又はXe等の他の不活性ガスが不活性キャリアガスとして用いられうる。プラズマエッチング反応室内で低い圧力を維持するためには、反応室に導入されるキャリアガスの量は低流量であってもよい。例えば、中密度から高密度プラズマの反応室に対しては、アルゴンが25〜300sccmの量で反応室に供給されうる。
等方性エッチングを提供するためには、基板支持体によって半導体基板にRFバイアスを供給するのが効果的である。例えば、基板支持体中のRFバイアス電極は、50〜1000ワットのオーダーで電力が供給されて、6、8又は12インチウエハでさえ適切にRFバイアスをかける。
反応室圧力は、反応室内でプラズマを維持するために適したレベルで維持されるのが望ましい。一般的には、反応室圧力が低すぎるとプラズマの消滅につながるが、高密度エッチング反応室において反応室圧力が高すぎるとエッチング停止(etch stop)の問題につながりうる。高密度プラズマ反応室に対しては、反応室は30mTorr以下の圧力であるのが望ましく、10mTorr以下であるのが更に望ましい。中密度プラズマ反応室に対しては、反応室は30mTorr以上の圧力であるのが望ましく、80mTorr以上であるのが更に望ましい。半導体基板で行われているエッチングでのプラズマ閉じ込め(plasma confinement)のために、基板表面での真空圧力は反応室に対して定められる真空圧力よりも高くなるであろう。
エッチング中に半導体基板を支持する基板支持体は、基板上の任意のフォトレジストが燃えることを防ぐのに十分に(例えば、基板を140℃以下に保つ)基板を冷却するのが望ましい。高密度及び中密度プラズマ反応室では、基板支持体を−20℃から+80℃に冷却すれあ十分である。基板支持体は、その処理中に基板にRFバイアスを供給するための下部電極と基板をクランピングするためのESCとを含むことができる。例えば、基板は、シリコンウエハを含む。このシリコンウエハは、静電的にクランプされ、ウエハとESCの上面との間に所望の圧力でヘリウムを供給することによって冷却される。ウエハを、例えば、0〜100℃の所望の温度に保つために、Heは、ウエハとチャックとの間の空間に2〜30Torrの圧力に保たれうる。
半導体ウエハの処理中では、以下の工程のうちの1つ又は複数の工程を実行することが望ましいであろう。すなわち、薄いフォトレジストを用いている間にクリティカルディメンジョン(CD)を維持して任意の酸化物を取り除くのが望ましいBARCエッチング、1000ÅのSiLKを残すのが望ましいSiLKビアエッチング、酸化物層に対して選択性を有する窒化物に開口部がエッチングされるスルーマスクエッチング(Through Mask Etch)(窒化物)、平滑なフロント(smooth front)と極小のファセット(minimal faceting)を持つCDを維持するのが望ましい第2のSiLKエッチングと、エッチングが酸化物、SiLK及び銅に対して選択性を有する窒化物完成エッチング(Nitride Finish Etch)である。
前述のものは、本発明に係る原理、好適な実施の形態及び動作モードを示したものである。しかしながら、本発明は、前述した特定の実施の形態に限定するように解釈すべきではない。したがって、上述の実施形態は、限定的ではなく例示的なものであると考えるべきであり、特許請求の範囲に定義されるように、本発明の範囲を逸脱しない限り、当業者によって変形なされうると認識すべきである。
図1(a)〜(d)は、本発明のプロセスに従ってエッチングされうる、ビアファースト・デュアルダマシン構造を示す図である。図1(a)は、プリエッチング状態を示し、図1(b)は、ビアがエッチングされたポストエッチング(post etch)状態を示す図であり、図1(c)は、トレンチのためにマスク層を再びパターニングした構造を示す図であり、図1(d)は、トレンチがエッチングされたポストエッチング状態を示す図である。 図2(a)〜(d)は、本発明のプロセスに従ってエッチングされうる、トレンチファースト・デュアルダマシン構造を示す図である。図2(a)は、プリエッチング状態を示し、図2(b)は、トレンチがエッチングされたポストエッチング状態を示す図であり、図2(c)は、ビアエッチングのためにパターニングされた構造を示す図であり、図2(d)は、ビアがエッチングされたポストエッチング状態を示す図である。 図3A、Bは、本発明のプロセスに従ってエッチングされうる、自己整合されたデュアルダマシン構造を示す図である。図3Aは、プリエッチング状態を示す図であり、図3Bは、トレンチとビアとがエッチングされたポストエッチングの状態を示す図である。 本発明のプロセスを実行するために用いられることができる誘導結合高密度プラズマ反応室を示す図である。 本発明のプロセスを実行するために用いられることができる中密度平行平板プラズマ反応室を示す図である。

Claims (17)

  1. 下層に対して選択性を有する有機反射防止膜をエッチングする方法であって、
    プラズマエッチング反応室内で、下層の上に有機反射防止膜を有する半導体基板を支持する工程と、
    SOHBrとキャリアガスとを含みO 分子を含まないエッチングガスに、エネルギを与えてプラズマ状態にし、前記有機反射防止膜に開口部をエッチングする工程と、
    を含み、
    前記有機反射防止膜は、その上にパターニングされたフォトレジストを有するポリマー膜であり、前記エッチングガスは、前記フォトレジストの横方向のエッチング速度を最小化して前記フォトレジストによって定められるクリティカルディメンジョンを維持することを特徴とする方法。
  2. 前記開口部には、デュアルダマシンのビア、コンタクト及びトレンチの少なくとも1つ又は自己整合されたコンタクト若しくは自己整合されたトレンチ構造が形成されるか、又は、前記開口部には、ゲート電極の導電体ラインが形成されることを特徴とする請求項1に記載の方法。
  3. 前記プラズマエッチング反応室は、ECRプラズマ反応室、誘導結合プラズマ反応室、容量結合プラズマ反応室、ヘリコンプラズマ反応室又はマグネトロンプラズマ反応室を含むことを特徴とする請求項1に記載の方法。
  4. 前記プラズマエッチング反応室は高密度誘導結合プラズマ反応室を含み、該高密度誘導結合プラズマ反応室では、平面アンテナが誘電体部材を通して該反応室にRFエネルギを誘導結合することを特徴とする請求項1に記載の方法。
  5. 前記キャリアガスはHe又はArであることを特徴とする請求項1に記載の方法。
  6. 前記プラズマエッチング反応室内の圧力は50mTorr以下であり、かつ、前記基板を支持する基板支持体の温度は−20℃〜+80℃であることを特徴とする請求項1に記載の方法。
  7. 前記プラズマエッチング反応室は、アンテナとパワーが与えられる下部電極とを有する誘導結合プラズマ反応室であり、該アンテナは200〜1000ワットのRFエネルギが供給され、該下部電極は50〜200ワットのRFエネルギが供給されることを特徴とする請求項1に記載の方法。
  8. 前記SOは、5〜200sccmの流量で前記プラズマエッチング反応室に供給されることを特徴とする請求項1に記載の方法。
  9. 前記キャリアガスは、5〜150sccmの流量で前記プラズマエッチング反応室に供給されるHe又はArガスを含むことを特徴とする請求項に記載の方法。
  10. 前記エッチングガスは、0〜150sccmの流量で前記プラズマエッチング反応室に供給されるHBrを含むことを特徴とする請求項に記載の方法。
  11. SO、HBr及びHeの前記流量は、SOが5〜200sccm、HBrが10〜50sccm並びにHeが50〜150sccmであることを特徴とする請求項10に記載の方法。
  12. 前記有機反射防止膜中の前記開口部は、ドープされた多結晶若しくは単結晶シリコン及びドープされていない多結晶若しくは単結晶シリコン、アルミニウム若しくはその合金、銅若しくはその合金、チタニウム若しくはその合金、タングステン若しくはその合金、モリブデン若しくはその合金、窒化チタン、チタンシリサイド、タングステンシリサイド、コバルトシリサイド、並びにモリブデンシリサイドで構成されるグループから選択される導電層若しくは半導体層の上に開いていることを特徴とする請求項1に記載の方法。
  13. 前記開口部は、0.25ミクロン又はそれより小さいサイズの開口部であることを特徴とする請求項1に記載の方法。
  14. 前記キャリアガスは、Ar,He,Ne、Kr、Xe又はこれらの混合物で構成されるグループから選択されることを特徴とする請求項1に記載の方法。
  15. 前記エッチング工程の間に、前記半導体基板にRFバイアスを加える工程を更に含むことを特徴とする請求項1に記載の方法。
  16. 前記エッチング工程の後に、前記開口部に金属を充填する工程を更に含むことを特徴とする請求項1に記載の方法。
  17. 前記エッチング工程は、ダマシン構造を製造する工程の一部として実行され、
    該方法は、
    前記有機反射防止膜の上層にマスク層としてフォトレジスト層を形成する工程と、
    前記フォトレジスト層をパターニングしてビア又はコンタクトの位置に対応する複数の開口部を形成する工程と、
    前記下層をエッチングして前記開口部の下に延びるビア又はコンタクトを形成する工程と、
    を更に含むことを特徴とする請求項1に記載の方法。
JP2002578550A 2001-03-30 2002-03-21 有機反射防止膜をプラズマエッチングする方法 Expired - Fee Related JP4813755B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/820,737 US6617257B2 (en) 2001-03-30 2001-03-30 Method of plasma etching organic antireflective coating
US09/820,737 2001-03-30
PCT/US2002/006650 WO2002080234A2 (en) 2001-03-30 2002-03-21 Method of plasma etching organic antireflective coating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010120922A Division JP2010219550A (ja) 2001-03-30 2010-05-26 有機反射防止膜をプラズマエッチングする方法

Publications (2)

Publication Number Publication Date
JP2004528711A JP2004528711A (ja) 2004-09-16
JP4813755B2 true JP4813755B2 (ja) 2011-11-09

Family

ID=25231592

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002578550A Expired - Fee Related JP4813755B2 (ja) 2001-03-30 2002-03-21 有機反射防止膜をプラズマエッチングする方法
JP2010120922A Pending JP2010219550A (ja) 2001-03-30 2010-05-26 有機反射防止膜をプラズマエッチングする方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010120922A Pending JP2010219550A (ja) 2001-03-30 2010-05-26 有機反射防止膜をプラズマエッチングする方法

Country Status (8)

Country Link
US (1) US6617257B2 (ja)
EP (1) EP1374288A2 (ja)
JP (2) JP4813755B2 (ja)
KR (1) KR100883291B1 (ja)
CN (1) CN100358107C (ja)
AU (1) AU2002248539A1 (ja)
TW (1) TW546737B (ja)
WO (1) WO2002080234A2 (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269666A1 (en) * 2004-06-07 2005-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuses as programmable data storage
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
WO2000077575A1 (en) 1999-06-10 2000-12-21 Alliedsignal Inc. Spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
WO2003044600A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
US6649532B1 (en) * 2002-05-09 2003-11-18 Applied Materials Inc. Methods for etching an organic anti-reflective coating
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
JP4594235B2 (ja) * 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7517801B1 (en) * 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US6884715B1 (en) 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US20050285222A1 (en) 2004-06-29 2005-12-29 Kong-Beng Thei New fuse structure
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US7192863B2 (en) * 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US20070238304A1 (en) * 2006-04-11 2007-10-11 Jui-Hung Wu Method of etching passivation layer
US8367303B2 (en) 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
DE102006044591A1 (de) * 2006-09-19 2008-04-03 Carl Zeiss Smt Ag Optische Anordnung, insbesondere Projektionsbelichtungsanlage für die EUV-Lithographie, sowie reflektives optisches Element mit verminderter Kontamination
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US20090042399A1 (en) * 2007-08-08 2009-02-12 Brian Ashley Smith Method for Dry Develop of Trilayer Photoresist Patterns
JP4614995B2 (ja) * 2007-08-23 2011-01-19 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US20100051577A1 (en) * 2008-09-03 2010-03-04 Micron Technology, Inc. Copper layer processing
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5466889B2 (ja) * 2009-06-18 2014-04-09 東京エレクトロン株式会社 多層配線の形成方法
US8093153B2 (en) * 2009-12-18 2012-01-10 United Microelectronics Corporation Method of etching oxide layer and nitride layer
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US8999838B2 (en) * 2011-08-31 2015-04-07 Macronix International Co., Ltd. Semiconductor devices and methods of manufacturing the same
CN102372250B (zh) * 2011-11-15 2015-02-18 苏州含光微纳科技有限公司 一种刻蚀金属钨材料的方法
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
KR20150090495A (ko) * 2014-01-29 2015-08-06 세메스 주식회사 기판처리장치 및 방법
KR102377531B1 (ko) 2015-01-23 2022-03-22 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN106535461B (zh) * 2016-11-11 2018-03-16 合肥中科离子医学技术装备有限公司 医用超导回旋加速器谐振腔电容调谐装置及方法
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
WO2020140202A1 (en) * 2019-01-02 2020-07-09 Yangtze Memory Technologies Co., Ltd. Method for forming dual damascene interconnect structure
CN110137073A (zh) * 2019-05-14 2019-08-16 中国科学院微电子研究所 一种各向异性刻蚀图形化聚酰亚胺层的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013400A (en) 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
FR2673763A1 (fr) 1991-03-06 1992-09-11 Centre Nat Rech Scient Procede de gravure anisotrope des polymeres par plasma.
EP0525942A2 (en) * 1991-05-31 1993-02-03 AT&T Corp. Integrated circuit fabrication process using a bilayer resist
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
TW320749B (ja) * 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5626716A (en) 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5910453A (en) 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5773199A (en) 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
KR100209698B1 (ko) 1996-10-11 1999-07-15 구본준 유기 반사방지막 식각방법
JPH10189543A (ja) * 1996-12-26 1998-07-21 Sony Corp コンタクトホールの形成方法
KR100232187B1 (ko) 1996-12-27 1999-12-01 김영환 반사방지막 식각방법
WO1998032162A1 (fr) 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
JPH10242127A (ja) 1997-02-26 1998-09-11 Sony Corp 有機系反射防止膜のプラズマエッチング方法
US5780338A (en) 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
EP0911697A3 (en) 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
JP2991177B2 (ja) * 1997-12-15 1999-12-20 日本電気株式会社 半導体装置の製造方法
US6391786B1 (en) 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6127089A (en) 1998-08-28 2000-10-03 Advanced Micro Devices, Inc. Interconnect structure with low k dielectric materials and method of making the same with single and dual damascene techniques
US6090722A (en) 1999-01-06 2000-07-18 International Business Machines Corporation Process for fabricating a semiconductor structure having a self-aligned spacer
JP2000353305A (ja) * 1999-06-11 2000-12-19 Sumitomo Metal Ind Ltd 有機膜エッチング方法、磁気ヘッドの製造方法及び磁気ヘッド
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Also Published As

Publication number Publication date
JP2010219550A (ja) 2010-09-30
CN1505832A (zh) 2004-06-16
US6617257B2 (en) 2003-09-09
US20020182881A1 (en) 2002-12-05
WO2002080234A3 (en) 2003-05-01
WO2002080234A2 (en) 2002-10-10
KR20040007480A (ko) 2004-01-24
JP2004528711A (ja) 2004-09-16
EP1374288A2 (en) 2004-01-02
KR100883291B1 (ko) 2009-02-11
TW546737B (en) 2003-08-11
AU2002248539A1 (en) 2002-10-15
CN100358107C (zh) 2007-12-26

Similar Documents

Publication Publication Date Title
JP4813755B2 (ja) 有機反射防止膜をプラズマエッチングする方法
US6630407B2 (en) Plasma etching of organic antireflective coating
US6962879B2 (en) Method of plasma etching silicon nitride
US6670278B2 (en) Method of plasma etching of silicon carbide
US7311852B2 (en) Method of plasma etching low-k dielectric materials
US7166535B2 (en) Plasma etching of silicon carbide
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP4499289B2 (ja) 誘電材料をプラズマ・エッチングする方法
US8383519B2 (en) Etching method and recording medium
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
IL190716A (en) Method for plasma etching
EP1999784A2 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7815815B2 (en) Method and apparatus for processing the peripheral and edge portions of a wafer after performance of a surface treatment thereon
KR20070020325A (ko) 유전체 재료 플라즈마 에칭 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090310

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110630

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110825

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees