JP4813755B2 - 有機反射防止膜をプラズマエッチングする方法 - Google Patents
有機反射防止膜をプラズマエッチングする方法 Download PDFInfo
- Publication number
- JP4813755B2 JP4813755B2 JP2002578550A JP2002578550A JP4813755B2 JP 4813755 B2 JP4813755 B2 JP 4813755B2 JP 2002578550 A JP2002578550 A JP 2002578550A JP 2002578550 A JP2002578550 A JP 2002578550A JP 4813755 B2 JP4813755 B2 JP 4813755B2
- Authority
- JP
- Japan
- Prior art keywords
- reaction chamber
- etching
- plasma
- opening
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims description 51
- 238000001020 plasma etching Methods 0.000 title claims description 30
- 239000011248 coating agent Substances 0.000 title claims 2
- 238000000576 coating method Methods 0.000 title claims 2
- 238000006243 chemical reaction Methods 0.000 claims description 76
- 238000005530 etching Methods 0.000 claims description 74
- 239000007789 gas Substances 0.000 claims description 51
- 239000000758 substrate Substances 0.000 claims description 36
- 229920002120 photoresistant polymer Polymers 0.000 claims description 33
- 230000008569 process Effects 0.000 claims description 23
- 239000004065 semiconductor Substances 0.000 claims description 21
- 239000012159 carrier gas Substances 0.000 claims description 18
- 238000009616 inductively coupled plasma Methods 0.000 claims description 13
- 229910052786 argon Inorganic materials 0.000 claims description 12
- 239000004020 conductor Substances 0.000 claims description 12
- 230000009977 dual effect Effects 0.000 claims description 12
- 229910052734 helium Inorganic materials 0.000 claims description 11
- 229910052751 metal Inorganic materials 0.000 claims description 11
- 239000002184 metal Substances 0.000 claims description 11
- 239000000203 mixture Substances 0.000 claims description 10
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 10
- 229910045601 alloy Inorganic materials 0.000 claims description 9
- 239000000956 alloy Substances 0.000 claims description 9
- 229910021332 silicide Inorganic materials 0.000 claims description 9
- 238000004519 manufacturing process Methods 0.000 claims description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 6
- 229910052802 copper Inorganic materials 0.000 claims description 6
- 239000010949 copper Substances 0.000 claims description 6
- 229910021342 tungsten silicide Inorganic materials 0.000 claims description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 5
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 5
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 5
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 claims description 5
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 4
- 239000010941 cobalt Substances 0.000 claims description 4
- 229910017052 cobalt Inorganic materials 0.000 claims description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 4
- 229910052750 molybdenum Inorganic materials 0.000 claims description 4
- 239000011733 molybdenum Substances 0.000 claims description 4
- 229910021344 molybdenum silicide Inorganic materials 0.000 claims description 4
- 239000010936 titanium Substances 0.000 claims description 4
- 229910052719 titanium Inorganic materials 0.000 claims description 4
- 229910021341 titanium silicide Inorganic materials 0.000 claims description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- 239000010937 tungsten Substances 0.000 claims description 4
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 claims description 3
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 claims description 3
- 238000000059 patterning Methods 0.000 claims description 2
- 229920006254 polymer film Polymers 0.000 claims description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims 2
- 229910052724 xenon Inorganic materials 0.000 claims 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 21
- 229910052814 silicon oxide Inorganic materials 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 238000010586 diagram Methods 0.000 description 9
- 239000005368 silicate glass Substances 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 7
- 125000004430 oxygen atom Chemical group O* 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 229910052717 sulfur Inorganic materials 0.000 description 7
- 239000011593 sulfur Substances 0.000 description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 239000006117 anti-reflective coating Substances 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 210000002945 adventitial reticular cell Anatomy 0.000 description 3
- 230000003667 anti-reflective effect Effects 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- -1 poly-arylene ether Chemical compound 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 229910019142 PO4 Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- YZYDPPZYDIRSJT-UHFFFAOYSA-K boron phosphate Chemical compound [B+3].[O-]P([O-])([O-])=O YZYDPPZYDIRSJT-UHFFFAOYSA-K 0.000 description 2
- 229910000149 boron phosphate Inorganic materials 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 2
- 239000010452 phosphate Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 206010001513 AIDS related complex Diseases 0.000 description 1
- 102100022717 Atypical chemokine receptor 1 Human genes 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- 241000243321 Cnidaria Species 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 101000678879 Homo sapiens Atypical chemokine receptor 1 Proteins 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 1
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00436—Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
- B81C1/00555—Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
- B81C1/00595—Control etch selectivity
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Geometry (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Drying Of Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
Description
本発明は、集積回路の製造において有機反射防止膜をプラズマエッチングするための改善された方法に関する。
集積回路の製造において共通して要求されるのは、誘電体材料にコンタクト及びビア等の開口部をエッチングすることである。この誘電体材料は、FSG(fluorinated silicon oxide)、SiO2(silicon dioxide)等のアンドープシリコン酸化物(undoped silicon oxide)、BPSG(boron phosphate silicate glass)及びPSG(phosphate silicate glass)等のケイ酸塩ガラス(silicate glass)、ドープされた熱成長シリコン酸化物(thermally grown silicon oxide)及びドープされていない熱成長シリコン酸化物、ドープされたTEOSが堆積されたシリコン酸化物又はドープされていないTEOSが堆積されたシリコン酸化物等を含む。誘電体は、多結晶シリコン等の導電層又は半導体層、アルミニウム(aluminum)、銅(copper)、チタニウム(titanium)、タングステン(tungsten)、モリブデン(molybdenum)又はこれらの合金等の金属、窒化チタン(titanium nitride)等の窒化物、チタンシリサイド(titanium silicide)、コバルトシリサイド(cobalt silicide)、タングステンシリサイド(tungsten silicide)、モリデンシリサイド(molybdenum silicide)等の金属シリサイド(metal silicides)の上に重なって配置されうる。
本発明は、下層に対して選択性を有する有機反射防止膜をエッチングする方法を提供するものであって、プラズマエッチング反応室で、下層の上に有機反射防止膜を有する半導体基板を支持する工程と、硫黄を含有するガスとキャリアガスとを含み、O2を含まないエッチングガスにエネルギを与えてプラズマ状態にし、前記有機反射防止膜に開口部をエッチングする工程と、を含む。
本発明は、半導体製造プロセスを提供するものである。この半導体製造プロセスでは、上層(overlying)のフォトレジストによって定められるクリティカルディメンジョン(CD;critical dimensions)を維持する一方で、薄い有機反射防止膜(BARC)に開口部がプラズマエッチングされうる。有機反射防止膜は、約200nm又はそれ以下の所望の厚さを有する炭化水素膜(hydrocarbon film)である。有機反射防止膜は、フォトリソグラフィプロセスで、より優れた制御を行うために用いられる。このフォトリソグラフィプロセスでは、ビア、コンタクト又はトレンチ等の開口部のパターンが、フォトレジストに形成される。従来、O2を用いてBARCをエッチングすることが一般的であった。しかしながら、O2を用いてプラズマエッチングする間、分子酸素(molecular oxygen)が酸素原子(oxygen atoms)に解離(dissociate)する。この酸素原子(oxygen atoms)は、上層のフォトレジストを横方向にエッチングし、クリティカルディメンジョンを変化させる。本発明によれば、O2を含まないが(O2-free)硫黄を含有するエッチングガスを用いることによって、フォトレジストの横方向エッチングが最小化されうる。
Claims (17)
- 下層に対して選択性を有する有機反射防止膜をエッチングする方法であって、
プラズマエッチング反応室内で、下層の上に有機反射防止膜を有する半導体基板を支持する工程と、
SO2とHBrとキャリアガスとを含みO2 分子を含まないエッチングガスに、エネルギを与えてプラズマ状態にし、前記有機反射防止膜に開口部をエッチングする工程と、
を含み、
前記有機反射防止膜は、その上にパターニングされたフォトレジストを有するポリマー膜であり、前記エッチングガスは、前記フォトレジストの横方向のエッチング速度を最小化して前記フォトレジストによって定められるクリティカルディメンジョンを維持することを特徴とする方法。 - 前記開口部には、デュアルダマシンのビア、コンタクト及びトレンチの少なくとも1つ又は自己整合されたコンタクト若しくは自己整合されたトレンチ構造が形成されるか、又は、前記開口部には、ゲート電極の導電体ラインが形成されることを特徴とする請求項1に記載の方法。
- 前記プラズマエッチング反応室は、ECRプラズマ反応室、誘導結合プラズマ反応室、容量結合プラズマ反応室、ヘリコンプラズマ反応室又はマグネトロンプラズマ反応室を含むことを特徴とする請求項1に記載の方法。
- 前記プラズマエッチング反応室は高密度誘導結合プラズマ反応室を含み、該高密度誘導結合プラズマ反応室では、平面アンテナが誘電体部材を通して該反応室にRFエネルギを誘導結合することを特徴とする請求項1に記載の方法。
- 前記キャリアガスはHe又はArであることを特徴とする請求項1に記載の方法。
- 前記プラズマエッチング反応室内の圧力は50mTorr以下であり、かつ、前記基板を支持する基板支持体の温度は−20℃〜+80℃であることを特徴とする請求項1に記載の方法。
- 前記プラズマエッチング反応室は、アンテナとパワーが与えられる下部電極とを有する誘導結合プラズマ反応室であり、該アンテナは200〜1000ワットのRFエネルギが供給され、該下部電極は50〜200ワットのRFエネルギが供給されることを特徴とする請求項1に記載の方法。
- 前記SO2は、5〜200sccmの流量で前記プラズマエッチング反応室に供給されることを特徴とする請求項1に記載の方法。
- 前記キャリアガスは、5〜150sccmの流量で前記プラズマエッチング反応室に供給されるHe又はArガスを含むことを特徴とする請求項8に記載の方法。
- 前記エッチングガスは、0〜150sccmの流量で前記プラズマエッチング反応室に供給されるHBrを含むことを特徴とする請求項9に記載の方法。
- SO2、HBr及びHeの前記流量は、SO2が5〜200sccm、HBrが10〜50sccm並びにHeが50〜150sccmであることを特徴とする請求項10に記載の方法。
- 前記有機反射防止膜中の前記開口部は、ドープされた多結晶若しくは単結晶シリコン及びドープされていない多結晶若しくは単結晶シリコン、アルミニウム若しくはその合金、銅若しくはその合金、チタニウム若しくはその合金、タングステン若しくはその合金、モリブデン若しくはその合金、窒化チタン、チタンシリサイド、タングステンシリサイド、コバルトシリサイド、並びにモリブデンシリサイドで構成されるグループから選択される導電層若しくは半導体層の上に開いていることを特徴とする請求項1に記載の方法。
- 前記開口部は、0.25ミクロン又はそれより小さいサイズの開口部であることを特徴とする請求項1に記載の方法。
- 前記キャリアガスは、Ar,He,Ne、Kr、Xe又はこれらの混合物で構成されるグループから選択されることを特徴とする請求項1に記載の方法。
- 前記エッチング工程の間に、前記半導体基板にRFバイアスを加える工程を更に含むことを特徴とする請求項1に記載の方法。
- 前記エッチング工程の後に、前記開口部に金属を充填する工程を更に含むことを特徴とする請求項1に記載の方法。
- 前記エッチング工程は、ダマシン構造を製造する工程の一部として実行され、
該方法は、
前記有機反射防止膜の上層にマスク層としてフォトレジスト層を形成する工程と、
前記フォトレジスト層をパターニングしてビア又はコンタクトの位置に対応する複数の開口部を形成する工程と、
前記下層をエッチングして前記開口部の下に延びるビア又はコンタクトを形成する工程と、
を更に含むことを特徴とする請求項1に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/820,737 | 2001-03-30 | ||
US09/820,737 US6617257B2 (en) | 2001-03-30 | 2001-03-30 | Method of plasma etching organic antireflective coating |
PCT/US2002/006650 WO2002080234A2 (en) | 2001-03-30 | 2002-03-21 | Method of plasma etching organic antireflective coating |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010120922A Division JP2010219550A (ja) | 2001-03-30 | 2010-05-26 | 有機反射防止膜をプラズマエッチングする方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004528711A JP2004528711A (ja) | 2004-09-16 |
JP4813755B2 true JP4813755B2 (ja) | 2011-11-09 |
Family
ID=25231592
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002578550A Expired - Fee Related JP4813755B2 (ja) | 2001-03-30 | 2002-03-21 | 有機反射防止膜をプラズマエッチングする方法 |
JP2010120922A Pending JP2010219550A (ja) | 2001-03-30 | 2010-05-26 | 有機反射防止膜をプラズマエッチングする方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010120922A Pending JP2010219550A (ja) | 2001-03-30 | 2010-05-26 | 有機反射防止膜をプラズマエッチングする方法 |
Country Status (8)
Country | Link |
---|---|
US (1) | US6617257B2 (ja) |
EP (1) | EP1374288A2 (ja) |
JP (2) | JP4813755B2 (ja) |
KR (1) | KR100883291B1 (ja) |
CN (1) | CN100358107C (ja) |
AU (1) | AU2002248539A1 (ja) |
TW (1) | TW546737B (ja) |
WO (1) | WO2002080234A2 (ja) |
Families Citing this family (48)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050269666A1 (en) * | 2004-06-07 | 2005-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Electrical fuses as programmable data storage |
US20020003126A1 (en) * | 1999-04-13 | 2002-01-10 | Ajay Kumar | Method of etching silicon nitride |
WO2000077575A1 (en) | 1999-06-10 | 2000-12-21 | Alliedsignal Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
JP5038567B2 (ja) * | 2001-09-26 | 2012-10-03 | 東京エレクトロン株式会社 | エッチング方法 |
KR20040075866A (ko) | 2001-11-15 | 2004-08-30 | 허니웰 인터내셔날 인코포레이티드 | 포토리소그래피용 스핀-온 무반사 코팅 |
US6649532B1 (en) * | 2002-05-09 | 2003-11-18 | Applied Materials Inc. | Methods for etching an organic anti-reflective coating |
US6846741B2 (en) * | 2002-07-24 | 2005-01-25 | International Business Machines Corporation | Sacrificial metal spacer damascene process |
JP4034164B2 (ja) | 2002-10-28 | 2008-01-16 | 富士通株式会社 | 微細パターンの作製方法及び半導体装置の製造方法 |
AU2003297861A1 (en) * | 2002-12-23 | 2004-07-29 | Tokyo Electron Limited | Method and apparatus for bilayer photoresist dry development |
US7344991B2 (en) * | 2002-12-23 | 2008-03-18 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
US6780782B1 (en) * | 2003-02-04 | 2004-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bi-level resist structure and fabrication method for contact holes on semiconductor substrates |
US6900123B2 (en) * | 2003-03-20 | 2005-05-31 | Texas Instruments Incorporated | BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control |
US8048325B2 (en) * | 2003-03-31 | 2011-11-01 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
US7202177B2 (en) * | 2003-10-08 | 2007-04-10 | Lam Research Corporation | Nitrous oxide stripping process for organosilicate glass |
US6916697B2 (en) * | 2003-10-08 | 2005-07-12 | Lam Research Corporation | Etch back process using nitrous oxide |
US8053159B2 (en) | 2003-11-18 | 2011-11-08 | Honeywell International Inc. | Antireflective coatings for via fill and photolithography applications and methods of preparation thereof |
US7517801B1 (en) | 2003-12-23 | 2009-04-14 | Lam Research Corporation | Method for selectivity control in a plasma processing system |
US6884715B1 (en) | 2004-06-04 | 2005-04-26 | International Business Machines Corporation | Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby |
US20050285222A1 (en) | 2004-06-29 | 2005-12-29 | Kong-Beng Thei | New fuse structure |
US8222155B2 (en) * | 2004-06-29 | 2012-07-17 | Lam Research Corporation | Selectivity control in a plasma processing system |
US7192863B2 (en) * | 2004-07-30 | 2007-03-20 | Texas Instruments Incorporated | Method of eliminating etch ridges in a dual damascene process |
US7361588B2 (en) * | 2005-04-04 | 2008-04-22 | Advanced Micro Devices, Inc. | Etch process for CD reduction of arc material |
US7358182B2 (en) * | 2005-12-22 | 2008-04-15 | International Business Machines Corporation | Method of forming an interconnect structure |
US20070238304A1 (en) * | 2006-04-11 | 2007-10-11 | Jui-Hung Wu | Method of etching passivation layer |
US8367303B2 (en) | 2006-07-14 | 2013-02-05 | Micron Technology, Inc. | Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control |
DE102006044591A1 (de) * | 2006-09-19 | 2008-04-03 | Carl Zeiss Smt Ag | Optische Anordnung, insbesondere Projektionsbelichtungsanlage für die EUV-Lithographie, sowie reflektives optisches Element mit verminderter Kontamination |
US8642246B2 (en) | 2007-02-26 | 2014-02-04 | Honeywell International Inc. | Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof |
US20090042399A1 (en) * | 2007-08-08 | 2009-02-12 | Brian Ashley Smith | Method for Dry Develop of Trilayer Photoresist Patterns |
JP4614995B2 (ja) * | 2007-08-23 | 2011-01-19 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
KR20090069122A (ko) * | 2007-12-24 | 2009-06-29 | 주식회사 하이닉스반도체 | 반도체 장치의 제조방법 |
US20100051577A1 (en) * | 2008-09-03 | 2010-03-04 | Micron Technology, Inc. | Copper layer processing |
JP2010283095A (ja) * | 2009-06-04 | 2010-12-16 | Hitachi Ltd | 半導体装置の製造方法 |
US8557877B2 (en) | 2009-06-10 | 2013-10-15 | Honeywell International Inc. | Anti-reflective coatings for optically transparent substrates |
JP5466889B2 (ja) * | 2009-06-18 | 2014-04-09 | 東京エレクトロン株式会社 | 多層配線の形成方法 |
US8093153B2 (en) * | 2009-12-18 | 2012-01-10 | United Microelectronics Corporation | Method of etching oxide layer and nitride layer |
JP5685762B2 (ja) * | 2011-03-07 | 2015-03-18 | みずほ情報総研株式会社 | プラズマ加工形状シミュレーション装置及びプログラム |
US8864898B2 (en) | 2011-05-31 | 2014-10-21 | Honeywell International Inc. | Coating formulations for optical elements |
US8999838B2 (en) * | 2011-08-31 | 2015-04-07 | Macronix International Co., Ltd. | Semiconductor devices and methods of manufacturing the same |
CN102372250B (zh) * | 2011-11-15 | 2015-02-18 | 苏州含光微纳科技有限公司 | 一种刻蚀金属钨材料的方法 |
US9105587B2 (en) | 2012-11-08 | 2015-08-11 | Micron Technology, Inc. | Methods of forming semiconductor structures with sulfur dioxide etch chemistries |
KR20150090495A (ko) * | 2014-01-29 | 2015-08-06 | 세메스 주식회사 | 기판처리장치 및 방법 |
KR102377531B1 (ko) | 2015-01-23 | 2022-03-22 | 삼성디스플레이 주식회사 | 유기 발광 표시 장치 및 그 제조 방법 |
WO2016167892A1 (en) | 2015-04-13 | 2016-10-20 | Honeywell International Inc. | Polysiloxane formulations and coatings for optoelectronic applications |
CN106535461B (zh) * | 2016-11-11 | 2018-03-16 | 合肥中科离子医学技术装备有限公司 | 医用超导回旋加速器谐振腔电容调谐装置及方法 |
US10157773B1 (en) * | 2017-11-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having layer with re-entrant profile and method of forming the same |
CN109804463B (zh) * | 2019-01-02 | 2021-04-16 | 长江存储科技有限责任公司 | 用于形成双镶嵌互连结构的方法 |
CN110137073A (zh) * | 2019-05-14 | 2019-08-16 | 中国科学院微电子研究所 | 一种各向异性刻蚀图形化聚酰亚胺层的方法 |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5013400A (en) | 1990-01-30 | 1991-05-07 | General Signal Corporation | Dry etch process for forming champagne profiles, and dry etch apparatus |
US5021121A (en) | 1990-02-16 | 1991-06-04 | Applied Materials, Inc. | Process for RIE etching silicon dioxide |
US5013398A (en) | 1990-05-29 | 1991-05-07 | Micron Technology, Inc. | Anisotropic etch method for a sandwich structure |
US5022958A (en) | 1990-06-27 | 1991-06-11 | At&T Bell Laboratories | Method of etching for integrated circuits with planarized dielectric |
FR2673763A1 (fr) | 1991-03-06 | 1992-09-11 | Centre Nat Rech Scient | Procede de gravure anisotrope des polymeres par plasma. |
EP0525942A2 (en) * | 1991-05-31 | 1993-02-03 | AT&T Corp. | Integrated circuit fabrication process using a bilayer resist |
US5269879A (en) | 1991-10-16 | 1993-12-14 | Lam Research Corporation | Method of etching vias without sputtering of underlying electrically conductive layer |
KR100264445B1 (ko) | 1993-10-04 | 2000-11-01 | 히가시 데쓰로 | 플라즈마처리장치 |
TW320749B (ja) * | 1994-09-22 | 1997-11-21 | Tokyo Electron Co Ltd | |
JP3778299B2 (ja) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US5626716A (en) | 1995-09-29 | 1997-05-06 | Lam Research Corporation | Plasma etching of semiconductors |
US5910453A (en) | 1996-01-16 | 1999-06-08 | Advanced Micro Devices, Inc. | Deep UV anti-reflection coating etch |
US5827437A (en) * | 1996-05-17 | 1998-10-27 | Lam Research Corporation | Multi-step metallization etch |
JP3511802B2 (ja) | 1996-05-27 | 2004-03-29 | ソニー株式会社 | 金属配線の形成方法 |
US5820723A (en) * | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US5773199A (en) | 1996-09-09 | 1998-06-30 | Vanguard International Semiconductor Corporation | Method for controlling linewidth by etching bottom anti-reflective coating |
KR100209698B1 (ko) | 1996-10-11 | 1999-07-15 | 구본준 | 유기 반사방지막 식각방법 |
JPH10189543A (ja) * | 1996-12-26 | 1998-07-21 | Sony Corp | コンタクトホールの形成方法 |
KR100232187B1 (ko) | 1996-12-27 | 1999-12-01 | 김영환 | 반사방지막 식각방법 |
CN1107342C (zh) | 1997-01-21 | 2003-04-30 | 松下电器产业株式会社 | 图案形成方法 |
JPH10209118A (ja) * | 1997-01-28 | 1998-08-07 | Sony Corp | アッシング方法 |
JPH10242127A (ja) | 1997-02-26 | 1998-09-11 | Sony Corp | 有機系反射防止膜のプラズマエッチング方法 |
US5780338A (en) | 1997-04-11 | 1998-07-14 | Vanguard International Semiconductor Corporation | Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits |
US6090304A (en) | 1997-08-28 | 2000-07-18 | Lam Research Corporation | Methods for selective plasma etch |
EP0911697A3 (en) | 1997-10-22 | 1999-09-15 | Interuniversitair Microelektronica Centrum Vzw | A fluorinated hard mask for micropatterning of polymers |
JP2991177B2 (ja) * | 1997-12-15 | 1999-12-20 | 日本電気株式会社 | 半導体装置の製造方法 |
US6391786B1 (en) | 1997-12-31 | 2002-05-21 | Lam Research Corporation | Etching process for organic anti-reflective coating |
US6040248A (en) | 1998-06-24 | 2000-03-21 | Taiwan Semiconductor Manufacturing Company | Chemistry for etching organic low-k materials |
US6380096B2 (en) * | 1998-07-09 | 2002-04-30 | Applied Materials, Inc. | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6127089A (en) | 1998-08-28 | 2000-10-03 | Advanced Micro Devices, Inc. | Interconnect structure with low k dielectric materials and method of making the same with single and dual damascene techniques |
US6090722A (en) | 1999-01-06 | 2000-07-18 | International Business Machines Corporation | Process for fabricating a semiconductor structure having a self-aligned spacer |
JP2000353305A (ja) * | 1999-06-11 | 2000-12-19 | Sumitomo Metal Ind Ltd | 有機膜エッチング方法、磁気ヘッドの製造方法及び磁気ヘッド |
US6358842B1 (en) * | 2000-08-07 | 2002-03-19 | Chartered Semiconductor Manufacturing Ltd. | Method to form damascene interconnects with sidewall passivation to protect organic dielectrics |
-
2001
- 2001-03-30 US US09/820,737 patent/US6617257B2/en not_active Expired - Lifetime
-
2002
- 2002-03-21 AU AU2002248539A patent/AU2002248539A1/en not_active Abandoned
- 2002-03-21 CN CNB02809056XA patent/CN100358107C/zh not_active Expired - Lifetime
- 2002-03-21 KR KR1020037012822A patent/KR100883291B1/ko active IP Right Grant
- 2002-03-21 JP JP2002578550A patent/JP4813755B2/ja not_active Expired - Fee Related
- 2002-03-21 EP EP02717545A patent/EP1374288A2/en not_active Withdrawn
- 2002-03-21 WO PCT/US2002/006650 patent/WO2002080234A2/en active Application Filing
- 2002-03-27 TW TW091106012A patent/TW546737B/zh not_active IP Right Cessation
-
2010
- 2010-05-26 JP JP2010120922A patent/JP2010219550A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
KR20040007480A (ko) | 2004-01-24 |
US6617257B2 (en) | 2003-09-09 |
WO2002080234A2 (en) | 2002-10-10 |
AU2002248539A1 (en) | 2002-10-15 |
TW546737B (en) | 2003-08-11 |
JP2004528711A (ja) | 2004-09-16 |
EP1374288A2 (en) | 2004-01-02 |
CN1505832A (zh) | 2004-06-16 |
JP2010219550A (ja) | 2010-09-30 |
WO2002080234A3 (en) | 2003-05-01 |
CN100358107C (zh) | 2007-12-26 |
US20020182881A1 (en) | 2002-12-05 |
KR100883291B1 (ko) | 2009-02-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4813755B2 (ja) | 有機反射防止膜をプラズマエッチングする方法 | |
US6630407B2 (en) | Plasma etching of organic antireflective coating | |
US6962879B2 (en) | Method of plasma etching silicon nitride | |
US6670278B2 (en) | Method of plasma etching of silicon carbide | |
US7311852B2 (en) | Method of plasma etching low-k dielectric materials | |
US7166535B2 (en) | Plasma etching of silicon carbide | |
US7547635B2 (en) | Process for etching dielectric films with improved resist and/or etch profile characteristics | |
KR101029947B1 (ko) | 플라즈마 에칭 성능 강화를 위한 방법 | |
JP4499289B2 (ja) | 誘電材料をプラズマ・エッチングする方法 | |
US8383519B2 (en) | Etching method and recording medium | |
US20020142610A1 (en) | Plasma etching of dielectric layer with selectivity to stop layer | |
IL190716A (en) | Method for plasma etching | |
EP1999784A2 (en) | Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps | |
US7815815B2 (en) | Method and apparatus for processing the peripheral and edge portions of a wafer after performance of a surface treatment thereon | |
KR20070020325A (ko) | 유전체 재료 플라즈마 에칭 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050322 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070831 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071130 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081216 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090310 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20100201 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110630 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20110825 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140902 Year of fee payment: 3 |
|
LAPS | Cancellation because of no payment of annual fees |