KR20040007480A - 유기 반사 방지막 플라즈마 식각 방법 - Google Patents

유기 반사 방지막 플라즈마 식각 방법 Download PDF

Info

Publication number
KR20040007480A
KR20040007480A KR10-2003-7012822A KR20037012822A KR20040007480A KR 20040007480 A KR20040007480 A KR 20040007480A KR 20037012822 A KR20037012822 A KR 20037012822A KR 20040007480 A KR20040007480 A KR 20040007480A
Authority
KR
South Korea
Prior art keywords
etching
reactor
film
plasma
gas
Prior art date
Application number
KR10-2003-7012822A
Other languages
English (en)
Other versions
KR100883291B1 (ko
Inventor
니투치앙
지앙웨이난
치앙코난
린프랭크와이.
리크리스
엔. 리데이
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20040007480A publication Critical patent/KR20040007480A/ko
Application granted granted Critical
Publication of KR100883291B1 publication Critical patent/KR100883291B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00595Control etch selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

하부막에 대한 선택비를 제공 및/또는 상부 포토레지스트에 의해 정의된 임계 치수를 유지하기 위해 포토레지스트의 측면 식각율을 최소화하는 O2-프리 황 함유 가스를 이용해 유기 반사 방지막을 식각하는 반도체 제조 공정을 개시한다. 식각 가스는 SO2와, Ar 또는 He과 같은 캐리어 가스, 및 HBr과 같은 다른 선택적인 가스를 포함할 수 있다. 이러한 공정은 다마신 구조와 같은 구조를 형성함에 있어서, 0.25 미크론 이하의 콘택 또는 비아 개구부를 식각하는 데 유용하다.

Description

유기 반사 방지막 플라즈마 식각 방법{Method of plasma etching organic antireflective coating}
일반적으로 집적회로 제조 공정에서는 유전체 안에 콘택이나 비아와 같은 개구부를 식각하는 단계가 필요하다. 유전체는 불소 처리된 산화 실리콘(FSG; fluorinated silicon oxide)과 같은 도프트(doped) 실리콘 산화물, 이산화 실리콘과 같은 언도프트(undoped) 실리콘 산화물, 보론 포스패이트 실리케이트 글래스(BPSG; boron phosphate silicate glass) 및 포스패이트 실리케이트 글래스(PSG)와 같은 실리케이트 글래스, 도프트 또는 언도프트 열성장 실리콘 산화물, 도프트 또는 언도프트 TEOS 증착 실리콘 산화물 등을 포함한다. 유전체 도펀트들은 붕소, 인 및/또는 비소를 포함한다. 유전체는 다결정 실리콘과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 혹은 이들의 합금과 같은 금속과, 티타늄 나이트라이드와 같은 질화물과, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드 등과 같은 금속 실리사이드와 같은 도체 혹은 반도체막 위에 놓일 수 있다.
실리콘 산화막 안에 개구부를 식각하기 위한 여러 가지 플라즈마 식각 기술이 미국특허 제5,013,398호; 제5,013,400호; 제5,021,121호; 제5,022,958호; 제5,269,879호; 제5,529,657호; 제5,595,627호; 제5,611,888호; 및 제5,780,338호에 개시되어 있다. 플라즈마 식각은, 상기 제'398호 특허에 개시된 평행판 플라즈마 반응기 챔버 혹은 상기 제'400호 특허에 개시된 삼극 진공관(triode) 타입 반응기와 같은 중간 밀도 반응기, 또는 상기 제'657호 특허에 개시된 유도 결합 반응기와 같은 고밀도 반응기에서 수행할 수 있다. 미국특허 제6,090,304호는 이중 주파수 플라즈마 반응기 안에서 반도체 기판을 플라즈마 식각하는 방법을 개시하고 있는데, 여기서는 첫 번째 라디오 주파수(RF) 소스가 RF 매칭 네트워크를 통해 상부 샤워헤드에 결합되어 있고 하부 전극(이것은 반도체 웨이퍼를 지지)이 제2의 매칭 네트워크를 통해 두 번째 RF 소스에 결합되어 있다.
반도체 웨이퍼 공정에 있어서, 일반적으로 포토레지스트 안에 (콘택홀과 같은) 개구부의 패턴을 현상하는 데에 사용되는 광의 광학적 반사를 최소화할 목적으로 포토레지스트 밑에 유기 하부 반사 방지막(BARC; bottom antireflective coating)을 형성하고 있다. 일반적으로, 무기 ARC를 "유전체(dielectric)" ARC 또는 DARC라고 부르고 유기 ARC를 BARC라고 부른다. 또한, 포토레지스트 패턴을 BARC로 전사하기 위해서는 레지스트 안에 형성된 개구부를 통해 BARC를 플라즈마 식각하는 것이 일반적이다. 유기 ARC 물질을 플라즈마 식각하기 위한 식각 가스 혼합물은 미국특허 제5,773,199호; 제5,910,453호; 제6,039,888호; 제6,080,678호; 및제6,090,722호에 개시되어 있다. 여기서, 상기 제'199호 특허는 CHF3+CF4+O2+Ar의 가스 혼합물을 개시하고, 상기 제'453호 특허는 N2+He+O2또는 N2+O2또는 N2+He의 가스 혼합물을 개시하며, 상기 제'888호 특허는 O2+CO의 가스 혼합물을 개시하고, 상기 제'678호 특허는 O2+SO2의 가스 혼합물을 개시하며, 상기 제'722호 특허는 C2F6+Ar의 가스 혼합물을 개시한다.
소자의 기하구조가 점점 더 작아짐에 따라, 임계 치수(CD)를 보존하면서 반사 방지막을 통하여 개구부를 플라즈마 식각하기 위해 더 높은 식각 선택비에 대한 필요성이 매우 커지고 있다. 따라서, 고식각 선택비를 제공 및/또는 이러한 막을 원하는 속도로 식각하는 플라즈마 식각 기술에 대한 요구가 있다.
본 발명은 집적회로 제조 공정에서의 반사 방지막을 플라즈마 식각하는 방법에 관한 것이다.
도 1a 내지 도 1d는 본 발명에 따라 식각될 비아 퍼스트 이중 다마신(via-first-dual-damascene) 구조의 개략적인 도면으로서, 도 1a는 식각 전 상태를 보여주고, 도 1b는 비아가 식각된 다음의 식각 후 상태를 보여주고, 도 1c는 트렌치 식각을 위해 재-패터닝된 구조를 보여주며, 도 1d는 트렌치가 식각된 다음의 식각 후 상태를 보여준다.
도 2a 내지 도 2d는 본 발명에 따라 식각될 트렌치 퍼스트 이중 다마신(trench-first-dual-damascene) 구조의 개략적인 도면으로서, 도 2a는 식각 전 상태를 보여주고, 도 2b는 트렌치가 식각된 다음의 식각 후 상태를 보여주고, 도 2c는 비아 식각을 위해 재-패터닝된 구조를 보여주며, 도 2d는 비아가 식각된 다음의 식각 후 상태를 보여준다.
도 3a 및 도 3b는 본 발명에 따라 식각될 자기정렬 이중 다마신(self-aligned dual-damascene) 구조의 개략적인 도면으로서, 도 3a는 식각 전 상태를 보여주고, 도 3b는 트렌치와 비아가 식각된 다음의 식각 후 상태를 보여준다.
도 4는 본 발명에 따른 공정을 수행하는 데에 이용될 수 있는 유도 결합 고밀도 플라즈마 반응기의 개략적인 도면이다.
도 5는 본 발명에 따른 공정을 수행하는 데에 이용될 수 있는 중간 밀도 평행판(parallel plate) 플라즈마 반응기의 개략적인 도면이다.
본 발명은 하부막과 선택비를 가지고 유기 반사 방지막을 식각하는 방법을 제공한다. 이 방법은 하부막 상에 유기 반사 방지막을 구비한 반도체 기판을 플라즈마 식각 반응기 안에 지지하는 단계와, 황 함유 가스와 캐리어 가스를 포함하는 O2-프리(free) 식각 가스를 플라즈마 상태로 활성화시켜서 상기 유기 반사 방지막 안에 개구부를 식각하는 단계를 포함한다.
바람직한 실시예에 따르면, 상기 개구부는 비아, 콘택, 및/또는 이중 다마신, 자기정렬 콘택 또는 자기정렬 트렌치 구조의 트렌치를 포함한다. 상기 개구부는 또한 게이트 전극의 도전체 라인 패턴을 포함할 수 있다. 상기 유기 반사 방지막은 패터닝된 포토레지스트 하부에 있는 폴리머막일 수 있다. 상기 식각 가스 성분이 상기 포토레지스트 안의 개구부 측벽을 보호할 수 있기 때문에, 상기 식각 가스는 상기 포토레지스트의 측면 식각을 최소화하여 상기 포토레지스트에 의해 정의된 임계 치수를 유지한다.
상기 플라즈마 식각 반응기는 ECR 플라즈마 반응기, 유도 결합 플라즈마 반응기, 용량성 결합 플라즈마 반응기, 헬리콘 플라즈마 반응기 또는 마그네트론 플라즈마 반응기를 포함할 수 있다. 바람직한 플라즈마 식각 반응기는 유전체 윈도우를 통해 상기 반응기 안으로 RF 에너지를 결합시키는 평면 안테나를 포함하는 유도 결합 플라즈마 반응기이다.
상기 황 함유 가스는 바람직하게 SO2이고 바람직한 캐리어 가스는 He 또는 Ar이다. 상기 식각 가스는 HBr을 더 포함할 수 있다. 상기 식각 단계 동안에 상기 플라즈마 식각 반응기 안의 압력은 100 mTorr 이하 및/또는 상기 기판 지지대의 온도는 -20℃ 내지 +80℃일 수 있다. 예를 들어, 상기 황 함유 가스는 상기 플라즈마 식각 반응기로 5 내지 200 sccm의 유량으로 공급되는 SO2를 포함할 수 있고 상기 캐리어 가스는 상기 플라즈마 식각 반응기로 5 내지 150 sccm의 유량으로 공급되는 He 또는 Ar을 포함할 수 있다. 상기 식각 가스에 HBr이 포함된다면, 상기 HBr은 상기 플라즈마 식각 반응기로 5 내지 150 sccm의 유량으로 공급될 수 있다. 보다 바람직하게, SO2, HBr 및 He의 유량은 5 내지 200 sccm SO2, 10 내지 50 sccm HBr 및 50 내지 150 sccm He이다.
상기 식각 단계 다음에 추가적인 식각 단계와 상기 개구부를 금속으로 채우는 후속 단계가 올 수 있다. 본 발명에 따른 식각 방법은 상기 기판 상에 포토레지스트막을 형성하는 단계, 상기 포토레지스트막을 패터닝하여 복수개의 개구부를 형성하는 단계, 및 상기 유기 반사 방지막 안에 도전체 라인의 배선 패턴, 비아 또는 콘택 개구부를 형성하는 식각 단계도 포함할 수 있다.
본 발명은 얇은 유기 반사 방지막(BARC) 상부에 형성된 포토레지스트에 의해 정의된 임계 치수를 유지하면서 상기 유기 반사 방지막 안에 개구부를 식각하는 반도체 제조 공정을 제공한다. 상기 유기 반사 방지막은 바람직하기로는 약 200 nm 이하의 두께를 가지는 탄화수소막이다. 상기 유기 반사 방지막은 비아, 콘택 또는 트렌치와 같은 개구부 패턴이 포토레지스트 안에 형성되는 포토리소그래피 공정을 더욱 잘 제어하기 위해 사용된다. 종래에는 BARC를 O2로 식각하는 것이 일반적이다. 그러나, O2를 사용한 플라즈마 식각에서는 산소 분자가 산소 원자로 분해되는데, 이것은 상부의 포토레지스트를 측면 식각하여 임계 치수(CD) 변화를 일으킨다. 본 발명에 따르면, O2-프리 황 함유 식각 가스를 사용함으로써 포토레지스트의 측면 식각을 최소화할 수 있다.
작은 선폭을 갖는 형상(feature)을 식각할 때 BARC CD 감소는 바람직하지 않다. BARC 개구부를 식각하는 동안 CD를 유지하기 위해 불소 함유 가스를 사용하는 것은 플라즈마 안의 불소가 하부막을 공격하기 때문에 프로파일과 균일성에 있어서 문제를 일으킨다. 본 발명에 따르면, 황 함유 식각 가스를 사용함으로써 이러한 문제를 제거할 수 있다. 상기 황 함유 가스는 아르곤 또는 헬륨과 같은 캐리어 가스와 함께 사용되는 SO2임이 바람직하다. 바람직한 실시예에 있어서, 상기 식각 가스는 F-프리이지만 HBr과 같은 다른 할로겐 가스를 포함할 수 있다.
상기 공정은 상기 식각 가스를 플라즈마 상태로 활성화시켜서 상기 BARC 안에 개구부를 식각하는 단계로써 실행된다. 상기 BARC를 식각하는 동안 CD 감소를 최소화하기 위해, 상기 BARC와 상부의 포토레지스트 안에 식각된 개구부의 측벽을 보호막으로 코팅하는 것이 바람직하다. 불소 함유 및 O2함유 식각 가스는 앞서 언급한 CD, 프로파일 및 균일성 문제를 일으킬 수 있지만, SO2는 식각 가스로서 몇 가지 장점을 제공한다. 예를 들어, SO2는 플라즈마 안의 유해한 산소 원자로 분해되는 것이 어렵기 때문에, 포토레지스트를 공격할 자유 산소 원자가 적어지므로 CD 감소를 최소화할 수 있다. 또한, SO2는 포토레지스트 안의 개구부 측벽에 보호막을 형성함으로써 개구부 측벽을 보호하는 데에 사용될 수 있다. BARC 식각이 하부의 유전체, 도전체 또는 반도체막과 선택비를 가진 이온 조력 식각이기 때문에 균일성과 프로파일이 개선될 수 있다. 식각되는 BARC의 표면에 SO2가 흡착되고 충돌하는 이온에 의해 SO2로부터 O2가 방출되기 때문에 선택비를 얻을 수 있다. 방출된 O2는 개구부의 바닥에서 BARC의 탄소와 수소 성분을 공격하고 하부 유전체막에 도달하면 불소 함유 식각 가스를 사용할 때보다 더 느린 속도로 하부막을 식각한다.
본 출원의 양수인인 램 리서치 코오퍼레이션으로부터 입수할 수 있는 TCPTM유도 결합 고밀도 플라즈마 식각 반응기 안에서 테스트를 실시하였다. 상기 반응기는 유전체 윈도우를 통해 상기 반응기 안으로 RF 에너지를 유도 결합시키는 평면 안테나를 포함하고, 식각될 반도체 기판은 상기 기판으로 RF 바이어스를 인가할 수 있는 하부 전극 상에 지지된다. 다음의 표에서, P는 상기 반응기 안의 진공 압력을 mTorr 단위로 나타낸 것이며, TCPTM은 상기 안테나에 인가된 파워를 와트(watts)로 나타낸 것이며, BP는 하부 전극에 인가된 전력을 의미하고, 가스 유량은 sccm 단위로 나타낸 것이며, D-CD는 밀집된 라인의 CD 바이어스를 nm 단위로 나타낸 것이고 I-CD는 고립된 라인의 CD 바이어스를 nm 단위로 나타낸 것이다.
P TCPTM BP Ar He O2 SO2 HBr D-CD I-CD
1 5 350 50 35 35 -37.3 -55.6
2 5 350 50 70 20 50 -25.3 -38.4
3 5 350 70 70 35 35 -18.5 -25.8
4 5 350 100 70 10 35 -14.6 -10
5 5 350 100 70 10 35 -16.5 -14.4
6 5 350 50 70 50 -20.1 -28.5
7 5 350 150 70 50 -12.8 -14.9
8 5 350 150 140 100 -13.5 -16.2
9 5 350 150 105 35 -12.5 -14.9
10 5 350 150 70 50 -13.1 -13.6
11 5 350 180 105 35 -11.4 -13.5
12 5 350 210 105 35 -10.0 -12.3
상기 테스트 결과는 O2함유 플라즈마 식각 가스(런 번호 1과 2)가 최고 CD 감소를 가져오고 O2-프리 식각 가스의 경우 더 많은 양의 SO2가 HBr과 결합될수록(런 번호 3) 높은 CD 감소를 초래함을 보여준다. HBr 함유 식각 가스의 SO2유량을 감소시키면(런 번호 4와 5) 수용할 만한 CD 감소를 초래하였다. O2-프리 및 HBr-프리 가스(런 번호 6-12)의 경우, SO2유량이 100 sccm 미만일 때에 약간 나은 CD 감소값을 얻을 수 있었다. 아르곤을 사용했을 때(런 번호 10), 캐리어 가스가 헬륨일 때(런 번호 6-9, 11 및 12)와 비슷한 CD 감소 결과를 보였다. 테스트 결과는 또한 하부 전극에 의해 공급되는 RF 바이어스를 증가시킴으로써 CD 감소를 감소시킬 수 있음을 보여준다.
표 2는 앞서 설명한 TCPTM식각 챔버를 사용한 BARC 식각율(ER; etch rate, Å/min)을 나타내는데, 챔버의 압력은 5 mTorr이었고, 표 2에는 TCPTM파워(와트), 하부 파워(와트), He 또는 Ar 유량(sccm), SO2유량(sccm), 및 HBr 유량(sccm)이 표시되어 있다. BARC가 얇기 때문에(200 nm 미만), BARC를 소정 식각율로 식각하는 데에 효과적인 양만큼 Ar 및/또는 He과 같은 캐리어 가스를 첨가할 수 있다.
TCPTM BP He/Ar O2 SO2 HBr BARC ER
13 350 70 70 He 0 50 0 2616
14 350 150 105 He 0 35 0 2534
15 350 150 70 Ar 0 50 0 2638
표 2에 나타낸 결과는 수용할 만한 BARC 식각율이 오로지 SO2와 Ar 또는 He과 같은 캐리어 가스를 사용할 때에 달성됨을 보여준다. He을 증가시키면(런 번호 14) 낮은 유량의 Ar 및 He을 사용했을 때보다 BARC 식각율이 약간 떨어졌다.
SO2대비 O2로 플라즈마 식각할 때의 성능 차이를 조사하기 위해 행한 테스트에서, BARC 오프닝 동안 산소 원자로부터의 광학 방출을 관찰하였다. 산소 원자의광학 방출(777.4 nm 및 844 nm 파장)은 SO2플라즈마에서보다 산소 플라즈마 안에서 훨씬 강했다. 이러한 결과는 분자 산소가 플라즈마 안에서 SO2가스보다 훨씬 쉽게 분해될 수 있음을 가리킨다. 산소 원자가 포토레지스트와 BARC를 등방성으로 식각하기 때문에, O2를 사용한 플라즈마 식각은 CD 감소를 초래한다.
본 발명의 일 실시예에 따라 집적회로 안의 형상을 식각함에 있어서, BARC는 실리콘 질화막 또는 실리콘 산화막과 같은 유전막, SiLK, BPSG, OSG 및 로우(low) k 물질(저유전 물질)을 덮을 수 있다. 이러한 막은 다마신 구조의 일부를 형성한다. 이러한 구조를 제조하는 동안에, 콘택, 비아, 도전체 라인 등과 같은 형상이 집적회로 제조 공정의 산화막과 같은 유전막 안에 식각된다. 본 발명은 BARC 식각이 CD 감소, BARC 식각 후 유전막 식각하는 동안 균일성 감소 및 프로파일 손실에 이르렀던 종래 식각 기술에 의한 문제를 해결할 수 있다.
본 발명의 일 견지에 따르면, BARC 식각이 단일 혹은 이중 다마신 식각 공정에 통합될 수 있는데, 여기서 도프트 및 언도프트 산화막(BPSG, PSG, TEOS)이 0.25㎛ 이하의 너비 및 적어도 1.8㎛의 식각 깊이로 식각된다. 이 공정은 낮거나 역전된 RIE 지연(lag)을 제공할 수 있는데 이는 다층 레벨 유전막 식각 응용을 허용하고 이중 다마신 소자 제조를 가능케 한다.
도 1a 내지 도 1d는 비아 퍼스트 이중 다마신 구조를 본 발명에 따라 식각하는 방법을 개략적으로 도시한다. 도 1a는 식각 전 상태를 보여주는데, 여기서 비아에 대응하는 개구부(10)는 BARC(13), 실리콘 산화막과 같은 제1 유전막(14), 실리콘 질화막과 같은 제1 저지막(16), 실리콘 산화막과 같은 제2 유전막(18), 실리콘 질화막과 같은 제2 저지막(20) 및 실리콘 웨이퍼와 같은 기판(22)의 스택(stack) 위에 놓이는 포토레지스트 마스킹막(12) 안에 마련된다. 도 1b는 식각 후의 구조를 나타내는데, 개구부(10)는 유전막(14, 18) 및 제1 저지막(16)을 관통해 제2 저지막(20)까지 연장한다. 도 1c는 트렌치(24)를 위해 마스킹막을 재-패터닝한 후의 구조를 나타낸다. 도 1d는 제1 유전막(14)이 제1 저지막(16)까지 식각된 후의 구조를 나타낸다.
도 2a 내지 도 2d는 트렌치 퍼스트 이중 다마신 구조를 본 발명에 따라 식각하는 방법을 개략적으로 도시한다. 도 2a는 식각 전 상태를 보여주는데, 여기서 트렌치에 대응하는 개구부(30)는 BARC(33), 실리콘 산화막과 같은 제1 유전막(34), 실리콘 질화막과 같은 제1 저지막(36), 실리콘 산화막과 같은 제2 유전막(38), 실리콘 질화막과 같은 제2 저지막(40) 및 실리콘 웨이퍼와 같은 기판(42)의 스택 위에 놓이는 포토레지스트 마스킹막(32) 안에 마련된다. 도 2b는 식각 후의 구조를 나타내는데, 개구부(30)는 제1 유전막(34)을 통해 제1 저지막(36)까지 연장한다. 도 2c는 비아(44)를 위해 마스킹막을 재-패터닝한 후의 구조를 나타낸다. 도 2d는 제2 유전막(38)이 제2 저지막(40)까지 식각된 후의 구조를 나타낸다.
도 3a 및 도 3b는 이중 다마신 구조를 본 발명에 따라 일 단계 공정으로 식각하는 방법을 개략적으로 도시한다. 도 3a는 식각 전 상태를 보여주는데, 여기서 트렌치에 대응하는 개구부(50)는 BARC(53), 실리콘 산화막과 같은 제1 유전막(54), 실리콘 질화막과 같은 제1 저지막(56), 실리콘 산화막과 같은 제2 유전막(58), 실리콘 질화막과 같은 제2 저지막(60) 및 실리콘 웨이퍼와 같은 기판(62)의 스택 위에 놓이는 포토레지스트 마스킹막(52) 안에 마련된다. 단일 식각 단계로 제1 저지막(56)을 관통하는 비아의 식각을 얻기 위해서, 제1 저지막(56)은 개구부(64)를 포함한다. 도 3b는 식각 후의 구조를 나타내는데, 여기서 개구부(50)는 제1 유전막(54)을 통해 제1 저지막(56)까지 연장하고, 개구부(64)는 제2 유전막(58)을 통해 제2 저지막(60)까지 연장한다. 이와 같은 배열은 "자기정렬 이중 다마신" 구조라고 부를 수 있다.
본 발명의 공정은, 불소 처리된 산화 실리콘(FSG)과 같은 도프트 산화 실리콘, 보론 포스패이트 실리케이트 글래스(BPSG) 및 포스패이트 실리케이트 글래스(PSG)와 같은 실리케이트 글래스, 폴리이미드와 같은 유기 폴리머, 유기 실록산(siloxane) 폴리머, 폴리-아릴렌 에테르(poly-arylene ether), 카본-도프트 실리케이트 글래스, 실세스퀴옥산(silsesquioxane) 글래스, 불소 처리된 또는 불소 처리되지 않은 실리케이트 글래스, 유사 다이아몬드 비정질 카본, SiLK(다우 케미컬사로부터 입수할 수 있는 제품)와 같은 방향족 탄화수소 폴리머, CORAL(노벨러스 시스템사로부터 입수할 수 있는 제품)과 같은 c-도프트 실리카 글래스, 또는 유전상수가 4.0 미만, 바람직하게는 3.0 미만인 다른 적당한 물질을 포함하는 다양한 로우 k 유전막 상의 BARC막을 식각하는 데에 적용할 수 있다. 상기 로우 k 유전막은 배리어막과 같은 중간막, 다결정 실리콘과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 혹은 이들의 합금과 같은 금속과, 티타늄 나이트라이드와 같은 질화물과, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드등과 같은 금속 실리사이드와 같은 도체 혹은 반도체막 위에 놓일 수 있다.
본 발명의 다른 실시예에서, BARC막은 다결정 실리콘과, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 혹은 이들의 합금과 같은 금속과, 티타늄 나이트라이드와 같은 질화물과, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드 등과 같은 금속 실리사이드와 같은 도체 혹은 반도체막 위에 놓일 수 있다. 예를 들어, 하부막은 게이트 전극의 일부를 형성할 수 있다. 예컨대, BARC는 실리콘 기판을 덮는 50Å 미만의 두께를 가진 실리콘 산화막과 같은 게이트 산화막 상에 놓이며 1000 내지 3000Å 두께를 가진 도전성 폴리실리콘막 상에 형성될 수 있다. 게이트 전극 패턴을 형성함에 있어서, 포토레지스트를 패터닝한 다음 본 발명에 따른 공정에 따라 상기 BARC를 플라즈마 식각하는데 여기서 BARC의 일부가 제거되어 폴리실리콘막 상에 원하는 도전체 패턴이 남겨진다. 후속적으로, 폴리실리콘막의 일부를 식각하여 실리콘 기판 위에 원하는 도전체 패턴을 형성한다. 필요하다면, 실리사이드막(예를 들어, 텅스텐 실리사이드)과 같은 추가적인 도전막을 폴리실리콘 상에 형성할 수 있으며 본 발명의 방법은 실리사이드막을 오픈하는 BARC 안에 도전체 라인의 패턴을 식각하는 데에 이용될 수 있다.
본 발명의 또 다른 실시예에 따르면, BARC는 알루미늄, 구리 또는 그 합금과 같은 금속 도체막 상에 형성될 수 있다. 도전체 라인과 같은 패턴을 금속막으로 전사하기 위해, 원하는 도전체 패턴의 개구부를 가진 포토레지스트를 BARC 상에 형성하고 본 발명에 의한 방법에 따라 BARC를 식각하여 금속막을 오픈하는 개구부 패턴을 BARC 안에 형성할 수 있다.
플라즈마는 다양한 형태의 플라즈마 반응기에서 만들어질 수 있다. 전형적으로 이러한 플라즈마 반응기는 중간 밀도에서부터 고밀도 플라즈마를 만들기 위해 RF 에너지, 마이크로웨이브 에너지, 자기장 등을 사용하는 에너지 소스를 갖는다. 예를 들어, 고밀도 플라즈마는 유도 결합 플라즈마 반응기라고도 부르며 램 리서치 코오퍼레이션으로부터 입수할 수 있는 트랜스포머 결합 플라즈마(TCPTM), 전자 사이클로트론 공진(ECR) 플라즈마 반응기, 헬리콘 플라즈마 반응기 또는 기타 반응기 안에서 만들어질 수 있다. 고밀도 플라즈마를 제공할 수 있는 고 유동(high flow) 플라즈마 반응기의 예는 공동 소유의 미국특허 제5,820,723호에 개시되어 있으며, 그 개시 내용은 본 명세서에서 원용되어 통합된다. 플라즈마는 또한 공동 소유의 미국특허 제6,090,304호에 개시되어 있는 이중 주파수 플라즈마 반응기와 같은 평행판 식각 반응기 안에서 만들어질 수 있으며, 그 개시 내용은 본 명세서에서 원용되어 통합된다.
본 발명의 공정은 도 4에 도시한 반응기(100)와 같은 유도 결합 플라즈마 반응기 안에서 수행할 수 있다. 반응기(100)는 반응기 하부 벽체의 출구(104)에 접속된 진공 펌프에 의해 소정 진공 압력으로 유지되는 내부(interior; 102)를 포함한다. 가스 공급부(106)로부터의 가스를 유전체 윈도우(110)의 하측 주변으로 연장하는 충만부(plenum; 108)로 공급함으로써 샤워헤드 장치에 식각 가스를 공급할 수 있다. RF 소스(112)로부터의 RF 에너지를 상기 반응기 상부의 유전체 윈도우(110)를 바깥쪽에서 1회 이상 감은 턴수(turns)를 갖는 평면 나선 코일과 같은 외부 RF안테나(114)로 공급함으로써 상기 반응기에서 고밀도 플라즈마를 발생시킬 수 있다. 플라즈마 발생 소스는 상기 반응기의 상측 단부에 진공 밀착(tight) 형태로 제거 가능하게 장착되는 모듈러 마운팅 장치의 일부일 수 있다.
웨이퍼와 같은 반도체 기판(116)은 상기 반응기 내에서 상기 반응기의 측벽으로부터 모듈러 마운팅 장치에 의해 제거 가능하게 지지되는 캔틸레버(cantilever) 척 장치와 같은 기판 지지대(118) 상에 지지된다. 기판 지지대(118)는 전체 기판 지지대/지지암(support arm) 어셈블리가 상기 반응기 측벽의 개구부를 통과하여 상기 반응기로부터 제거될 수 있도록 캔틸레버식으로 장착된 지지암의 일단에서 지지될 수 있다. 기판 지지대(118)는 정전척(120)과 같은 척킹(chucking) 장치를 포함할 수 있고, 상기 기판은 유전체 포커스 링(122)에 의해 감싸질 수 있다. 상기 척은 식각 공정 동안 상기 기판에 RF 바이어스를 인가하는 RF 바이어싱(biasing) 전극을 포함할 수 있다. 가스 공급부(106)에 의해 공급되는 식각 가스는 윈도우(110)와 하부 가스 분배판(124) 사이의 채널을 통해 흘러, 가스 분배판(124)의 가스 출구를 통해 내부(102)로 들어갈 수 있다. 또한, 상기 반응기는 가스 분배판(124)에서부터 원통형 또는 원뿔형태로 연장하는 히팅 라이너(126)를 포함할 수 있다.
본 발명의 공정은 도 5에 도시한 반응기(200)와 같은 평행판 플라즈마 반응기 안에서 수행할 수도 있다. 반응기(200)는 반응기 벽체의 출구(204)에 접속된 진공 펌프에 의해 소정 진공 압력으로 유지되는 내부(202)를 포함한다. 가스 공급부(206)로부터 가스를 공급함으로써 샤워헤드 장치에 식각 가스를 공급할 수있다. RF 소스(212)에서 상기 샤워헤드 전극 및 하부 전극으로 RF 에너지를 공급하여 중간 밀도 플라즈마를 생성하거나, 상기 샤워헤드 전극은 전기적으로 접지시키고 하부 전극으로 두 개의 다른 주파수의 RF 에너지를 공급할 수 있다. RF 파워가 샤워헤드 또는 상부 전극으로만 혹은 하부 전극으로만 공급되는 다른 용량성 결합 식각 반응기 또한 사용될 수 있다.
일 실시예에 있어서, 본 발명은 반도체 기판 상의 유전막, 도체막 또는 반도체막 안에 도전체 라인, 자기정렬 콘택(SAC)을 포함하는 콘택, 비아와 같은 0.3㎛이하 크기의 높은 종횡비를 가진 형상을 식각하기 전에 BARC막을 플라즈마 식각하기 위한 공정을 제공한다. 이 공정에서, 황 함유 가스와 캐리어 가스(예컨대, 아르곤)를 포함하는 O2-프리 가스 혼합물을 플라즈마 반응기 안에서 플라즈마 상태로 활성화시킨다. 식각 공정 동안에 BARC는 활성화된 캐리어 가스와 BARC 상에 흡착된 SO2의 조합에 의해 식각되고, 캐리어 가스의 충돌하는 이온에 의해 흡착된 SO2로부터 방출된 O2에 의해 BARC 안의 H와 C가 식각된다.
본 발명에 따르면, SO2는 식각 가스 성분의 식각율 선택비를 조절하는 데 효과적인 양만큼 추가된다. 다시 말해, SO2를 포함하는 식각 가스를 사용하면, SO2는 하부 포토레지스트를 등방성으로 공격하지 않고 BARC를 식각한다. 식각 가스 혼합물은 바람직하기로는 불활성 캐리어 가스 및 HBr과 같은 선택적인 다른 가스를 포함한다. 아르곤은 SO2가 BARC를 공격하는 것을 돕는 특히 유용한 불활성 캐리어 가스이다. He, Ne, Kr 및/또는 Xe과 같은 다른 불활성 가스들을 불활성 캐리어 가스로서 사용할 수 있다. 플라즈마 식각 반응기 안의 저압을 유지하기 위해, 상기 반응기에 도입되는 캐리어 가스의 양은 낮은 유량일 수 있다. 예를 들어, 중간 밀도 내지 고밀도 플라즈마 반응기에 대해, 아르곤은 25 내지 300 sccm의 양으로 상기 반응기로 공급될 수 있다.
이방성 식각을 제공하기 위해서, 반도체 기판에 RF 바이어스를 기판 지지대에 의해 제공하는 것이 유익하다. 예를 들어, 기판 지지대의 RF 바이어싱 전극에 500 내지 3000 와트 수준의 파워를 공급하여 6, 8, 심지어는 12인치 웨이퍼에 적절한 RF 바이어스를 인가할 수 있다.
반응기 압력은 반응기 안에 플라즈마를 유지하기 적당한 레벨로 유지됨이 바람직하다. 일반적으로, 너무 낮은 반응기 압력은 플라즈마 소멸에 이르고, 반면에 고밀도 식각 반응기 안의 너무 높은 반응기 압력은 식각 저지 문제에 이른다. 고밀도 플라즈마 반응기에 대해서, 반응기는 30 mTorr 미만, 더 바람직하게는 10 mTorr 미만의 압력으로 있게 된다. 중간 밀도 플라즈마 반응기에 대해서, 반응기는 30 mTorr 이상, 더 바람직하게는 80 mTorr 이상의 압력으로 있게 된다. 식각 중인 반도체 기판에의 플라즈마 한정(confinement) 때문에, 상기 기판 표면에의 진공 압력은 반응기에 대해 설정된 진공 압력보다 더 높을 수 있다.
식각 중에 있는 반도체 기판을 지지하는 기판 지지대는, 기판 상의 어떤 포토레지스트의 소손(burning)을 방지하기 위해, 예를 들면 상기 기판을 140℃ 이하로 유지하기 위해, 상기 기판을 충분히 냉각하는 것이 바람직하다. 고밀도 및 중간밀도 플라즈마 반응기에 있어서, -20℃ 내지 +80℃의 온도까지 기판 지지대를 냉각하는 것이 충분하다. 기판 지지대는, 공정 동안에 기판에 RF 바이어스를 공급하는 하부 전극과 기판을 클램핑하기 위한 ESC를 포함한다. 예를 들어, 실리콘 웨이퍼와 같은 기판이 정전기적으로 클램프되고 상기 웨이퍼와 그 ESC의 상부면 사이에서 소정 압력으로 헬륨을 공급함으로써 냉각될 수 있다. 예를 들어 0 내지 100℃의 원하는 온도로 상기 웨이퍼를 유지하기 위해서, 상기 He은 상기 웨이퍼와 척 사이의 공간에서 2 내지 30 Torr의 압력으로 유지될 수 있다.
반도체 웨이퍼를 처리하는 동안에 다음 단계 중 하나 이상을 수행하는 것이 필요할 수 있다. 얇은 포토레지스트를 사용하되 임계 치수(CD)를 유지하면서 산화막을 제거하는 것이 요구되는 BARC 식각, 1000Å의 SiLK를 남기는 것이 필요한 SiLK 비아 식각, 산화막에 대한 선택비를 가지고 질화막 안으로 개구부를 식각하는 쓰루 마스크(through mask) 식각(질화막), 완만한 전면(front) 및 최소의 각진 면 발달(faceting)과 함께 CD를 유지하는 것이 요구되는 제2 SiLK 식각, 및 산화막, SiLK 및 구리에 대한 선택비를 가진 질화막 마무리 식각이 그러한 단계들이다.
지금까지 본 발명 작용의 원리들, 바람직한 실시예들 및 모드들이 상술되었다. 그러나, 본 발명은 논의된 특정한 실시예들에 한정되는 것으로 해석되어서는 안된다. 따라서, 상술한 실시예들은 한정적인 것이라기보다는 예시적인 것으로 간주되어야만 하고, 변형물들이 다음에 이어지는 청구범위에 의해 정의된 바와 같은 본 발명의 범주에서 벗어남이 없이 당업자들에 의해 상기 실시예들에서 만들어질 수 있다는 것이 이해되어야만 한다.
본 발명은 얇은 유기 반사 방지막(BARC) 상부에 형성된 포토레지스트에 의해 정의된 임계 치수를 유지하면서, 하부막에 대한 고식각 선택비를 가지고 상기 유기 반사 방지막 안에 비아, 콘택, 및/또는 이중 다마신, 자기정렬 콘택 또는 자기정렬 트렌치 구조의 트렌치, 그리고 도전체 라인 패턴과 같은 개구부를 식각할 수 있다.

Claims (20)

  1. 하부막 상에 유기 반사 방지막(organic antireflective coating)을 구비한 반도체 기판을 플라즈마 식각 반응기 안에 지지하는 단계;
    황 함유 가스와 캐리어 가스를 포함하는 O2-프리(free) 식각 가스를 플라즈마 상태로 활성화시켜서 상기 유기 반사 방지막 안에 개구부를 식각하는 단계를 포함하는, 상부막 및/또는 하부막과 선택비를 가진 유기 반사 방지막 식각 방법.
  2. 제1항에 있어서, 상기 개구부는 비아, 콘택, 및/또는 이중 다마신, 자기정렬 콘택 또는 자기정렬 트렌치 구조의 트렌치, 또는 게이트 전극의 도전체 라인을 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  3. 제1항에 있어서, 상기 유기 반사 방지막은 패터닝된 포토레지스트가 상부에 형성된 폴리머막이고, 상기 식각 가스는 상기 포토레지스트의 측면 식각을 최소화하여 상기 포토레지스트에 의해 정의된 임계 치수를 유지하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  4. 제1항에 있어서, 상기 플라즈마 식각 반응기는 ECR 플라즈마 반응기, 유도 결합 플라즈마 반응기, 용량성 결합 플라즈마 반응기, 헬리콘 플라즈마 반응기 또는 마그네트론 플라즈마 반응기를 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  5. 제1항에 있어서, 상기 플라즈마 식각 반응기는 평면 안테나가 유전체 부재를 통해 상기 반응기 안으로 RF 에너지를 결합시키는 고밀도 유도 결합 플라즈마 반응기를 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  6. 제1항에 있어서, 상기 황 함유 가스는 SO2이고 상기 캐리어 가스는 He 또는 Ar인 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  7. 제1항에 있어서, 상기 식각 가스는 HBr을 더 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  8. 제1항에 있어서, 상기 플라즈마 식각 반응기 안의 압력이 50mTorr 미만 및/또는 상기 기판을 지지하는 기판 지지대의 온도가 -20℃ 내지 +80℃인 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  9. 제1항에 있어서, 상기 플라즈마 식각 반응기는 안테나와 전력 인가된 하부 전극을 가진 유도 결합 플라즈마 반응기이고, 상기 안테나에는 200 내지 1000 와트의 RF 에너지가 공급되며 상기 하부 전극에는 50 내지 200 와트의 RF 에너지가 공급되는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  10. 제1항에 있어서, 상기 황 함유 가스는 상기 플라즈마 식각 반응기로 5 내지 200 sccm의 유량으로 공급되는 SO2를 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  11. 제10항에 있어서, 상기 캐리어 가스는 상기 플라즈마 식각 반응기로 5 내지 150 sccm의 유량으로 공급되는 He 또는 Ar을 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  12. 제11항에 있어서, 상기 식각 가스는 상기 플라즈마 식각 반응기로 0 내지 150 sccm의 유량으로 공급되는 HBr을 더 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  13. 제11항에 있어서, SO2, HBr 및 He의 유량은 5 내지 200 sccm SO2, 10 내지 50 sccm HBr 및 50 내지 150 sccm He인 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  14. 제1항에 있어서, 상기 식각 가스는 SO2및 He로 이루어진 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  15. 제1항에 있어서, 상기 유기 반사 방지막 안의 상기 개구부는 도프트 및 언도프트 다결정 또는 단결정 실리콘, 알루미늄 또는 그 합금, 구리 또는 그 합금, 티타늄 또는 그 합금, 텅스텐 또는 그 합금, 몰리브덴 또는 그 합금, 티타늄 나이트라이드, 티타늄 실리사이드, 텅스텐 실리사이드, 코발트 실리사이드, 및 몰리브덴 실리사이드로 이루어진 군으로부터 선택된 금속-함유막을 포함하는 전기적 도체 또는 반도체막을 오픈하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  16. 제1항에 있어서, 상기 개구부는 0.25 미크론 이하 크기의 개구부인 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  17. 제1항에 있어서, 상기 캐리어 가스는 Ar, He, Ne, Kr, Xe 또는 그 혼합물로 이루어진 군으로부터 선택되는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  18. 제1항에 있어서, 상기 식각하는 단계 동안에 상기 반도체 기판에 RF 바이어스를 인가하는 단계를 더 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  19. 제1항에 있어서, 상기 식각 단계 다음에 상기 개구부를 금속으로 채우는 단계를 더 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
  20. 제1항에 있어서, 상기 식각 단계는 다마신 구조를 제조하는 공정의 일부로서 수행되고, 상기 방법은 마스킹막으로서 포토레지스트막을 형성하는 단계, 상기 포토레지스트막을 패터닝하여 복수개의 개구부를 형성하는 단계, 및 상기 유기 반사 방지막 안에 비아 또는 콘택 개구부를 형성하는 식각 단계를 더 포함하는 것을 특징으로 하는 유기 반사 방지막 식각 방법.
KR1020037012822A 2001-03-30 2002-03-21 유기 반사 방지막 플라즈마 식각 방법 KR100883291B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/820,737 2001-03-30
US09/820,737 US6617257B2 (en) 2001-03-30 2001-03-30 Method of plasma etching organic antireflective coating
PCT/US2002/006650 WO2002080234A2 (en) 2001-03-30 2002-03-21 Method of plasma etching organic antireflective coating

Publications (2)

Publication Number Publication Date
KR20040007480A true KR20040007480A (ko) 2004-01-24
KR100883291B1 KR100883291B1 (ko) 2009-02-11

Family

ID=25231592

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037012822A KR100883291B1 (ko) 2001-03-30 2002-03-21 유기 반사 방지막 플라즈마 식각 방법

Country Status (8)

Country Link
US (1) US6617257B2 (ko)
EP (1) EP1374288A2 (ko)
JP (2) JP4813755B2 (ko)
KR (1) KR100883291B1 (ko)
CN (1) CN100358107C (ko)
AU (1) AU2002248539A1 (ko)
TW (1) TW546737B (ko)
WO (1) WO2002080234A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150090495A (ko) * 2014-01-29 2015-08-06 세메스 주식회사 기판처리장치 및 방법

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269666A1 (en) * 2004-06-07 2005-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuses as programmable data storage
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
KR100804873B1 (ko) 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
EP1472574A4 (en) 2001-11-15 2005-06-08 Honeywell Int Inc ANTI-REFLECTIVE COATINGS DESIGNED TO BE INSTALLED BY ROTATION FOR PHOTOLITHOGRAPHY
US6649532B1 (en) * 2002-05-09 2003-11-18 Applied Materials Inc. Methods for etching an organic anti-reflective coating
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
WO2004061919A1 (en) * 2002-12-23 2004-07-22 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7517801B1 (en) * 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US6884715B1 (en) 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US20050285222A1 (en) 2004-06-29 2005-12-29 Kong-Beng Thei New fuse structure
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US7192863B2 (en) * 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US20070238304A1 (en) * 2006-04-11 2007-10-11 Jui-Hung Wu Method of etching passivation layer
US8367303B2 (en) * 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
DE102006044591A1 (de) * 2006-09-19 2008-04-03 Carl Zeiss Smt Ag Optische Anordnung, insbesondere Projektionsbelichtungsanlage für die EUV-Lithographie, sowie reflektives optisches Element mit verminderter Kontamination
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US20090042399A1 (en) * 2007-08-08 2009-02-12 Brian Ashley Smith Method for Dry Develop of Trilayer Photoresist Patterns
JP4614995B2 (ja) * 2007-08-23 2011-01-19 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US20100051577A1 (en) * 2008-09-03 2010-03-04 Micron Technology, Inc. Copper layer processing
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5466889B2 (ja) * 2009-06-18 2014-04-09 東京エレクトロン株式会社 多層配線の形成方法
US8093153B2 (en) * 2009-12-18 2012-01-10 United Microelectronics Corporation Method of etching oxide layer and nitride layer
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US8999838B2 (en) * 2011-08-31 2015-04-07 Macronix International Co., Ltd. Semiconductor devices and methods of manufacturing the same
CN102372250B (zh) * 2011-11-15 2015-02-18 苏州含光微纳科技有限公司 一种刻蚀金属钨材料的方法
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
KR102377531B1 (ko) 2015-01-23 2022-03-22 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN106535461B (zh) * 2016-11-11 2018-03-16 合肥中科离子医学技术装备有限公司 医用超导回旋加速器谐振腔电容调谐装置及方法
US10157773B1 (en) 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
CN109804463B (zh) 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
CN110137073A (zh) * 2019-05-14 2019-08-16 中国科学院微电子研究所 一种各向异性刻蚀图形化聚酰亚胺层的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013400A (en) 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
FR2673763A1 (fr) 1991-03-06 1992-09-11 Centre Nat Rech Scient Procede de gravure anisotrope des polymeres par plasma.
EP0525942A2 (en) * 1991-05-31 1993-02-03 AT&T Corp. Integrated circuit fabrication process using a bilayer resist
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
TW320749B (ko) * 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5626716A (en) 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5910453A (en) 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5773199A (en) 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
KR100209698B1 (ko) 1996-10-11 1999-07-15 구본준 유기 반사방지막 식각방법
JPH10189543A (ja) * 1996-12-26 1998-07-21 Sony Corp コンタクトホールの形成方法
KR100232187B1 (ko) 1996-12-27 1999-12-01 김영환 반사방지막 식각방법
WO1998032162A1 (fr) 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
JPH10242127A (ja) 1997-02-26 1998-09-11 Sony Corp 有機系反射防止膜のプラズマエッチング方法
US5780338A (en) 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
EP0911697A3 (en) 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
JP2991177B2 (ja) * 1997-12-15 1999-12-20 日本電気株式会社 半導体装置の製造方法
US6391786B1 (en) 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6127089A (en) 1998-08-28 2000-10-03 Advanced Micro Devices, Inc. Interconnect structure with low k dielectric materials and method of making the same with single and dual damascene techniques
US6090722A (en) 1999-01-06 2000-07-18 International Business Machines Corporation Process for fabricating a semiconductor structure having a self-aligned spacer
JP2000353305A (ja) * 1999-06-11 2000-12-19 Sumitomo Metal Ind Ltd 有機膜エッチング方法、磁気ヘッドの製造方法及び磁気ヘッド
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150090495A (ko) * 2014-01-29 2015-08-06 세메스 주식회사 기판처리장치 및 방법

Also Published As

Publication number Publication date
JP2010219550A (ja) 2010-09-30
WO2002080234A3 (en) 2003-05-01
CN100358107C (zh) 2007-12-26
JP2004528711A (ja) 2004-09-16
US6617257B2 (en) 2003-09-09
KR100883291B1 (ko) 2009-02-11
WO2002080234A2 (en) 2002-10-10
JP4813755B2 (ja) 2011-11-09
TW546737B (en) 2003-08-11
EP1374288A2 (en) 2004-01-02
AU2002248539A1 (en) 2002-10-15
CN1505832A (zh) 2004-06-16
US20020182881A1 (en) 2002-12-05

Similar Documents

Publication Publication Date Title
KR100883291B1 (ko) 유기 반사 방지막 플라즈마 식각 방법
US6630407B2 (en) Plasma etching of organic antireflective coating
US6962879B2 (en) Method of plasma etching silicon nitride
US6670278B2 (en) Method of plasma etching of silicon carbide
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100896160B1 (ko) 실리콘 카바이드 플라즈마 식각 방법
US7311852B2 (en) Method of plasma etching low-k dielectric materials
US7432209B2 (en) Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
JP4499289B2 (ja) 誘電材料をプラズマ・エッチングする方法
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US7244313B1 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
KR20030024717A (ko) 유기실리케이트 유전층을 포함하는 반도체 웨이퍼에서에칭 후에 수소로 포토레지스트를 박리하는 방법
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
KR20010099887A (ko) 유도-연결된 플라즈마 공정 시스템에서 고애스펙트비의미세 접점 에칭 공정
KR20070020325A (ko) 유전체 재료 플라즈마 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130124

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140124

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150126

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160122

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170126

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180126

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190124

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 12