KR100804873B1 - 포토리소그래피용 sog 반사방지 코팅 - Google Patents

포토리소그래피용 sog 반사방지 코팅 Download PDF

Info

Publication number
KR100804873B1
KR100804873B1 KR1020067015525A KR20067015525A KR100804873B1 KR 100804873 B1 KR100804873 B1 KR 100804873B1 KR 1020067015525 A KR1020067015525 A KR 1020067015525A KR 20067015525 A KR20067015525 A KR 20067015525A KR 100804873 B1 KR100804873 B1 KR 100804873B1
Authority
KR
South Korea
Prior art keywords
light absorbing
sog
group
silicon
absorbing compound
Prior art date
Application number
KR1020067015525A
Other languages
English (en)
Other versions
KR20060097744A (ko
Inventor
리챠드 스피어
니겔 피. 핵커
테레사 발드윈
죠셉 케네디
Original Assignee
얼라이드시그날 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/330,248 external-priority patent/US6268457B1/en
Application filed by 얼라이드시그날 인코퍼레이티드 filed Critical 얼라이드시그날 인코퍼레이티드
Publication of KR20060097744A publication Critical patent/KR20060097744A/ko
Application granted granted Critical
Publication of KR100804873B1 publication Critical patent/KR100804873B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

본 발명의 원자외선 포토리소그래피용 반사방지 코팅물은 SOG(spin-on-glass) 물질에 혼입되는 하나 이상의 유기 흡광 화합물을 포함한다. 적합한 흡광 화합물은 포토리소그래피에 사용될 수 있는 365nm, 248nm 및 193nm와 같은 파장 근처의 파장 범위에 대해 강력하게 흡광한다. 흡광 SOG 물질을 제조하는 방법은 SOG 물질의 합성 동안에 하나 이상의 유기 흡광 화합물을 알콕시실란 또는 할로실란 반응물과 혼합하는 것을 포함한다.
포토리소그래피, SOG 물질, 알콕시실란, 할로실란

Description

포토리소그래피용 SOG 반사방지 코팅 {SPIN-ON-GLASS ANTI-REFLECTIVE COATINGS FOR PHOTOLITHOGRAPHY}
도 1a 및 1b는 본 발명의 구체예에 따른 SOG 조성물에 혼입되는 흡광 화합물의 화학식을 도시한 것이다.
도 2a-2h는 포토리소그래피 공정에서 반사방지 코팅층으로서 본 발명의 구체예에 따른 흡광 SOG 조성물의 사용을 도시한 것이다.
보다 빠른 성능 요건에 부합하기 위해, 집적 회로 디바이스의 특정 배선폭의 치수는 계속 감소되어야 한다. 보다 작은 배선폭 크기를 갖는 장치의 제조는 반도체 제조시에 통상적으로 사용되는 많은 공정에 있어서 새로운 도전이다. 이러한 제조 공정중 가장 중요한 것 중 하나가 포토리소그래피이다.
포토리소그래피에 의해 제조된 패턴에서의 선폭 변동은 반도체 웨이퍼 상의 하부층(underlying layer)에서의 광 반사로부터의 광학적 간섭에 기인할 수 있는 것으로 오랫동안 인식되어 왔다. 하부층의 표면 형태(topography)로 인한 포토레지스트(photoresist) 두께의 변동은 또한 선폭 변동을 유도한다. 포토레지스트층 아 래에 도포되는 반사방지 코팅(ARC)은 조사 빔의 반사로부터의 간섭을 방지하기 위해 사용되어 왔다. 또한, 반사방지 코팅은 부분적으로 웨이퍼 표면 형태를 평탄화시켜, 포토레지스트 두께가 보다 균일하게 되기 때문에 공정 단계 동안에 선폭 변동을 개선시키도록 도와준다.
유기 중합체막, 특히 포토레지스트를 노출시키기 위해 통상적으로 사용되는 i-선(365nm) 및 g-선 (436nm) 파장에서 흡광하는 것들과 최근 사용되는 248nm 파장에서 흡광하는 것들이 반사방지 코팅으로서 사용되어 왔다. 그러나, 유기 ARC가 유기 포토레지스트와 많은 화학적 특성을 공유한다는 사실이 사용가능한 공정 순서를 제한할 수 있다. 더우기, 유기 ARC는 포토레지스트 층과 상호혼합될 수 있다. 상호혼합을 피하기 위한 해결책 중 하나는 예를 들어, 미국 특허 제 5,693,691호(Flaim et al.)에 기술된 바와 같이 유기 ARC의 추가 성분으로서 열경화성 결합제를 도입하는 것이다. 미국 특허 제 4,910,122호(Arnold et al.)에 기술된 바와 같이, 염료 또한 유기 ARC에 혼입될 수 있으며, 뿐만 아니라 임의로 습윤제, 접착 촉진제, 방부제 및 가소제와 같은 추가의 첨가제가 혼입될 수 있다.
옥시질화규소(silicon oxynitride)는 반사방지 코팅으로서 사용되어 온 또 다른 물질이다. 그러나, 옥시질화규소는 흡광에 의해서라기 보다는 상쇄 간섭 과정에 의해서 ARC로서 작용하는데, 이는 옥시질화규소 두께를 매우 엄격하게 조절할 필요가 있고, 이러한 물질이 매우 가변성인 표면 형태에 대해 ARC로서는 잘 작용하지 않을 수 있음을 의미한다. 추가로, 옥시질화규소는 일반적으로 화학 기상 증착(chemical vaper deposition)에 의해 증착되나, 포토레지스트층은 일반적으로 스 핀-코터(spin-coater)를 사용하여 도포된다. 이러한 부가적인 화학 기상 증착 공정은 공정의 복잡성을 부가시킬 수 있다.
반사방지층으로서 사용될 수 있는 또 다른 부류의 물질은 염료를 함유하는 SOG 조성물이다. 미국 특허 제 4,587,138호(Yau et al.)는 약 1중량%의 양으로 SOG와 혼합된 베이직 옐로우(basic yellow) 11번과 같은 염료를 기재하고 있다. 미국 특허 제 5,100,503호(Allman et al.)에는 TiO2, Cr2O7, MoO4, MnO4, 또는 ScO4와 같은 무기 염료를 함유하는 가교된 폴리유기실록산 및 접착 촉진제가 기술되어 있다. 이 특허에서는 추가로, SOG 조성물이 또한 평탄화 층으로서 작용함을 교시하고 있다. 그러나, 지금까지 개시된 SOG 염료 배합물은 작은 배선폭 크기를 갖는 장치를 제조하기 위해 사용하는 광원인 원자외선(deep ultraviolet), 특히 248 내지 193nm에 노출되기에 적합하지 않다. 또한, 모든 염료가 임의의 SOG 조성물에 용이하게 혼입될 수 있는 것도 아니다.
필요한 것은 원자외선 스펙트럼 영역에서 강력하고 균일하게 흡광하는 흡광 SOG 반사방지 코팅 물질이다. ARC 층은 포토레지스트 현상액(developer)에 대해 불침투성인 것이 바람직하다. 또한, 소정 범위의 흡광 화합물을 다양한 SOG 물질에 혼입하면서 원래의 SOG 물질의 바람직한 특성을 보유시키는 방법을 제공하는 것이 요망된다.
본 발명은 일반적으로 SOG(spin-on galss) 물질, 보다 구체적으로는 포토리 소그래피(photolithography)에서 반사방지층으로 사용하기 위한 흡광 SOG 물질, 및 이러한 물질을 제조하는 방법에 관한 것이다.
발명의 요약
원자외선 포토리소그래피용 반사방지 코팅 물질은 SOG 물질에 혼입되는 하나 이상의 유기 흡광 화합물을 포함한다. SOG 물질은 메틸실록산, 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴녹산, 메틸페닐실록산, 메틸페닐실세스퀴옥산 및 실리케이트 중합체를 포함한다. 본원에 사용되는 바와 같은, SOG 물질은 또한 일반식(H0 -1.0SiO1 .5-2.0)x의 수소실록산 중합체 및 일반식(HSiO1 .5)x의 수소실세스퀴옥산 중합체(여기에서, x는 약 8보다 크다)를 포함한다. 또한, 수소실세스퀴옥산 및 알콕시히드리도실록산 또는 히드록시히드리도실록산이 포함된다. SOG 물질은 추가로 일반식(H0 -1.0SiO1 .5-2.0)n(R0-1.0SiO1 .5-2.0)m의 유기히드리도실록산 중합체 및 일반식 (HSiO1 .5)n(RSiO1 .5)m의 유기히드리도실세스퀴옥산 중합체(여기에서, m은 0보다 크고, n과 m의 합은 약 8보다 크며, R은 알킬 또는 아릴이다)를 포함한다. 흡광 화합물이 혼입되는 SOG 물질의 코팅 용액은 집적 회로 디바이스의 여러 층상에서 반사방지막을 형성하는데 사용된다.
본 발명에 사용하기에 적합한 흡광 화합물은 약 375nm 미만 또는 약 260nm 미만의 파장에서 강력하게 흡광한다. 특히, 적합한 흡광 화합물은 248nm, 193nm 또는 포토리소그래피에서 사용될 수 있는 365nm과 같은 그 밖의 자외선 파장 근처 에서 적어도 약 10nm 폭의 파장 영역에서 강력하게 흡광한다. 적합한 화합물의 발색단은 일반적으로 융합되거나 융합되지 않을 수 있는 1 내지 3개의 벤젠 고리를 갖는다. 혼입가능한 흡광 화합물은 발색단에 결합되는 용이한 반응성 기를 가지며, 이러한 반응성 기는 히드록실기, 아민기, 카르복실산기, 및 규소에 1개, 2개 또는 3개의 알콕시기 또는 할로겐 원자 치환기가 결합되어 있는 치환된 실릴기를 포함한다. 이러한 반응성 기는 발색단에 직접 결합되거나, 탄화수소 브릿지를 통해 발색단에 결합될 수 있다.
적합한 유기 흡광 화합물의 예는 안트라플라브산, 9-안트라센 카르복실산, 9-안트라센 메탄올, 알리자린, 퀴니자린, 프리물린, 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 로졸산(rosolic acid), 트리에톡시실릴프로필-1,8-나프탈이미드, 9-안트라센 카르복시-메틸 트리에톡시실란, 페닐트리에톡시실란, 아조 화합물, 예컨대 4-페닐아조페놀, 및 이들의 혼합물이 포함된다.
본 발명의 또 다른 일면에 따르면, 흡광 SOG 조성물을 합성하는 방법이 제공된다. SOG 물질은 통상적으로 트리에톡시실란, 테트라에톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 테트라메톡시실란, 메틸트리메톡시실란, 트리메톡시실란, 디메틸디메톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 디페닐디에톡시실란 및 디페닐디메톡시실란과 같은 실란 반응물로부터 합성된다. 할로실란, 특히 클로로실란, 예를 들어, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 디클로로실란, 메틸디클로로실란, 디메틸디클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트 리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란, 및 클로로페닐트리메톡시실란 또한 실란 반응물로서 사용된다.
흡광 SOG 조성물을 제조하는 방법은 하나 이상의 알콕시실란, 또는 하나 이상의 할로실란, 하나 이상의 혼입가능한 유기 흡광 화합물, 산/물 혼합물, 예컨대, 질산/물 혼합물, 및 하나 이상의 용매를 혼합시켜 반응 혼합물을 형성시키는 단계; 및 이 반응 혼합물을 환류시켜 흡광 SOG 조성물을 형성시키는 단계를 포함한다. 이와 같이 해서 형성된 SOG 조성물은 하나 이상의 용매로 희석되어 다양한 두께의 막을 형성하는 코팅 용액을 제공한다. 할로실란 및 상전이 촉매를 사용하는 방법을 포함하는, 흡광 SOG 조성물을 제조하는 대안적인 방법 또한 제공된다.
본 발명의 또 다른 일면에 따르면, 화학 성분 9-안트라센 카르복시-메틸 트리에톡시실란의 흡광 화합물이 제공된다. 9-안트라센 카르복시-메틸 트리에톡시실란을 합성하는 방법은 9-안트라센 카르복실산, 클로로메틸트리에톡시실란, 트리에틸아민 및 용매를 혼합하여 반응 혼합물을 형성시키는 단계; 이 반응 혼합물을 환류시키는 단계; 환류된 반응 혼합물을 냉각시켜 침전물 및 잔류 용액을 형성시키는 단계 및 잔류 용액을 여과하여 액체인 9-안트라센 카르복시-메틸 트리에톡시실란을 제조하는 단계를 포함한다.
발명의 상세한 설명
원자외선 포토리소그래피용 반사방지 코팅 물질은 SOG 물질에 혼입되는 하나 이상의 유기 흡광 화합물을 포함한다. 이러한 흡광 SOG 조성물은 적합한 용매에 용해되어 코팅 용액을 형성하고, 반도체 디바이스(device) 제조시 여러 물질 층에 도포된다. 흡광 SOG 반사방지 코팅은 기존의 반도체 제조 공정에 용이하게 일체화되도록 설계되었다. 일체화 제공 특성은 현상액 내성, 표준 포토레지스트 공정 동안의 열적 안정성 및 하부층에 대한 선택적 제거능을 포함한다.
본 발명의 일면에 따른 조성물에 사용되는 SOG 물질은 메틸실록산, 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴옥산, 메틸페닐실록산, 메틸페닐실세스퀴옥산 및 실리케이트 중합체를 포함한다. 본원에 사용되는 바와 같은, SOG 물질은 또한 일반식(H0 -1.0SiO1 .5-2.0)x의 수소실록산 중합체 및 일반식(HSiO1 .5)x의 수소실세스퀴옥산 중합체(여기에서, x는 약 8보다 크다)를 포함한다. 또한, 수소실세스퀴옥산과 알콕시히드리도실록산 또는 히드록시히드리도실록산의 공중합체가 포함된다. SOG 물질은 추가로 일반식(H0 -1.0SiO1 .5-2.0)n(R0-1.0SiO1 .5-2.0)m의 유기히드리도실록산 중합체 및 일반식 (HSiO1 .5)n(RSiO1 .5)m의 유기히드리도실세스퀴옥산 중합체(여기에서, m은 0보다 크고, n과 m의 합은 약 8보다 크며, R은 알킬 또는 아릴이다)를 포함한다. 일부 유용한 유기히드리도실록산 중합체는 n과 m의 합이 약 8 내지 약 5000이고, R이 C1-C20 알킬기 또는 C6-C12 아릴기이다. 유기히드리도실록산 및 유기히드리도실세스퀴옥산 중합체는 다르게는 스핀-온-중합체(spin-on-polymer)로 명명된다. 특정예로는 메틸히드리도실록산, 에틸히드리도실록산, 프로필히드리도실록산, t-부틸히드리도실록산, 페닐히드리도실록산, 메틸히드리도실세스퀴옥산, 에틸히드리도실세스 퀴옥산, 프로필히드리도실세스퀴옥산, t-부틸히드리도실세스퀴옥산, 페닐히드리도실세스퀴옥산 및 이들의 조합물이 포함된다.
많은 나프탈렌- 및 안트라센 기재 화합물은 248nm 이하에서 상당히 흡광한다. 본원에서 페닐 기재 화합물과 동등하게 지칭되는 벤젠 기재 화합물은 200nm 미만의 파장에서 상당히 흡광한다. 이러한 나프탈렌-, 안트라센- 및 페닐-기재 화합물은 흔히 염료로서 언급되지만, 이들 화합물의 흡광은 스펙트럼의 가시 영역내 파장으로 제한되지 않기 때문에 본원에서는 흡광 화합물이라는 용어가 사용된다. 그러나, 이러한 흡광 화합물이 모두 ARC 물질로서 사용하기 위해 SOG에 혼입될 수 있는 것은 아니다. 본 발명에 사용하기에 적합한 흡광 화합물은 예컨대, 248nm, 193nm 또는 포토리소그래피에서 사용될 수 있는 365nm와 같은 다른 자외선 파장 근처를 중심으로 하여 적어도 약 10nm 폭의 파장 영역에 대해 흡광 피크를 갖는다. 이들 파장 주변에 단지 예를 들어 2nm 폭 미만의 협소한 흡광 피크를 갖는 흡광 화합물은 바람직하지 않다.
적합한 흡광 화합물의 발색단은 일반적으로 융합되거나 융합되지 않을 수 있는 1개, 2개 또는 3개의 벤젠 고리를 갖는다. 혼입가능한 흡광 화합물은 발색단에 결합되는 용이한 반응성 기를 가지며, 이러한 반응성 기는 히드록실기, 아민기, 카르복실산기 및 규소에 1개, 2개 또는 3개의 "이탈기", 예컨대, 알콕시기 또는 할로겐 원자가 결합되어 있는 치환된 실릴기를 포함한다. 에톡시기 또는 메톡시기 또는 염소 원자가 이탈기로서 흔히 사용된다. 따라서, 적합한 반응성 기는 실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시, 실리콘 트리메톡시, 클로로실릴, 디클로로실릴 및 트리클로로실릴기를 포함한다. 이러한 반응성 기는 예를 들어, 페닐트리에톡시실란에서와 같이 발색단에 직접 결합될 수 있거나, 예를 들어, 9-안트라센 카르복시-메틸 트리에톡시실란에서와 같이 탄화수소 브릿지를 통해 발색단에 결합될 수 있다. 예를 들어, 발색단 상에 실리콘트리에톡시기를 함유시키면 흡광 SOG 막의 안정성을 촉진시키는데 특히 유리한 것으로 밝혀졌다. 아조기 -N=N-, 및 용이한 반응성 기를 함유하는 흡광 화합물, 특히 아조기 결합 벤젠 고리를 함유하는 흡광 화합물 또한 365nm 주변에서의 흡광이 요망되는 경우에 특히 유용하다.
흡광 SOG 조성물에서, 흡광 화합물은 초기에 SOG 매트릭스에 틈새에 혼입될 수 있다. 다르게는, 흡광 화합물은 SOG 중합체에 화학적으로 결합된다. 어떠한 이론에 결부되지 않고, 본 발명자들은 용이한 반응성 기를 통해 SOG 중합체 주쇄(backbone)에 혼입가능한 흡광 화합물을 결합시키는 것이 유리한 결과를 제공할 것임을 제시하였다.
본 발명에 사용하기에 적합한 흡광 화합물의 예에는 안트라플라브산(1), 9-안트라센 카르복실산(2), 9-안트라센 메탄올(3), 알리자린(4), 퀴니자린(5), 프리물린(6), 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤(7), 로졸산(8), 트리에톡시실릴프로필-1,8-나프탈이미드(9), 9-안트라센 카르복시-메틸 트리에톡시실란(10), 페닐트리에톡시실란(11), 4-페닐아조페놀(12), 및 이들의 혼합물이 포함된다. 흡광 화합물(1-12)의 화학식은 도 1a-1b에 도시되어 있다. 유리한 결과는, 예를 들어, 9-안트라센 카르복시-메틸 트리에톡시실란(10)의 경우, 9-안트라센 메 탄올(3), 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤(7) 및 레졸산(8)의 조합물의 경우, 그리고, 페닐트리에톡시실란(11)의 경우에 얻어졌다.
*흡광 화합물(1-9) 및 (12)는 예를 들어, 알드리치 케미컬 컴패니(Aldrich Chemical Company, Milwaukee, WI)로부터 구입할 수 있다. 흡광 화합물(10)은 바로 다음에 기술되는 바와 같은 에스테르화 방법을 사용하여 합성된다. 흡광 화합물(11)은 겔레스트, 인코포레이티드(Gelest, Inc., Tullytown, PA)로부터 구입할 수 있다. 흡광 화합물(11) 뿐만 아니라 페닐 기재 흡광 화합물의 예는, 많은 것이 또한 겔레스트, 인코포레이티드로부터 구입할 수 있으며, 페닐 고리 또는 메틸페닐, 클로로페닐 및 클로로메틸페닐과 같은 치환된 페닐과 결합된 규소 기재 반응성 기를 갖는 구조를 포함한다. 특정 페닐 기재 흡광 화합물은 페닐트리메톡시실란, 벤질트리클로로실란, 클로로메틸페닐트리메톡시실란, 페닐트리플루오로실란을 포함하나, 이는 소수의 예에 불과하다. 단지 소수의 예로서 디페닐메틸에톡시실란, 디페닐디에톡시실란 및 디페닐디클로로실란과 같은 하나 또는 두개의 "이탈기"를 포함하는 디페닐 실란 또한 적합한 혼입가능한 흡광 화합물이다.
9-안트라센 카르복시-메틸 트리에톡시실란(10)을 합성하는 방법은 반응물로서 9-안트라센 카르복실산(2) 및 클로로메틸 트리에톡시실란을 사용한다. 반응물을, 4Å 분자체 상에서 미리 건조된 메틸이소부틸케톤(MIBK) 및 트리에틸아민과 혼합하여 반응 혼합물을 형성하고, 반응 혼합물을 가열 환류시키고, 약 6 내지 10시간 동안 환류시킨다. 환류 후, 반응 혼합물을 밤새 냉각시켜 다량의 고체 침전물 을 형성시킨다. 잔류 용액을 회전 증발시키고, 실리카 겔 칼럼을 통해 여과시키고, 재차 회전 증발시켜 진한 호박색의 오일성 액체로서 9-안트라센 카르복시-메틸 트리에톡시실란(10)을 생성하며, 이는 정제될 수 있다.
*본 발명의 또 다른 일면에 따르면, 흡광 SOG 조성물을 합성하는 방법이 제공된다. SOG 물질은 일반적으로 예를 들어, 트리에톡시실란(HTEOS), 테트라에톡시실란(TEOS), 메틸트리에톡시실란(MTEOS), 디메틸디에톡시실란, 테트라메톡시실란(TMOS), 메틸트리메톡시실란(MTMOS), 트리메톡시실란, 디메틸디메톡시실란, 페닐트리에톡시실란(PTEOS), 페닐트리메톡시실란(PTMOS), 디페닐디에톡시실란 및 디페닐디메톡시실란을 포함하는 여러 실란 반응물로부터 합성된다. 할로실란, 특히 클로로실란, 예를 들어, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 디클로로실란, 메틸디클로로실란, 디메틸디클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란, 및 클로로페닐트리메톡시실란 또한 실란 반응물로서 사용된다. 흡광 SOG 조성물을 생성시키기 위해, 흡광 화합물(1-12) 또는 이들의 조합물과 같은 흡광 화합물이 SOG 물질의 합성 동안에 실란 반응물과 결합될 수 있다.
제 1 방법에서, 실란 반응물, 예를 들어, HTEOS, 또는 TEOS 및 MTEOS, 또는 TMOS 및 MTMOS; 또는 대안적으로, 테트라클로로실란 및 메틸트리클로로실란, 하나 이상의 흡광 화합물, 예컨대, 흡광 화합물(1-12); 용매 또는 용매의 조합물; 및 산/물 혼합물을 포함하는 반응 혼합물을 반응 용기 내에서 형성된다. 적합한 용매로는 아세톤, 2-프로판올, 및 그 밖의 단순한 알코올, 케톤 및 에스테르, 예컨대, 1-프로판올, MIBK, 프로폭시프로판올 및 프로필 아세테이트가 포함된다. 산/물 혼합물은 예를 들어 질산 및 물이다. 그 밖의 양성자성 산 또는 산 무수물, 예컨대, 아세트산, 포름산, 인산, 염산 또는 아세트산 무수물이 대안적으로 산 혼합물에 사용된다. 형성된 혼합물을 약 1 내지 24시간 동안 환류시켜 흡광 SOG 중합체 용액을 생성시킨다.
이러한 흡광 SOG를 적합한 용매로 희석하여 다양한 두께의 막을 생성시키는 코팅 용액을 만들 수 있다. 적합한 희석제 용매로는 아세톤, 2-프로판올, 에탄올, 부탄올, 메탄올, 프로필아세테이트, 에틸 락테이트 및 프로필렌 글리콜 프로필 에테르(통상적으로 프로파졸-P(Propasol-P)로 언급됨)가 포함된다. 에틸 락테이트 및 프로필렌 글리콜 프로필 에테르와 같은 고비점을 갖는 희석제 용매가 유리한 것으로 밝혀졌다. 고비점 용매는 막의 기포 결함 형성의 가능성을 감소시키는 것으로 여겨진다. 대조적으로, 저비점 용매는 막의 가교된 상부층 아래에 갇혀 있게 되어, 이어서 베이킹 처리 단계 동안에 방출되는 경우에 공극을 생성시킬 수 있다. 본 발명에 유용한 추가의 용매로는 에틸렌 글리콜 디메틸 에테르, 다르게는, 글라임(glyme), 아니솔, 디부틸 에테르, 디프로필 에테르, 프로필렌 글리콜 메틸 에테르 아세테이트 및 펜타놀이 포함된다. 임의로, 제품 FC430(3M사에 의해 제공, Minneapolis, MN) 또는 제품 메가패스 R80(Megaface R80)(DIC사에 의해 제공, Japan)과 같은 계면활성제 또한 코팅 용액에 첨가된다. 코팅 용액은 일반적으로 약 0.5 내지 20중량% 중합체이다. 사용 전에, 코팅 용액은 표준 여과 기술에 의해 여과된다.
흡광 SOG 물질을 형성시키는 제 2의 방법에 따르면, 실란 반응물, 하나 이상의 흡광 화합물, 예컨대 흡광 화합물(1-12) 및 용매 또는 용매의 조합물을 포함하는 반응 혼합물을 반응 용기 내에서 형성시킨다. 반응 혼합물을 가열 환류시키고, 약 1 내지 24시간 동안 환류시킨다. 실란 반응물 및 용매는 상기 제 1 방법에서 기술된 바와 같다. 상기 기재된 바와 같은 산/물 혼합물을 교반하면서 반응 혼합물에 첨가한다. 형성된 혼합물을 가열 환류시키고, 약 1 내지 24시간 동안 환류시켜서 흡광 SOG 중합체를 생성시킨다. 흡광 SOG를 상기와 같이 희석하고, 여과하여어 코팅 용액을 형성한다.
흡광 유기히드리도실록산 물질을 형성시키는 방법은, 비극성 용매 및 극성 용매 둘 모두를 포함하는 이중상(dual phase) 용매와 상전이 촉매의 혼합물을 형성시키는 단계; 하나 이상의 유기트리할로실란, 히드리도트리할로실란 및 하나 이상의 흡광 화합물, 예컨대 흡광 화합물(1-12)을 첨가하여 이중상 반응 혼합물을 제공하는 단계; 및 이중상 반응 혼합물을 1 내지 24시간 동안 반응시켜 흡광 유기히드리도실록산 중합체를 생성시키는 단계를 포함한다. 상전이 촉매는 테트라부틸암모늄 클로라이드 및 벤질트리메틸암모늄 클로라이드를 포함하나, 이로 제한되는 것은 아니다. 예시적 비극성 용매로는 펜탄, 헥산, 헵탄, 시클로헥산, 벤젠, 톨루엔, 크실렌, 할로겐화 용매(예컨대, 사염화탄소) 및 이들의 혼합물이 포함되나, 이로 제한되는 것은 아니다. 유용한 극성 용매로는 물, 알코올 및 알코올과 물의 혼합물이 포함된다. 흡광 중합체 용액을 상기와 같이 희석하고, 여과하여 코팅 용액을 형성한다.
흡광 SOG 코팅 용액은 특정 제조 공정에 따라, 일반적으로 통상적인 스핀-온 증착 기술에 의해 반도체 처리 공정에서 사용되는 여러 층에 도포된다. 이러한 기술은 분배 스핀(dispense spin), 두께 스핀(thickness spin) 및 열적 베이크(thermal bake) 단계를 포함하여 흡광 SOG 반사방지막을 제조한다. 일반적인 공정은 약 20초 동안 1000 내지 4000rpm의 두께 스핀 및 각각 약 1분 동안 80℃ 내지 300℃의 온도에서 2 또는 3회의 베이크 단계를 포함한다. 본 발명에 따른 흡광 SOG 반사방지막은 약 1.3 내지 약 2.0의 굴절 지수와 0.07 초과의 소광 계수(extinction coefficient)를 나타낸다. 하기 실시예 15-17에서 보고되는 바와 같이, 0.4 초과의 소광 계수가 얻어졌다. 대조적으로, 이산화규소, 실리케이트 및 메틸실록산과 같은 유전 물질의 소광 계수는 190nm 초과의 파장에서 약 0이다.
집적 회로(IC) 디바이스의 제조시 포토리소그래피 공정에서 반사방지층으로서 본 발명에 따른 흡광 SOG 물질을 사용하는 일반적인 방법이 도 2a-2h에 도시되어 있다. 도 2a에 도시된 바와 같이, 유전층(22)은 기판(20)상에 증착된다. 기판(20)은 실리콘 기판이거나, 기판(20)은 IC 장치에서 하나 이상의 금속 상호연결층으로 구성된다. 유전층(22)은 예를 들어, TEOS로부터 유래된 이산화규소층, 실란 기재 이산화규소층, 열적 성장된 산화물, 또는 화학 기상 증착으로 생성된 메틸히드리도실록산 또는 기타 원소 또는 화합물이 혼입된 이산화규소를 포함하는 여러 가지 유전 물질로 구성될 수 있다. 유전층(22)은 일반적으로 광학적으로 투명한 매체이다. 흡광 SOG 반사방지 코팅층(24)은 종래의 포지티브 포토레지스트인 포토레지스트층(26)에 의해 피복된 유전층(22)(도 2b) 위에 도포되어, 도 2c에 도시된 스택(stack)을 생성한다. 도 2c의 스택은 도 2d에 도시된 바와 같이 마스크(30)를 통해 자외선(32)에 노출된다. 노출 동안에, 흡광 SOG ARC 층(24)은 포토레지스트를 통해 투과된 UV 광(32)을 흡광한다. 유전층(22)은 UV 파장 범위에서 투명하기 때문에, 흡광 SOG ARC층(24)이 존재하지 않는 경우, UV 광(32)은 하부 실리콘층(20)으로부터 반사하여 임계 치수, 예를 들어, 노출된 포토레지스트의 임계 치수(27)를 낮춘다. 이러한 실시예에서는, 직접적인 이미지 전달을 제공하는 포지티브 포토레지스트가 추정된다.
노출된 스택을 현상하여 도 2e의 스택을 생성한다. 흡광 SOG ARC 층(24)은 테트라메틸암모늄히드록사이드(TMAH)의 2.5% 용액과 같은 통상적인 포토레지스트 현상 용액에 내성을 갖는다. 대조적으로, 포토레지스트 물질의 일부 화학적 특성을 갖는 유기 ARC 층은 포토레지스트 현상액에 대해 보다 민감하다. 더우기, 흡광 SOG ARC 층은 환원 화학반응인, 기체 기재 포토레지스트 스트리핑 공정에 내성을 갖지만, 유기 ARC는 내성을 갖지 않는다. 따라서, 흡광 SOG 층을 사용하면 ARC 층을 다시 도포할 필요없이 포토레지스트 재작업을 용이하게 할 수 있다.
이후, 포토레지스트 층(26)의 개구를 통해 흡광 SOG ARC 층(24)에 패턴을 에칭하여 도 2f의 에칭된 스택을 생성한다. 포토레지스트에 대해 높은 선택성을 갖는 플루오로카본 에칭이 흡광 SOG ARC 층(24)을 에칭하는데 사용된다. 플루오로카 본 에칭에 대한 흡광 SOG 반응은 산소 플라즈마 에칭을 필요로 하는 유기 ARC 층에 비하여 흡광 SOG의 추가 이점을 제공한다. 산소 플라즈마 에칭은, 유기 기재 포토레지스트가 또한 산소 플라즈마에 의해 에칭되기 때문에, 현상된 포토레지스트의 임계 치수를 낮출 수 있다. 플루오로카본 플라즈마는 산소 플라즈마보다 포토레지스트를 덜 제거한다. UV 파장이 짧을수록, 초점 심도(depth of focus) 요건은 도 2d에 도시된 노출 단계에서 포토레지스트 층(26)의 두께를 제한할 것이다. 예를 들면, 193nm에서 포토레지스트층의 두께는 약 300nm이어야 하는 것으로 추정된다. 따라서, 이러한 짧은 파장이 사용되기 시작함에 따라, 포토레지스트에 대해 선택적으로 에칭될 수 있는 ARC 층을 갖는 것이 중요할 것이다.
유전층(22)을 통해 플루오로카본 에칭을 계속하여 도 2g의 스택을 생성한다. 포토레지스트층(26)은 계속되는 에칭 공정 동안에 일부 제거된다. 최종적으로, 포토레지스트층(26)은 산소 플라즈마 또는 수소 환원 화학반응을 사용하여 스트리핑되고, SOG ARC 층(24)은 예를 들어, 표준 플루오르화수소산/물 혼합물과 같은 완충된 산화물 에칭액, 또는 수성 또는 비수성 유기 아민을 사용하여 스트리핑된다. 유리하게는, SOG ARC 층은 하부 유전층에 대해 우수한 선택성을 보이는 용액으로 스트리핑될 수 있다. 따라서, 도 2a-2h에 도시된 일반적인 포토리소그래피 방법은 반사방지 코팅층으로서 흡광 SOG 물질의 공정 이점을 도시하고 있다.
흡광 SOG 물질을 합성하는 방법 뿐만 아니라 흡광 화합물 10인 9-안트라센 카르복시-메틸 트리에톡시실란의 합성 방법이 하기 실시예에 예시된다.
실시예
실시예 1
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 60g의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 115g의 부탄올, 488g의 2-프로판올, 245g의 아세톤, 329g의 에탄올, 53g의 탈이온수 및 3.8g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 용액을 여과하였다. 용액을 분배한 후, 20초 동안 3000rpm 두께 스핀을 수행하고, 각각 1분 동안 80℃ 및 180℃에서 베이킹하였다. 광학 특성을 엔 & 케이 테크놀로지 모델 1200 분석기(N & K Technology Model 1200 analyzer)를 사용하여 측정하였다. 막 두께는 1635Å이었다. 248nm에서, 굴절 지수(n)는 1.373이었고, 소광 계수(k)는 0.268이었다. 동일한 스핀 및 베이킹 공정 파라미터 및 측정 기술을 하기 실시예 모두에서 사용하였다.
실시예 2
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 로졸산을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 25g의 9-안트라센 메탄올, 10g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 5g의 로졸산, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 111g의 부탄올, 459g의 2-프로판올, 230g의 아세톤, 309g의 에탄올, 50g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=1436Å, n=1.479, k=0.1255.
실시예 3
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 로졸산을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 93g의 TEOS, 77g의 MTEOS, 20g의 9-안트라센 메탄올, 60g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 5g의 로졸산, 0.5599g의 0.1M 질산 및 71.90g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=4248Å, n=1.525, k=0.228.
실시예 4
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 로졸산을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 108g의 TEOS, 77g의 MTEOS, 10g의 9-안트라센 메탄올, 60g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 5g의 로졸산, 0.5599g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=4275Å, n=1.529, k=0.124.
실시예 5
2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 51g의 MTEOS, 60g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3592Å, n=1.563, k=0.067.
실시예 6
9-안트라센 메탄올을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 10g의 9-안트라센 메탄올, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3401Å, n=1.433, k=0.106.
실시예 7
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 로졸산을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 20g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 25g의 9-안트라센 메탄올, 5g의 로졸산, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3503Å, n=1.475, k=0.193.
실시예 8
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 로졸산을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 5g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 25g의 9-안트라센 메탄올 및 5g의 로졸산, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3119Å, n=1.454, k=0.175.
실시예 9
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 , 로졸산, 퀴니자린 및 알리자린을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 20g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 25g의 9-안 트라센 메탄올, 및 5g의 로졸산, 2g의 퀴니자린, 2g의 알리자린, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.7g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3554Å, n=1.489, k=0.193.
실시예 10
9-안트라센 메탄올, 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤 , 로졸산 및 알리자린을 함유하는 흡광 SOG의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 51.5g의 MTEOS, 5g의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 25g의 9-안트라센 메탄올, 5g의 로졸산, 2g의 알리자린, 0.5599g의 0.1M 질산 및 71.90g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 56.68g의 부탄올, 87.99g의 2-프로판올, 44.10g의 아세톤, 59.31g의 에탄올, 9.55g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3109Å, n=1.454, k=0.193.
실시예 11
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS, 30g의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.7g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다. 두께=3010Å, n=1.377, k=0.163.
실시예 12
9-안트라센 메탄올을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 123g의 TEOS, 77g의 MTEOS 및 10g의 9-안트라센 메탄올을 혼합하였다. 용액을 6시간 동안 환류시켰다. 0.6g의 0.1M 질산과 72g의 탈이온수의 혼합물을 플라스크에 첨가하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g의 부탄올, 88g의 2-프로판올, 44g의 아세톤, 59g의 에탄올, 9.5g의 탈이온수 및 3.75g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다.
실시예 13
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g의 2-프로판올, 148g의 아세톤, 90g의 TMOS, 59g의 MTMOS 및 60g의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6g의 0.1M 질산 및 72g의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 115g의 부탄올, 488g의 2-프로판올, 245g의 아세톤, 329g의 에탄올, 53g의 탈이온수 및 3.8g의 10% FC 430(3M, Minneapolis, MN)를 첨가하였다.
실시예 14
9-안트라센 카르복시 - 메틸 트리에톡시실란의 합성
2ℓ들이 플라스크에, 90.0g의 9-안트라센카르복실산, 86.0ml의 클로로메틸트리에톡시실란, 66ml의 트리에틸아민, 및 4Å 분자체(molecular sieves) 상에서 건조된 1.25ℓ의 메틸이소부틸케톤(MIBK)을 교반하고, 서서히 가열하여 환류시키고, 8.5시간 동안 환류시켰다. 용액을 2ℓ들이 테플론(Teflon) 병에 옮기고, 밤새 방치하였다. 다량의 고체 침전물이 형성되었다. MIBK 용액을 따라내고, 약 200g로 회전 증발시켰다. 동일 중량의 헥산을 첨가하고 혼합하였다. 침전물이 형성되었다. 20% 에틸아세테이트/80% 헥산으로 슬러리화된 실리카겔의 직경 1.75인치 x 높이 2인치의 칼럼을 준비하였다. MIBK/헥산 용액을 감압하에서 칼럼을 통과시키고, 칼럼을 800ml의 20% 에틸아세테이트/80% 헥산으로 세척하였다. 용액을 0.2㎛ 필터로 여과시키고, 회전 증발시켰다. 용매의 배출이 중지되었을 때, 온도를 60분 동안 35℃로 상승시켰다. 진한 호박색 오일성 액체 생성물을 수득하였다(85g).
실시예 15
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 123g(0.593몰)의 TEOS, 77g(0.432몰)의 MTEOS, 45g(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 43g(0.590몰)의 부탄올 및 1260g(8.344몰)의 에틸 락테이트를 첨가하였다. 두께=1156Å, n=1.502, k=0.446.
실시예 16
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 123g(0.593몰)의 TEOS, 77g(0.432몰)의 MTEOS, 30g(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g(0.769몰)의 부탄올, 88g(1.422몰)의 2-프로판올, 44g(0.758몰)의 아세톤, 59g(1.227몰)의 에탄올, 9.5g(0.528몰)의 탈이온수 및 3.7g의 10% FC430을 첨가하였다. 두께=1385Å, n=1.324, k=0.533.
실시예 17
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 123g(0.593몰)의 TEOS, 77g(0.432몰)의 MTEOS, 45g(0.102몰)의 9-안트라센 카르복시-메틸 트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 43g(0.590몰)의 부탄올 및 981g(8.301몰)의 프로파솔-p을 첨가하였다. 두께=1407Å, n=1.334, k=0.551.
실시예 18
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
질소 유입구, 드라이 아이스 응축기 및 기계식 교반기가 구비된 6ℓ들이 재킷 반응기에 5000ml의 헥산, 720ml의 에탄올, 65ml의 물 및 120g의 10중량%의 테트라부틸암모늄 클로라이드 수화물 수용액을 충전하였다. 혼합물을 25℃에서 교반하면서 0.5시간 동안 평형화시켰다. 트리클로로실란(377.4g, 2.78몰), 메틸트리클로 로실란(277.7g, 1.86몰) 및 (203.8g, 0.46몰)의 9-안트라센 카르복시-메틸 트리에톡시실란을 70분의 시간에 걸쳐 연동 펌프를 사용하여 반응기에 첨가하였다. 실란 및 흡광 화합물의 첨가가 완료되었을 때, 헥산을 10분 동안 라인을 통해 펌핑하였다. 반응물을 2.3시간 동안 교반하고, 에탄올/H2O 층을 제거한 후, 잔류하는 헥산 용액을 3미크론(㎛) 필터를 통해 여과시킨 후, 1㎛ 필터로 여과시켰다. 용액에 (3957g, 45.92몰)의 헥산을 첨가하였다.
실시예 19
9-안트라센 카르복시 - 메틸 트리에톡시실란을 함유하는 흡광 SOG 의 합성
5ℓ들이 플라스크 중에서, 508.8g (3.10몰)의 트리에톡시실란 (HTEOS), 135.8g (0.31몰)의 9-안트라센 카르복시-메틸 트리에톡시실란, 및 508.8g(8.77몰)의 아세톤을 자기 교반에 의해 혼합하고, 20℃ 미만으로 냉각시켰다. 508.8g(8.77몰)의 아세톤, 46.69g(2.59몰 H2O, 0.0009몰 HNO3)의 0.02N 질산 및 37.03g(2.06몰)의 탈이온수를 45분에 걸쳐 5ℓ들이 플라스크내 혼합물에 적하 깔대기를 통해 서서히 첨가하면서, 온도를 20℃ 미만으로 유지하였다. 용액을 8시간 동안 환류시켰다. 이 용액에 4631g(30.67몰)의 에틸 아세테이트를 첨가하였다.
실시예 20
페닐트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 123g(0.593몰)의 TEOS, 104g(0.432몰)의 페닐트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g(0.769몰)의 부탄올, 88g(1.422몰)의 2-프로판올, 44g(0.758몰)의 아세톤, 59g(1.227몰)의 에탄올, 9.5g(0.528몰)의 탈이온수를 첨가하였다. 두께=1727Å, n=1.957, k=0.384.
실시예 21
페닐트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 93g(0.448몰)의 TEOS, 37g(0.209몰)의 MTEOS, 100g(0.418몰)의 페닐트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g(0.769몰)의 부탄올, 88g(1.422몰)의 2-프로판올, 44g(0.758몰)의 아세톤, 59g(1.227몰)의 에탄올, 9.5g(0.528몰)의 탈이온수를 첨가하였다. 두께=1325Å, n=1.923, k=0.364.
실시예 22
페닐트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 119g(0.573몰)의 TEOS, 27g(0.153몰)의 MTEOS, 74g(0.306몰)의 페닐트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g(0.769몰)의 부탄올, 88g(1.422몰)의 2-프로판올, 44g(0.758몰)의 아세톤, 59g(1.227몰)의 에탄올, 9.5g(0.528몰)의 탈이온수를 첨가하였다. 두께=1286Å, n=1.889, k=0.286.
실시예 23
페닐트리에톡시실란을 함유하는 흡광 SOG 의 합성
1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 73g(0.351몰)의 TEOS, 45g(0.251몰)의 MTEOS, 121g(0.503몰)의 페닐트리에톡시실란, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g(0.769몰)의 부탄올, 88g(1.422몰)의 2-프로판올, 44g(0.758몰)의 아세톤, 59g(1.227몰)의 에탄올, 9.5g(0.528몰)의 탈이온수를 첨가하였다. 두께=1047Å, n=1.993, k=0.378.
실시예 24
페닐트리에톡시실란 및 2-히드록시-4(3- 트리에톡시실릴프로폭시 )- 디페닐케톤을 함유하는 흡광 SOG의 합성
*1ℓ들이 플라스크에, 297g(4.798몰)의 2-프로판올, 148g(2.558몰)의 아세톤, 73g(0.351몰)의 TEOS, 45g(0.251몰)의 MTEOS, 103g(0.428몰)의 페닐트리에톡시실란, 12g(0.0298몰)의 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 0.6g의 0.1M 질산 및 72g(3.716몰)의 탈이온수를 혼합하였다. 플라스크를 4시간 동안 환류시켰다. 이 용액에, 57g(0.769몰)의 부탄올, 88g(1.422몰)의 2-프로판올, 44g(0.758몰)의 아세톤, 59g(1.227몰)의 에탄올, 9.5g(0.528몰)의 탈이온수를 첨가하였다. 두께=1514Å, n=1.969, k=0.325.
본 발명이 특정 실시예를 참조로 기술되었지만, 이러한 기술은 본원 발명의 예에 불과하며, 제한하는 것은 아닌 것으로 이해해야 한다. 기술된 실시예의 여러가지 적용 및 특징들의 조합은 하기 청구 범위에서 정하는 바와 같이 본 발명의 범위내에 있는 것이다.
본 발명은 일반적으로 SOG(spin-on galss) 물질, 보다 구체적으로는 포토리소그래피(photolithography)에서 반사방지층으로 사용하기 위한 흡광 SOG 물질, 및 이러한 물질을 제조하는 방법을 얻는 발명의 효과를 갖는다.

Claims (11)

  1. 실록산 중합체, 및 375nm 미만의 파장 범위에서 10nm 이상의 폭의 파장 범위에 대해 강력하게 흡광하는 혼입가능한 유기 흡광 화합물을 포함하는 흡광 SOG(spin-on-glass) 조성물로서, 상기 유기 흡광 화합물은 1 내지 3개의 벤젠 고리, 및 히드록실기, 아민기, 카르복실산기, 및 규소에 알콕시기 및 할로겐 원자로 이루어진 군으로부터 선택된 하나 이상의 치환기가 결합되어 있는 치환된 실릴기로 이루어진 군으로부터 선택된 반응성 기를 포함하며, 상기 반응성 기는 벤젠 고리에 직접 결합되거나 탄화수소 브릿지를 통해 결합된 조성물.
  2. 제1항에 있어서, 파장 범위가 260nm 미만임을 특징으로 하는 조성물.
  3. 삭제
  4. 제1항에 있어서, 유기 흡광 화합물이 실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시, 실리콘트리메톡시, 클로로실릴, 디클로로실릴 및 트리클로로실릴기로 이루어진 군으로부터 선택된 반응성 기를 포함함을 특징으로 하는 조성물.
  5. 제1항에 있어서, 유기 흡광 화합물이 실리콘트리에톡시 반응성 기를 포함함을 특징으로 하는 조성물.
  6. 삭제
  7. 제1항에 있어서, 유기 흡광 화합물이 안트라플라브산, 9-안트라센 카르복실산, 9-안트라센 메탄올, 알리자린(alizarin), 퀴니자린(quinizarin), 프리물린(primuline), 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 로졸산(rosolic acid), 트리에톡시실릴프로필-1,8-나프탈이미드, 9-안트라센 카르복시-메틸 트리에톡시실란, 페닐트리에톡시실란, 4-페닐아조페놀, 및 이들의 혼합물로 이루어진 군으로부터 선택된 흡광 화합물을 포함함을 특징으로 하는 조성물.
  8. 제1항에 있어서, 유기 흡광 화합물이 9-안트라센 메탄올, 알리자린, 퀴니자린, 2-히드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 로졸산, 9-안트라센 카르복시-메틸 트리에톡시실란, 페닐트리에톡시실란 및 이들의 혼합물로 이루어진 군으로부터 선택된 흡광 화합물을 포함함을 특징으로 하는 조성물.
  9. 제1항에 있어서, 유기 흡광 화합물이 페닐트리에톡시실란을 포함함을 특징으로 하는 조성물.
  10. 제1항에 있어서, 실록산 중합체가 메틸실록산, 메틸실세스퀴옥산, 페닐실록 산, 페닐실세스퀴옥산, 메틸페닐실록산, 메틸페닐실세스퀴옥산 및 실리케이트 중합체로 이루어진 군으로부터 선택된 중합체임을 특징으로 하는 조성물.
  11. 제1항의 SOG 조성물 및 용매 또는 용매 혼합물을 포함하는 코팅액.
KR1020067015525A 1999-06-10 2000-06-08 포토리소그래피용 sog 반사방지 코팅 KR100804873B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/330,248 US6268457B1 (en) 1999-06-10 1999-06-10 Spin-on glass anti-reflective coatings for photolithography
US09/330,248 1999-06-10
US09/491,166 US6506497B1 (en) 1999-06-10 2000-01-26 Spin-on-glass anti-reflective coatings for photolithography
US09/491,166 2000-01-26

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020017015888A Division KR100705849B1 (ko) 1999-06-10 2000-06-08 포토리소그래피용 sog 반사방지 코팅

Publications (2)

Publication Number Publication Date
KR20060097744A KR20060097744A (ko) 2006-09-14
KR100804873B1 true KR100804873B1 (ko) 2008-02-20

Family

ID=26987186

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067015525A KR100804873B1 (ko) 1999-06-10 2000-06-08 포토리소그래피용 sog 반사방지 코팅

Country Status (7)

Country Link
US (3) US6956097B2 (ko)
EP (1) EP1190277B1 (ko)
JP (1) JP2003502449A (ko)
KR (1) KR100804873B1 (ko)
AU (1) AU5600200A (ko)
CA (1) CA2374944A1 (ko)
WO (1) WO2000077575A1 (ko)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US20050003215A1 (en) * 2000-02-16 2005-01-06 Nigel Hacker Synthesis of siloxane resins
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
EP1197998A3 (en) 2000-10-10 2005-12-21 Shipley Company LLC Antireflective porogens
AU2002227106A1 (en) * 2001-11-15 2003-06-10 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
AU2002359387A1 (en) * 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
KR100818678B1 (ko) * 2001-11-16 2008-04-01 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 유리 반사 방지 피막
US20030220297A1 (en) 2002-02-01 2003-11-27 Berstein David L. Phosphorus-containing compounds and uses thereof
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
EP1521797A4 (en) * 2002-07-11 2006-12-20 Ibm ANTI-REFLECTIVE SILICONE-CONTAINING COMPOSITIONS AS A HARD MASK LAYER
FR2842533B1 (fr) * 2002-07-18 2006-11-24 Hynix Semiconductor Inc Composition de revetement organique antireflet, procede de formation de motifs de photoresist a l'aide de cette composition et dispositif a semi-conducteur fabrique grace a ce procede
DE10393808T5 (de) * 2002-12-02 2005-10-13 Tokyo Ohka Kogyo Co., Ltd., Kawasaki Zusammensetzung zur Bildung einer Antireflexionsbeschichtung
DE602004009791T2 (de) 2003-05-23 2008-10-30 Dow Corning Corp., Midland Siloxan-harz basierte anti-reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4430986B2 (ja) * 2003-06-03 2010-03-10 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
JP5348843B2 (ja) * 2003-10-07 2013-11-20 ハネウエル・インターナシヨナル・インコーポレーテツド 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP4379596B2 (ja) 2004-06-10 2009-12-09 信越化学工業株式会社 犠牲膜形成用組成物、パターン形成方法、犠牲膜及びその除去方法
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4367636B2 (ja) 2004-06-10 2009-11-18 信越化学工業株式会社 犠牲膜形成用組成物、パターン形成方法、犠牲膜及びその除去方法
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
KR100632473B1 (ko) * 2004-08-03 2006-10-09 삼성전자주식회사 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법
ATE486098T1 (de) 2004-12-17 2010-11-15 Dow Corning Siloxanharzbeschichtung
EP1819844B1 (en) * 2004-12-17 2008-07-09 Dow Corning Corporation Method for forming anti-reflective coating
WO2006065320A1 (en) 2004-12-17 2006-06-22 Dow Corning Corporation Method for forming anti-reflective coating
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
EP1762895B1 (en) 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
EP1943294B1 (en) * 2005-10-28 2013-09-18 Dow Global Technologies LLC Silsesquioxane-titania hybrid polymers
KR100713231B1 (ko) * 2005-12-26 2007-05-02 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
WO2007094849A2 (en) * 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
EP1989593A2 (en) 2006-02-13 2008-11-12 Dow Corning Corporation Antireflective coating material
US7550249B2 (en) 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
WO2007144452A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
US9051491B2 (en) * 2006-06-13 2015-06-09 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
WO2008038550A1 (fr) * 2006-09-25 2008-04-03 Hitachi Chemical Company, Ltd. Composition sensible au rayonnement, procédé de formation d'un film de protection à base de silice, film de protection à base de silice, appareil et élément comportant un film de protection à base de silice et agent photosensibilisant destiné à isoler un film
JP2010509400A (ja) 2006-11-14 2010-03-25 アリアド・ファーマシューティカルズ・インコーポレイテッド 経口処方組成物
US7759046B2 (en) 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
WO2008099904A1 (en) 2007-02-09 2008-08-21 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
JP5035770B2 (ja) * 2007-02-16 2012-09-26 東レ・ファインケミカル株式会社 縮合多環式炭化水素基を有するシリコーン共重合体、及び、その製造方法
JP4808646B2 (ja) * 2007-02-16 2011-11-02 東京応化工業株式会社 レジスト下層膜形成用組成物、及びこれを用いたレジスト下層膜
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US7736837B2 (en) * 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
JP2010519362A (ja) * 2007-02-26 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション シロキサンポリマーの製造方法
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
EP2121808A1 (en) 2007-02-27 2009-11-25 AZ Electronic Materials USA Corp. Silicon-based antifrelective coating compositions
TWI439494B (zh) 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
EP2240534B1 (en) 2008-01-08 2013-01-23 Dow Corning Toray Co., Ltd. Silsesquioxane resins
KR20100114075A (ko) 2008-01-15 2010-10-22 다우 코닝 코포레이션 실세스퀴옥산 수지
US8304161B2 (en) 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
WO2009111121A2 (en) * 2008-03-05 2009-09-11 Dow Corning Corporation Silsesquioxane resins
KR100917241B1 (ko) * 2008-09-19 2009-09-16 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
US8809482B2 (en) 2008-12-10 2014-08-19 Dow Corning Corporation Silsesquioxane resins
JP5632387B2 (ja) * 2008-12-10 2014-11-26 ダウ コーニング コーポレーションDow Corning Corporation 湿式エッチング可能な反射防止膜
DE102009054630B4 (de) * 2008-12-15 2013-02-14 Qimonda Ag Verfahren zum Herstellen eines photovoltaisches Bauelements
JP2009175747A (ja) * 2009-03-24 2009-08-06 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP2009280822A (ja) * 2009-07-03 2009-12-03 Honeywell Internatl Inc フォトリソグラフィ用のスピンオングラス反射防止性コーティング
US9330974B2 (en) * 2010-10-27 2016-05-03 Infineon Technologies Ag Through level vias and methods of formation thereof
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP2011221549A (ja) * 2011-06-09 2011-11-04 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
JP6163770B2 (ja) * 2012-03-07 2017-07-19 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
EP3062134B1 (en) * 2013-10-21 2023-08-02 Tokai University Educational System Method for manufacturing optical waveguide
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
JP2017507803A (ja) 2013-12-19 2017-03-23 スリーエム イノベイティブ プロパティズ カンパニー 多層複合材料物品
JP6238764B2 (ja) * 2014-01-23 2017-11-29 旭化成株式会社 各種フレキシブルデバイス製造過程における剥離方法
US9994676B2 (en) 2014-06-23 2018-06-12 3M Innovative Properties Company Silicon-containing polymer and method of making a silicon-containing polymer
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
IT201700053902A1 (it) * 2017-05-18 2018-11-18 Lfoundry Srl Metodo di bonding ibrido per wafer a semiconduttore e relativo dispositivo integrato tridimensionale

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4308371A (en) * 1979-06-14 1981-12-29 Daicel Chemical Industries, Ltd. Process for preparing thermosetting organopolysiloxane

Family Cites Families (524)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2783263A (en) * 1954-11-22 1957-02-26 Dow Corning Halocarboxysilanes
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3615272A (en) * 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) * 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
US3884702A (en) * 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) * 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) * 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) * 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4053313A (en) * 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4016607A (en) * 1976-07-30 1977-04-12 Eino Pihlaja Artificial hand
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US4369284A (en) * 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) * 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) * 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) * 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) * 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
DE3173441D1 (en) * 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS5760330A (en) * 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4515828A (en) * 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) * 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) * 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) * 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) * 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
DE3278567D1 (en) 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) * 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US4495360A (en) * 1982-04-30 1985-01-22 General Electric Company Ultraviolet light absorbing agents, method for making, compositions and articles containing same
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) * 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4434127A (en) * 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) * 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) * 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) * 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) * 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8401016D0 (en) * 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
DE3561155D1 (ko) 1984-02-10 1988-01-21 Ciba-Geigy Ag
JPS60177029A (ja) * 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4702990A (en) * 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
KR900002364B1 (ko) * 1984-05-30 1990-04-12 후지쓰가부시끼가이샤 패턴 형성재의 제조방법
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US4696969A (en) * 1984-07-27 1987-09-29 General Electric Company Emulsion polymerized silicone emulsions having siloxane-bonded UV absorbers
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) * 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4587138A (en) * 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4620986A (en) * 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
FR2579552B1 (fr) * 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) * 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
US4663414A (en) * 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US4676887A (en) * 1985-06-03 1987-06-30 Mobil Oil Corporation Production of high octane gasoline
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) * 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4814578A (en) * 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4816049A (en) * 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) * 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) * 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
KR910003169B1 (ko) 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 반도체 장치 제조 방법 및 장치
ES2060579T3 (es) 1985-12-09 1994-12-01 Nippon Paint Co Ltd Material de impresion a base de resina fotosensible.
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) * 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4732858A (en) * 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) * 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) * 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
US4898907A (en) * 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4822697A (en) * 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US5008320A (en) * 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
JPH0819381B2 (ja) * 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) * 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) * 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
DE3810247A1 (de) * 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4855199A (en) * 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
JPS63312643A (ja) * 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
AU611106B2 (en) 1987-10-24 1991-06-06 Ito Optical Industrial Co. Ltd. Optical antireflection treatment
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US4849296A (en) * 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) * 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US6040251A (en) * 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) * 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) * 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) * 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US6180317B1 (en) * 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
DE68908872T2 (de) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Verfahren zum Ziehen von Einkristallen.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) * 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US4885262A (en) * 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
SU1712374A1 (ru) * 1989-06-16 1992-02-15 Чебоксарское производственное объединение "Химпром" Полиметилсилоксановые бисантрахиноновые красители дл кремнийорганических полимерных материалов
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5045592A (en) * 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
DE3940401A1 (de) 1989-12-06 1991-07-25 Siemens Ag Verfahren und anordnung zum vermindern des iodgehalts in einer salpetersauren kernbrennstoffloesung
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) * 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
JPH03272131A (ja) 1990-03-22 1991-12-03 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5868597A (en) * 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5884639A (en) * 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) * 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5472488A (en) * 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
JP2999603B2 (ja) * 1990-09-14 2000-01-17 ヒュンダイ エレクトロニクス アメリカ スピンオングラス組成物、ハードマスクおよびハードマスク製造法
US5100503A (en) * 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
EP0482821B1 (en) 1990-10-16 1998-09-30 Mitsui Chemicals, Inc. Use of a highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP3317697B2 (ja) * 1990-11-15 2002-08-26 日立化成工業株式会社 シリカ系被膜形成用塗布液の製造方法、シリカ系被膜形成用塗布液,シリカ系被膜の製造方法,シリカ系被膜およびシリカ系被膜の形成された半導体デバイス
US5063267A (en) * 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
ATE127870T1 (de) * 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) * 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
JPH05202483A (ja) * 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JP3272002B2 (ja) * 1991-09-02 2002-04-08 昭和電工株式会社 ポリオルガノシルセスキオキサンの製造方法
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
DE4132697A1 (de) * 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6165697A (en) * 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) * 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) * 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
EP0580857B1 (en) 1992-02-05 1998-05-20 Toray Industries, Inc. Multilayer coated article and use therein of a primer coating
JP2694097B2 (ja) * 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) * 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US5385804A (en) * 1992-08-20 1995-01-31 International Business Machines Corporation Silicon containing negative resist for DUV, I-line or E-beam lithography comprising an aromatic azide side group in the polysilsesquioxane polymer
US6867253B1 (en) * 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
JPH06333803A (ja) * 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
WO1994006870A1 (en) * 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
US5873931A (en) * 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) * 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5395734A (en) * 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5719249A (en) * 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) * 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) * 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
US5498748A (en) * 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) * 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
US5382615A (en) * 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) * 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5516286A (en) 1994-05-16 1996-05-14 Kushner; Philip Dental isolation tray particularly suited for use when applying dental sealants and method for its use
JP2791397B2 (ja) 1994-05-19 1998-08-27 東亞合成株式会社 新規な有機ハロシラン化合物
JP3301215B2 (ja) 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) * 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5705116A (en) * 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
US5910021A (en) * 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) * 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) * 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) * 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) * 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US5583195A (en) * 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6072018A (en) * 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
JPH10161315A (ja) * 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
CN1070903C (zh) * 1996-12-13 2001-09-12 松下电工株式会社 硅氧烷乳液涂料组合物及其制备方法
EP0851300B1 (en) * 1996-12-24 2001-10-24 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method of forming resist pattern using the same
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) * 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
JP3916284B2 (ja) * 1997-02-28 2007-05-16 東京応化工業株式会社 多層配線構造の形成方法
DE19710461A1 (de) * 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
EP0989170B1 (en) 1997-05-13 2002-10-02 Kirin Beer Kabushiki Kaisha Coating material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) * 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP3743134B2 (ja) * 1997-07-25 2006-02-08 Jsr株式会社 反射防止膜形成用組成物
US5962067A (en) * 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6255671B1 (en) 1998-01-05 2001-07-03 International Business Machines Corporation Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair
US6190839B1 (en) * 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
EP1049442B2 (de) 1998-01-22 2007-03-07 KETTENBACH GmbH &amp; CO. KG Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) * 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
WO1999039829A1 (en) 1998-02-04 1999-08-12 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
US6337089B1 (en) * 1998-02-06 2002-01-08 Seiwa Kasei Company, Limited Microcapsule containing core material and method for producing the same
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US6503586B1 (en) * 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) * 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) * 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
CA2330689A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
JPH11338129A (ja) * 1998-05-25 1999-12-10 Fujifilm Olin Co Ltd 無機物質含有感光性樹脂組成物、それを用いる感光材料および隔壁形成方法
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
WO2000003303A1 (fr) 1998-07-10 2000-01-20 Clariant International Ltd. Composition pour film empechant la reflexion de fond et nouveau colorant polymere utilise dans celle-ci
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6190830B1 (en) * 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6673982B1 (en) * 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6503233B1 (en) * 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) * 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6187505B1 (en) * 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
JP2001019574A (ja) * 1999-07-07 2001-01-23 Nec Corp 複合基板、光導波路、クラッド用材料及び複合基板の製造方法
AU770696B2 (en) 1999-07-30 2004-02-26 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods related thereto
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) * 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
JP2003509825A (ja) 1999-09-13 2003-03-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電 灯
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
AU774200B2 (en) 2000-02-08 2004-06-17 Adsil, Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
AU2001238216A1 (en) * 2000-02-14 2001-08-27 The Procter And Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
NZ520879A (en) 2000-02-28 2004-08-27 Adsil Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
WO2001074937A1 (en) 2000-03-30 2001-10-11 General Electric Company Transparent, flame retardant poly(arylene ether) blends
JP3795333B2 (ja) * 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
EP1146099B1 (en) 2000-04-13 2006-03-22 JSR Corporation Coating composition, method for producing the same, cured product and coating film
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (ja) * 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) * 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) * 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
EP1837902B1 (en) 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6864040B2 (en) * 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6503526B1 (en) * 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) * 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) * 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6709257B2 (en) * 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6702564B2 (en) * 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6712331B2 (en) * 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US7052262B2 (en) * 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6840752B2 (en) * 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6676398B2 (en) * 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6703462B2 (en) * 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6846614B2 (en) * 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
AU2002305849B2 (en) 2001-06-05 2008-01-17 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6703169B2 (en) * 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) * 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
AU2002227106A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
KR100818678B1 (ko) 2001-11-16 2008-04-01 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 유리 반사 방지 피막
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) * 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) * 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
WO2004044025A2 (en) 2002-11-12 2004-05-27 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) * 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) * 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP3152544U (ja) 2009-05-01 2009-08-06 文子 湯尾 Sosショルダー

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4308371A (en) * 1979-06-14 1981-12-29 Daicel Chemical Industries, Ltd. Process for preparing thermosetting organopolysiloxane

Also Published As

Publication number Publication date
US20020128388A1 (en) 2002-09-12
JP2003502449A (ja) 2003-01-21
US6969753B2 (en) 2005-11-29
KR20060097744A (ko) 2006-09-14
US20030120018A1 (en) 2003-06-26
EP1190277B1 (en) 2009-10-07
WO2000077575A1 (en) 2000-12-21
CA2374944A1 (en) 2000-12-21
EP1190277A1 (en) 2002-03-27
AU5600200A (en) 2001-01-02
US6956097B2 (en) 2005-10-18
US20050245717A1 (en) 2005-11-03
US7678462B2 (en) 2010-03-16

Similar Documents

Publication Publication Date Title
KR100804873B1 (ko) 포토리소그래피용 sog 반사방지 코팅
KR100705849B1 (ko) 포토리소그래피용 sog 반사방지 코팅
US6824879B2 (en) Spin-on-glass anti-reflective coatings for photolithography
US8344088B2 (en) Spin-on anti-reflective coatings for photolithography
KR100804870B1 (ko) 포토리소그래피에 사용되는 스핀-온 유리 반사방지 코팅용흡수성 화합물
KR100818678B1 (ko) 포토리소그라피용 스핀온 유리 반사 방지 피막
JP2005512309A6 (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2011221549A (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20110201

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee