TW546737B - Method of plasma etching organic antireflective coating - Google Patents

Method of plasma etching organic antireflective coating Download PDF

Info

Publication number
TW546737B
TW546737B TW091106012A TW91106012A TW546737B TW 546737 B TW546737 B TW 546737B TW 091106012 A TW091106012 A TW 091106012A TW 91106012 A TW91106012 A TW 91106012A TW 546737 B TW546737 B TW 546737B
Authority
TW
Taiwan
Prior art keywords
etching
reactor
patent application
scope
item
Prior art date
Application number
TW091106012A
Other languages
English (en)
Inventor
Tuqiang Ni
Weinan Jiang
Conan Chiang
Frank Y Lin
Chris Lee
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW546737B publication Critical patent/TW546737B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00595Control etch selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

A7 B7
546737 五、發明説明(彳) 本發明領城 本發明係有關於一種製造積體電路時電漿蝕刻抗反射塗 層的改良方法。 本發明背景 在製造積體電路時普遍的需求為蝕刻開口,例如在介電 材料中的接觸點和引洞。這些介電材料包括摻雜的氧化^ 例如氟化氧化矽(FSG),未摻雜的氧化矽例如二氧化矽, 石夕酸鹽玻璃例如磷酸硼矽酸鹽玻璃(BPSG)和磷酸鹽碎酸鹽 玻璃(PSG),摻雜或未摻雜的熱成長氧化矽,摻雜或未捧 雜的TEOS沈積氧化矽等。這些介電性摻質包括硼,磷及/ 或砷。該介電質可置於導體或半導體層之上,例如多晶形 矽,金屬如鋁、銅、鈦、鎢、鉬或其等之合金,氮化物如 氮化鈥,金屬矽化物如矽化鈦、矽化鈷、矽化鷂、碎化銷 使用於I虫刻在氧化石夕中開口的各種不同電聚姓刻技術已 揭示於美國專利號 5,013,398 ; 5,013,400 ; 5,021,121 ; 5,022,958 ; 5,269,879 ; 5,529,657 ; 5,595,627 ; 5,61 1,888 ; 和5,780,338。電漿蝕刻可在中密度反應器中進行,例如述 於,398號專利中之平行板電漿反應器的各室或述於,4〇〇號 專利中之三極管型反應器,或在高密度反應器中進行,例 如述於657號專利中之感應偶合反應器。美國專利號 6,0 9 0,3 0 4揭示一種在雙頻率電漿反應器中進行電漿触刻 半導體基質的方法,其中第一射頻(RF)源經由與111?相符的 網絡偶合至頂部噴淋頭電極,及底部電極(其上置有半導體 I_______ - 5 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱)
裝 訂
546737
晶圓)經由第二個相符網絡偶合至第二R F源。 在對半導體晶圓加工時,習用地可在光阻劑底下提供有 機底部抗反射塗層(B ARC ),其目的為將使用在顯影光阻劑 開口(例如接觸點孔洞)圖形的幅射的光學反射性降至最 低。習慣性地係將有機性ARC稱之為BARC,然而無機性 ARC則稱之為『介電』arc或D ARC。亦為習用地利用於 阻抗劑上所形成之開口進行電漿蝕刻B ARC,因此可轉移光 阻劑圖案至B ARC。使用於電漿蝕刻有機性ARC材料的蝕 刻氣體混合物已揭示於美國專利號5,773,199 ; 5,91〇,453 ; 6,〇39,888 ; 6,080,678 ;和 6,090,722。在這些專利中,,199 號專利揭示CHF3+CF4+02+Ai*的氣體混合物;,453號專利 揭不N2+He + 024N2+024N2+He的氣體混合物;'888號 專利則揭示〇2+CO的氣體混合物;,678號專利揭示〇2+S〇2 的氣體混合物;及,722號專利揭示C2F6+Ai*的氣體混合 物。 當元件的幾何學愈變愈小之時,對於高的蝕刻選擇性需 求益為殷切以便於達到開口的電漿蝕刻可通經抗反射塗層 且同時維持線寬(C D )。因此,在電漿蝕刻技術領域中有必 要提供高的蝕刻選擇性及/或以所需速率蝕刻該等層。 發明概要 本發明係提供一種蝕刻有機的抗反射塗層及選擇性底層 的方法,其包含將半導體基質承載於電漿蝕刻反應器中, 該基質包括在底層上的有機抗反射塗層,及將無〇2之蝕刻 劑氣體激發成電漿態且蝕刻有機抗反射塗層中之開口,該 ____ - 6 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 546737 A7 B7 五、發明説明(3 ) 触刻氣體包含含硫氣體和載體氣體。 依據一個較佳具體實施例,該等開口包含雙鑲嵌式的引 洞、接觸點、及/或溝槽,自行排列的接觸點或自行排列的 溝槽結構。該等開口亦可包含閘電極的導體線條圖案。有 機抗反射塗層可為在圖案化光阻劑底下的聚合物膜。因為 触刻氣體化學會鈍化光阻劑中的開口側壁,蝕刻氣體可使 光阻劑的側向蝕刻速率降至最低,藉此維持由光阻劑所定 義的線寬。 電漿蚀刻反應器可包含ECR電漿反應器,感應偶合電漿 反應器’電容性偶合電漿反應器,螺旋式(helic〇n)電漿反 應备’或磁控電漿反應器。較佳的電漿蚀刻反應器為感應 偶合電漿反應器,其包括將RF能量經由介電窗偶合至室内 的平面天線。 含硫氣體較佳為S〇2且較佳的載體氣體為He或Αι*。蝕刻 氣體可進一步地包含HBr。在蝕刻步驟期間,電漿蝕刻反 應咨中的壓力可咼達100 mT〇rr及/或基質座的溫度可為_2〇 。(:至+ 80°C。例如,含硫氣體可包含S〇2且其供給至電漿蝕 刻反應器的流速為5至2〇〇 sccm,及載體氣體可包含He及/ 或A r且其供給至電漿蝕刻反應器的流速為5至丨5 〇 sccm。如 果HBr包含於蝕刻氣體中,則HBr供給至電漿蝕刻反應器的 流速為5至150 sccm。更佳者,s〇2,HBr*He的流速為5至 200 seem 之 S〇2,1〇 至 5〇 sccm 之 HBr 及 50 至 15〇 sccm 之 He。 在蝕刻步驟之後可額外地進行另一個蝕刻步驟,接著以 本紙張尺度適用中國國家標準(CMS) A4規格(210 X 297公董) 546737 Α7 Β7 五、發明説明(4 金屬充填開口。本發明方法亦可包括之步驟為在基質上形 成光阻劑層,圖案化光阻劑層以形成複數的開口,接著餘 刻在有機抗反射塗層中之導體線條,引洞或接觸點開口金 屬化圖案。 圖式簡述 圖1 A - D顯示可使用本發明方法蚀刻的先|虫刻引洞雙镶嵌 結構概示圖,圖1A表示預蝕刻情形,圖1B表示引洞已完成 触刻的触刻後情形,圖1 C顯示該結構為了溝槽蝕刻的再圖 案化,及圖1 D顯示溝槽已完成蝕刻的蝕刻後情形; 圖2 A - D顯示可使用本發明方法蝕刻的先蝕刻溝槽雙镶嵌 結構概示圖,圖2 A顯示預蝕刻情形,圖2B顯示溝槽已完成 蝕刻的蝕刻後情形,圖2C顯示該結構為了引洞蝕刻的2圖 案化’及圖2D顯示引洞已冗成触刻的触刻後情形; 圖3 A - B顯示可使用本發明方法触刻的自行排列雙镶嵌、名士 構概示圖,圖3A顯示預蝕刻情形,圖3B顯示溝槽和引洞已 芫成触刻的蚀刻後情形; 圖4顯示可使用於施行本發明方法之感應偶合高密度電聚 反應器概示圖;及 圖5顯示可使用於施行本發明方法之中密度平行板電聚反 應器概示圖。 本發明詳述 本發明提供一種半導體製造方法,其中開口可在薄有機 抗反射塗層(BARC )中進行電漿蝕刻且同時維持由頂層光阻 ^丨所足我的線I。有機抗反射塗層是一種具有較佳厚产為 本紙張尺度適用中S S家標準(CNS) Α4規格(21〇 χ 297公董) ' - 546737 A7 一1 -——----- - 五、發明説明(5 ) 約200奈米或更低的烴膜。該有機抗反射塗層係用於在微影 製程上來提供更佳的控制,其中圖案之開口,例如引洞, 接觸點或槽溝,在総射形成。在過去,已習用地使用 〇2來蝕刻BARC。然而,在使用1進行電漿蝕刻期間,分 子氧解離成為氧原子,其會側向蝕刻所覆蓋的光阻劑而引 起線寬(CD)的改變。依據本發明,光阻劑的側向蝕刻可藉 由典氧含硫蝕刻氣體的使用而降至最低。 當蝕刻具有小線寬特徵時,在BARC中的CD損耗是所不 k產生的。使用含氟氣體來維持C D同時蚀刻B ARc開口會 有輪廓和均句性的問題,這是由於電漿中的氟會攻擊打^ 層。依據本發明,此類困擾可藉由含硫蝕刻氣體的使用而 避免。含硫氣體較佳為S〇2併用例如氬或氦的載體氣體。在 一個較佳具體實施例中,該蝕刻氣體為無F但是可包含例如 HBr的其他鹵素氣體。 該方法之施行係利用將蝕刻氣體激發成電漿態且蝕刻在 B ARC中的開口。為了在B ARC蝕刻期間將匸D損耗降至最 低,有必要使用保護膜塗覆在BARc中和覆蓋光阻劑中開口 的側璧。然而含氟和含〇 2蝕刻氣體會導致如上文中所述之 c D,輪廓和均勾性的問題,因而s〇2提供做為蝕刻氣體的 數個優點。例如,因為s〇2不易在電漿内解離為有害的氧原 子’因此由於缺乏無氧原子來擊打光阻劑使C 〇損耗可降至 最低。更甚者’ S〇2可使用來保護在光阻劑中開口的側壁, /、ir、利用於其上形成保護膜而致。由於b arc |虫刻是一種以 離子辅助之触刻且對底層的介電、傳體或半導體層具有選
本紙張尺度㈣τ國a家標準(CNS -9 - )A4規格(210X297公釐) 546737
才筆性,治jr 一ρ . 曰 進而可以達到均勻性和輪廓的改良。選擇性之達到 疋因為欲蝕刻的BARC表面上吸收s〇2且〇2藉由離子之沖擊 而^S〇2釋出。釋出之〇2會攻擊在開口底部之BARC碳和氫 成刀,及當達到底部之介電層時,底層的蝕刻速率為比使 用含氟蝕刻氣體時更慢。 ’則j〈進行係使用購自本案讓渡人Lam研究公司的 tcp M感應偶合高密度電漿蝕刻反應器。該反應器包括平 面天、泉而將RF能量經由介電窗而感應偶合至反應器,及欲 蚀刻的τ導體S質承載於可施加RF偏|至基質的底部電極 上。在下列表中,P表示在反應器中以mT〇rr為單位之真空 力,tpdTm 主—_ LP表7^她加至天線之瓦特數能量,BP表示施加 至底部電極的能量,所列出之氣體流率單位為咖爪,d· CD為在^奈米為單位密集線條中的⑶偏壓及卜⑶為在以 奈米為單位獨立線條中的C d偏壓。 _____-10- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 表1 測試次數 P TCP™ BP Ar He 〇2 S02 HBr D-CD T-CD 1 5 350 50 35 -37.3 «55.6 2 5 350 50 70 20 50 -25.3 -38.4 3 5 350 70 70 35 35 -18 5 -25 8 4 5 350 100 70 10 35 -14.6 -10 5 5 350 100 70 10 35 -16.5 -14.4 。 6 5 350 50 70 50 -20.1 -28.5 7 5 350 150 70 50 -12.8 -14.9 546737
8 5 350 150 140 100 -13.5 -16.2 9 5 350 150 105 35 -12.5 -14.9 10 5 350 150 70 50 -13.1 -13.6 11 5 350 180 105 35 -11.4 -13.5 12 5 350 210 105 35 -10.0 -12.3
裝 上逑足測試結果顯示,含〇2的電漿蝕刻氣體(次數第1和2) 得到最同的c D損耗,且在無〇 2蝕刻氣體中,較高數量的 S〇2與HBr併用時(次數第3)則得到較高的cd損耗。對於含 ΗΒι*蝕刻氣體’若降低s〇2流速(次數第4和5)則得到可接受 的C D扣耗。對於不含〇2和不含HBr的氣體(次數第卜丨2),
當S〇2流速低於1〇〇 sccm時可得到稍微好些的c d損耗。使 用氬(次數第10)與載體氣體為氦時(次數第,丨丨和12)相 較,其可提供相似的損耗結果。参中的結果亦顯示,可藉 由底部電極所提供之r F偏壓增加而使C D損耗降低。 表2說明使用稍早述及之TCPTM蝕刻室時BARC的蝕刻速 率(A之ER /分),其中室壓力為5 mTorr且TCPTM能量(瓦 特)’底部能量(瓦特),H e或A r流速(s c c m),S 0 2流速 (seem),及HBr流速(seem)均示於表2。因為BARC極薄(低 於200奈米),例如Ar及/或He之載體氣體所加入之數量為 可有效地提供所需的B ARC蝕刻速率。 _- 11 - 本紙張尺度適用中國國家游準(CNS) A4规格(210X 297公釐) 546737 A7 B7 五、發明説明(8 表2 測試次數 TCP™ BP He/Ar 〇2 S02 HBr BARC ER 13 350 70 70 He 0 50 0 2616 14 350 150 105 He 0 35 0 2534 15 350 150 70 Ar 0 50 0 2638
示於表2之結果說明僅使用S02和例如Ar或He的載體氣體 可達到可接受的B ARC蝕刻速率。增加H e (次數第14)與使 用較低的A r或H e流速相較時,B ARC蝕刻速率會某程度地 降低。 裝
線 在使用於檢測使用0 2與S〇2電漿蝕刻之間效率差異的測試 中,於進行BARC開口期間可觀察到得自氧原子的光學發 射。經發現,在氧電漿中的氧原子光學發射(777.4奈米和 844奈米波長)比S02電漿顯著地強。這些結果說明分子氧比 S〇2氣體更易於在電漿中解離。因為氧原子會等向性地蝕刻 光阻劑和B ARC,使用0 2進行電漿蝕刻會導致C D損耗。 依據本發明一個具體實施例的積體電路蝕刻中部件蝕刻 時,BARC可覆蓋一介電層,例如氮化矽或二氧化矽, SiLK,BPSG,OSG,和低k材料。這些層可形成鑲嵌結構 的一部分。在製造積體電路時,於製造該等結構期間,例 如接觸點,引洞,導體線條等等的部件係在例如氧化物的 介電材料中進行蝕刻。本發明克服了前案蝕刻技術中的困 ^ 難,其中BARC蝕刻會導致在BARC蝕刻之後的介電層蝕刻 期間產生C D損耗,缺乏均勻性和輪廓的損耗。 _-12-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 546737 A7 -—- __B7 五、發明説明(9 ) 依據本發明的一個範圍,B ARC蝕刻可併入單一或雙重鑲 % 嵌蚀刻製程内’其中摻雜和未摻雜之氧化物膜(BPSG, PSG ’ TEOS)触刻為具有〇 25微米或更低幾何學比至少i 8 微米的触刻深度。該製程提供一種低或反式RI E延遲,因 此可進行多層介電體的蝕刻應用且足以製造雙鑲嵌式元 件。 圖1A - D顯不依據本發明如何進行先蝕刻引洞雙鑲嵌結構 的姓刻示意圖。圖1 A顯示預蝕刻情形,其中相當於引洞之 — 開口 ίο在光阻劑幕罩層12中形成,其中該光阻劑幕罩層12 係置於BARC 13,第一介電層14如氧化矽,第一停止層16 如氮化矽,第二介電層18如氧化矽,第二停止層2〇如氮化 石夕和基貝22如石夕晶圓的堆疊之上。圖1B顯示姓刻後之結 構,其中開口 1〇延伸穿過介電層14, 18和第一停止層Μ直 至第二停止層20。圖ic顯示幕罩層再圖案化溝槽24之後的 結構。圖1D顯示蝕刻後的結構,其中第一介電層14蝕刻深 達弟一停止層16。 圖2 A - D顯示依據本發明如何進行先蝕刻溝槽雙鑲嵌結構 的蝕刻示思圖。圖2 A顯示預蝕刻情形,其中相當於溝槽之 開口 3 0在光阻劑幕罩層3 2中形成,其中該光阻劑幕罩層3 2 係置於BARC 33,第一介電層34如氧化矽,第一停止層% 如氮化矽,第二介電層38如氧化矽,第二停止層如氮化 矽,和基質42如矽晶圓的堆疊之上。圖2B顯示蝕刻後之結= 構,其中開口 30延伸穿過介電層34直至第一停止層36。圖 2C ·,肩示幕罩層再圖案化引洞44之後的結構。圖顯示触刻 t紙張尺度適用巾國國家標準(CNS) M規格⑼㈣町公董) ------ 546737 A7 B7 五、發明説明(Ή ) 金,ll化物如氮化鈥,金屬碎化物如碎化鈥、碎化姑、石夕 4匕鎢、矽化鉬等。 在本發明的另一個具體實施例中,BARC可覆蓋於例如多 晶形矽之導體或半導體層,金屬如鋁、銅、鈦、鎢、鉬或 其等之合金,氮化物如氮化鈦,金屬矽化物如矽化鈦、矽 4匕鈷、矽化鎢、矽化鉬等。例如,底層可形成閘電極的一 部分。可做為例子者為在傳導性聚矽層上形成之B ARC具有 厚度為1000至3000A,在例如二氧化矽之閘氧化物之上的 聚矽層具有厚度為低於50A,該閘氧化物則覆蓋於矽基 質。在形成閘電極圖案時,光阻劑受到圖案化且B ARC依據 本發明方法進行電漿蝕刻,藉此移除一部分之B ARC直至所 需的導體圖案留存於聚矽層上方。後來,一部份之聚矽層 經蝕刻移除而在矽基質上形成所需之導體圖案。如果需要 時,可在該聚矽之上形成額外之導體層如矽化物層(例如矽 4匕鎢),且本發明方法可使用於蝕刻開口在矽化物層上的 BARC中之導體線條圖案。 依據本發明的進一步具體實施例,B ARC可在例如鋁、銅 或其等合金的金屬導體層上形成。當將例如導體線條的圖 案轉移至金屬層時,覆蓋B ARC的光阻劑係以開口的所需導 體圖案形成,及使用本發明方法蝕刻B ARC直至在B ARC中 的開口圖案蝕刻為在金屬層的開口。 電漿可在各種不同類型的電漿反應器中製造。此類電漿 反應器典型地具有能量源且可使用R F能量,微波能量,磁 場等來生成中至高密度的電漿。例如,高密度電漿之生成 _- 15-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
線 546737 A7 B7 五、發明説明(12 可源自售自Lam研究公司的變壓偶合電漿(TCPTM)其亦稱 為感應偶合電漿反應器,電子迴旋共振(ECR)電漿反應 器’螺旋式(helicon)電漿反應器,或相似者。一種可以提 供高密度電漿的高流動電漿反應器例子已揭示於共有的美 國專利號5,820,723,其中之揭示將併於本文用為參考。電 漿亦可在平行板蚀刻反應器中生成,例如述於共有的美國 專利號6,090,304中的雙頻率電漿蝕刻反應器,其中之揭示 將併於本文用為參考。 本發明方法可進行於感應偶合電漿反應器,例如示於圖4 的反應器1 〇〇。該反應器1 〇〇包括内部1 02,且係利用與在反 應器較低壁面之出口 1 04相連接的真空泵維持在所需的真空 壓力。蝕刻氣體可供給至噴淋頭裝置,其可利用由氣體供 給1 06將氣體供給至在介電窗110底部附近延伸出之嘴混 108。高密度電漿可在反應器中生成且係利用由RF源112供 給R F能量至外部的R.F天線Π 4,例如在反應器頂端之介電 窗Π 0外側具有一或多個匝數之平面螺狀線圈。該電漿生成 源可為組件安裝配置(modular mounting arrangement)的一 部分且係以緊密真空方式移動式安裝在反應器上端。 半導體基質1 1 6 ’例如晶圓,置於反應器之内且在由反應 器側壁延伸出的組件安裝配置移動式承載的基質座丨1 8,例 如懸臂卡盤配置,之上。基質座11 8是在以懸臂方式安裝的 承載臂的一端,因此整個基質座/承載臂組件可藉由將組件 通.纟二在反應器側壁的開口而由反應器中移出。基質座1 1 $可 包括一個卡盤設備,例如靜電卡盤12〇,且該基質可由介電 -16- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
546737 A7 B7 五、發明説明(14 ) 體化學的蝕刻選擇比。亦即,當使用含有s〇2之蝕刻氣體 時,及S〇2對B ARC之蝕刻並未以等向性地攻擊覆蓋之光阻 劑。泫蝕刻氣體混合物較佳含有惰性載體氣體和可選擇使 用之其他氣體,例如HBr。氬是一種特別有用的惰性載體 氣體,其可輔助S〇2衝擊BARC。其他的鈍氣,例如He,
Ne,Kr&/或Xe,亦可使用為惰性載體氣體。為了維持電 漿蝕刻反應器中的低壓力,導至反應器内的載體氣體數量 可為低流速。例如,對於中度至高密度電漿反應器,氬供 給至反應器内的數量為25至3〇〇 sccm。 為了提供非等向性蝕刻,有利者為利用基質座供應11{?偏 壓給半導體基質。例如,對於6、8或甚至12吋晶圓,在基 貝座中RF偏壓電極可供給予能量級數5〇至1〇〇〇瓦特至足夠 之RF偏壓。 反應器壓力較佳係維持在適合於延續反應器中電漿的水 平。大體上,過低的反應器壓力會導致電漿熄滅,然而在 咼名度I虫刻反應器中過高的反應器壓力會引起餘刻停止的 問題。對於高密度電漿反應器,該反應器較佳之壓力為低 於j〇 mTorr,更佳為低於1 〇 mT〇rr。對於中密度電漿反廡 器,該反應器較佳之壓力為高於3〇 mT〇rr,更佳為高於8〇 mTorr。由於電漿在進行蚀刻時限制在半導體基質上,在基 質表囬的真玄壓力可向於反應器所設定的真空壓力。 承載丰導體基質的基質座在進行蚀刻時較佳為使基質足 夠地冷卻以避免燒毀在基質上的任何光阻劑,例如將基質 維特在低於140°C。在高和中密度電漿反應器中,需將基質 ___ - 18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 專利申請案 圍顧本(92年3月) 申請專利範圍 •—種對上覆蓋層及/或底層具選擇性之蚀刻有機抗反射塗 層之方法’其包含: 二將半導體基質承載於電漿蝕刻反應器中,該基質包括 位在底層上的有機抗反射塗層; 將無〇2之蝕刻劑氣體激發成電漿態同時蝕刻有機抗反 射塗層中《開口’孩蝕刻氣體包含含硫氣體和載體氣 體。 2·根據中請專利範圍第1項之方法,其中開口包含雙鑲嵌式 的引洞、、接觸點、及/或溝槽,自行排列的接觸點或自行 排列的溝槽結構,或閘電極之導體線條。 3·根據申明專利範圍第i項之方法,其中有機抗反射塗層為 於其上具有圖案化光阻劑之聚合物膜,蝕刻氣體可使光 阻劑的側向蝕刻速率降至最低,藉此維持由光阻劑所定 義的線寬。 4.根據中請專利範圍第w之方法,其中電漿㈣反應器包 含ECR電漿反應器,感應偶合電漿反應器,電容性偶合 私漿反應器,螺旋式(helicon)電漿反應器,或磁控電漿 反應器。 5·根據申請專利範圍第丨項之方法,其中電裝姓刻反應器包 含高密度感應偶合電漿反應器,其中之平面天線可經由 介電元件將R F能量感應偶合至反應器。 6 ·根據申請專利範圍第!項之方法,其中含硫氣體為s〇2且 載體氣體為He或Ar。 7 .根據申請專利範圍第1項之方法,其中蝕刻氣體進一步包 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 5
    含 HBr ο 8·根據申請專利範圍第1項之方法,其中在電漿蝕刻反應器 中的壓力為低於50 mTorr及/或承載基質之基質座溫度 為- 20°C 至+ 80°C。 9 ·根據申請專利範圍第丨項之方法,其中電漿蝕刻反應器為 具有天線和能量化底部電極之感應偶合電漿反應器,該 天線供給200至1〇〇〇瓦特之RF能量且該底部電極可供給 50至200瓦特之RF能量。 10·根據申請專利範圍第1項之方法,其中含硫氣體包含so2 且其供給至電漿蝕刻反應器的流速為5至200 seem 0 11·根據申請專利範圍第10項之方法,其中載體氣體包含H e 或Ar且其供給至電漿蝕刻反應器的流速為5至丨5〇 seem。 12·根據申請專利範圍第丨丨項之方法,其中載體氣體進一步 包含HBr且其供給至電漿蝕刻反應器的流速為〇至1 5〇 seem ° 13.根據申請專利範圍第丨丨項之方法,其中s〇2,hBi^ 的 "瓦速為5至200 seem之S02,10至50 seem之HBr及50至 150 seem之He 〇 14·根據申請專利範圍第1項之方法,其中蝕刻氣體實質地由 S02和H e組成。 15.根據申請專利範圍第1項之方法,其中在有機抗反射塗層 中之開口係開放在電導體或半導體層之上,其中電導體 或半導體層包含含金屬層且係選自包含摻雜或未摻雜之 多晶形或單晶形矽,鋁或其合金,銅或其合金,鈥或其 -2 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公董) 546737 A8 Βδ
    合金’鎢或其合金,鉬或其合金 化鎢,矽化鈷,及矽化鉬。16·根據申請專利範圍第1項之方法, 米或更小尺寸的開口。 ,氮化鈦,矽化鈦,矽 其中該等開口為〇.25微 17·根據申請專利範圍第1瑁乏女 3寸〜靶图罘1,、又万法,其中載體氣體係選自 占Ar ’ He ’ Ne,Kr ’ Xe或其等混合物。 18.根據中請專利第丨項之方法,其進—步包含在姓刻 驟期間施加RF偏極至半導體基質。 α根據中請專利範圍第Μ之方法,其進—步包含在蚀刻 包 步 步 驟之後以金屬填充開口。 20.根據申請專利範圍第1項之方法,其中蝕刻步騾之進行係 為氣k鑲歆式結構方法的其中一部分,該方法進一步包 含形成光阻劑層做為幕罩層、圖案化光阻劑層以形成複 數的開口、及進行蝕刻而在有機抗反射塗層中形成引洞 或接觸點開口之步驟。 -3 - 本紙張尺度適用中國國冬標準(CMS) A4規格(21〇 X 297公董)
TW091106012A 2001-03-30 2002-03-27 Method of plasma etching organic antireflective coating TW546737B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/820,737 US6617257B2 (en) 2001-03-30 2001-03-30 Method of plasma etching organic antireflective coating

Publications (1)

Publication Number Publication Date
TW546737B true TW546737B (en) 2003-08-11

Family

ID=25231592

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091106012A TW546737B (en) 2001-03-30 2002-03-27 Method of plasma etching organic antireflective coating

Country Status (8)

Country Link
US (1) US6617257B2 (zh)
EP (1) EP1374288A2 (zh)
JP (2) JP4813755B2 (zh)
KR (1) KR100883291B1 (zh)
CN (1) CN100358107C (zh)
AU (1) AU2002248539A1 (zh)
TW (1) TW546737B (zh)
WO (1) WO2002080234A2 (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269666A1 (en) * 2004-06-07 2005-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuses as programmable data storage
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
AU5600200A (en) 1999-06-10 2001-01-02 Allied-Signal Inc. Spin-on-glass anti-reflective coatings for photolithography
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
JP4381143B2 (ja) 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィー用スピンオン反射防止膜
US6649532B1 (en) * 2002-05-09 2003-11-18 Applied Materials Inc. Methods for etching an organic anti-reflective coating
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
JP4594235B2 (ja) * 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7517801B1 (en) 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US6884715B1 (en) 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20050285222A1 (en) 2004-06-29 2005-12-29 Kong-Beng Thei New fuse structure
US7192863B2 (en) * 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US20070238304A1 (en) * 2006-04-11 2007-10-11 Jui-Hung Wu Method of etching passivation layer
US8367303B2 (en) 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
DE102006044591A1 (de) * 2006-09-19 2008-04-03 Carl Zeiss Smt Ag Optische Anordnung, insbesondere Projektionsbelichtungsanlage für die EUV-Lithographie, sowie reflektives optisches Element mit verminderter Kontamination
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US20090042399A1 (en) * 2007-08-08 2009-02-12 Brian Ashley Smith Method for Dry Develop of Trilayer Photoresist Patterns
JP4614995B2 (ja) * 2007-08-23 2011-01-19 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US20100051577A1 (en) * 2008-09-03 2010-03-04 Micron Technology, Inc. Copper layer processing
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5466889B2 (ja) * 2009-06-18 2014-04-09 東京エレクトロン株式会社 多層配線の形成方法
US8093153B2 (en) * 2009-12-18 2012-01-10 United Microelectronics Corporation Method of etching oxide layer and nitride layer
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US8999838B2 (en) * 2011-08-31 2015-04-07 Macronix International Co., Ltd. Semiconductor devices and methods of manufacturing the same
CN102372250B (zh) * 2011-11-15 2015-02-18 苏州含光微纳科技有限公司 一种刻蚀金属钨材料的方法
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
KR20150090495A (ko) * 2014-01-29 2015-08-06 세메스 주식회사 기판처리장치 및 방법
KR102377531B1 (ko) 2015-01-23 2022-03-22 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN106535461B (zh) * 2016-11-11 2018-03-16 合肥中科离子医学技术装备有限公司 医用超导回旋加速器谐振腔电容调谐装置及方法
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
CN109804463B (zh) 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
CN110137073A (zh) * 2019-05-14 2019-08-16 中国科学院微电子研究所 一种各向异性刻蚀图形化聚酰亚胺层的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013400A (en) 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
FR2673763A1 (fr) 1991-03-06 1992-09-11 Centre Nat Rech Scient Procede de gravure anisotrope des polymeres par plasma.
EP0525942A2 (en) * 1991-05-31 1993-02-03 AT&T Corp. Integrated circuit fabrication process using a bilayer resist
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
TW320749B (zh) * 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5626716A (en) 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5910453A (en) 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5773199A (en) 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
KR100209698B1 (ko) 1996-10-11 1999-07-15 구본준 유기 반사방지막 식각방법
JPH10189543A (ja) * 1996-12-26 1998-07-21 Sony Corp コンタクトホールの形成方法
KR100232187B1 (ko) 1996-12-27 1999-12-01 김영환 반사방지막 식각방법
WO1998032162A1 (fr) 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
JPH10209118A (ja) * 1997-01-28 1998-08-07 Sony Corp アッシング方法
JPH10242127A (ja) 1997-02-26 1998-09-11 Sony Corp 有機系反射防止膜のプラズマエッチング方法
US5780338A (en) 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
EP0911697A3 (en) 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
JP2991177B2 (ja) * 1997-12-15 1999-12-20 日本電気株式会社 半導体装置の製造方法
US6391786B1 (en) 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6127089A (en) 1998-08-28 2000-10-03 Advanced Micro Devices, Inc. Interconnect structure with low k dielectric materials and method of making the same with single and dual damascene techniques
US6090722A (en) 1999-01-06 2000-07-18 International Business Machines Corporation Process for fabricating a semiconductor structure having a self-aligned spacer
JP2000353305A (ja) * 1999-06-11 2000-12-19 Sumitomo Metal Ind Ltd 有機膜エッチング方法、磁気ヘッドの製造方法及び磁気ヘッド
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Also Published As

Publication number Publication date
JP4813755B2 (ja) 2011-11-09
JP2004528711A (ja) 2004-09-16
US6617257B2 (en) 2003-09-09
KR100883291B1 (ko) 2009-02-11
EP1374288A2 (en) 2004-01-02
CN1505832A (zh) 2004-06-16
KR20040007480A (ko) 2004-01-24
AU2002248539A1 (en) 2002-10-15
WO2002080234A2 (en) 2002-10-10
JP2010219550A (ja) 2010-09-30
US20020182881A1 (en) 2002-12-05
WO2002080234A3 (en) 2003-05-01
CN100358107C (zh) 2007-12-26

Similar Documents

Publication Publication Date Title
TW546737B (en) Method of plasma etching organic antireflective coating
KR101158205B1 (ko) 고종횡비 콘택트를 에칭하는 방법
JP4454148B2 (ja) 改良式の酸化層エッチング方法
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
TW546722B (en) Method of plasma etching low-k dielectric materials
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6670278B2 (en) Method of plasma etching of silicon carbide
US7273566B2 (en) Gas compositions
US5935877A (en) Etch process for forming contacts over titanium silicide
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
JP4499289B2 (ja) 誘電材料をプラズマ・エッチングする方法
JP2005508078A (ja) 高アスペクト比形態のエッチング方法
CN101131927A (zh) 增强等离子体蚀刻性能的方法
JP4852213B2 (ja) 高選択性のsacのエッチングの方法
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
EP0814501A2 (en) Method for etching metal silicide with high selectivity to polysilicon
TWI342045B (en) Methods of reducing photoresist distortion while etching in a plasma processing system
KR100702729B1 (ko) 유도-연결된 플라즈마 공정 시스템에서 고애스펙트비의미세 접점 에칭 공정

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent