KR100896160B1 - 실리콘 카바이드 플라즈마 식각 방법 - Google Patents

실리콘 카바이드 플라즈마 식각 방법 Download PDF

Info

Publication number
KR100896160B1
KR100896160B1 KR1020037012824A KR20037012824A KR100896160B1 KR 100896160 B1 KR100896160 B1 KR 100896160B1 KR 1020037012824 A KR1020037012824 A KR 1020037012824A KR 20037012824 A KR20037012824 A KR 20037012824A KR 100896160 B1 KR100896160 B1 KR 100896160B1
Authority
KR
South Korea
Prior art keywords
silicon carbide
film
etching
low
dielectric
Prior art date
Application number
KR1020037012824A
Other languages
English (en)
Other versions
KR20030087041A (ko
Inventor
리시이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030087041A publication Critical patent/KR20030087041A/ko
Application granted granted Critical
Publication of KR100896160B1 publication Critical patent/KR100896160B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

상부 및/또는 하부 유전체막에 대해 선택비를 갖고 실리콘 카바이드를 플라즈마 식각하는 공정을 개시한다. 유전체는 이산화 실리콘, 실리콘 옥시나이트라이드, 실리콘 나이트라이드 또는 유기 로우-k 물질을 포함하는 다양한 로우-k 유전체를 포함할 수 있다. 식각 가스는 Cl2 와 같은 염소 함유 가스, O2 와 같은 산소 함유 가스, 및 Ar 과 같은 캐리어 가스를 포함한다. 이러한 유전체에 대해 원하는 선택비를 달성하기 위해서, 유전체를 더 느린 속도로 식각하면서, 원하는 실리콘 카바이드의 식각 속도를 얻을 수 있도록 플라즈마 식각 가스 성분이 선택된다. 이러한 공정은 수소 처리된 실리콘 카바이드 식각 저지막 또는 실리콘 카바이드 기판을 선택적으로 식각하는 데 사용될 수 있다.

Description

실리콘 카바이드 플라즈마 식각 방법{PLASMA ETCHING OF SILICON CARBIDE}
본 발명은 실리콘 카바이드와 같은 유전체를 플라즈마 식각하는 개량 공정에 관한 것이다.
일반적으로, 다층 구조 제조는 포토레지스트 보호 물질로 피복된 반도체 표면의 영역을 패터닝 식각하는 단계를 포함한다. 식각 기술 중의 하나는 반응성 이온 식각 (RIE; reactive ion etching) 이다. 이 공정은 반도체 웨이퍼를 반응 챔버 안에 위치시키는 단계와 그 챔버 안으로 식각 가스를 공급하는 단계를 포함한다. 식각 가스는 라디오 주파수 (RF) 필드 안에서 분해되어, 식각 가스에 포함된 이온이 웨이퍼 표면으로 가속된다. 가속된 이온은 웨이퍼 표면 상의 마스킹되지 않은 물질과 화학적으로 결합한다. 그 결과, 휘발성 식각 생성물이 발생되고 플라즈마 안으로 통합된다. RIE 공정의 종료점, 즉 화학 반응이 웨이퍼 표면으로부터 원하는 레벨의 물질을 제거한 때를 결정하기 위해, 휘발성 식각 생성물의 농도를 추적할 수 있다. RIE 공정 동안에, 물질이나 박막의 단층 혹은 다층막이 제거될 수도 있다. 이러한 물질은, 예컨대, 실리콘 나이트라이드 (Si3N4), PSG, 이산화 실리콘 (SiO2) 및 폴리실리콘 (PSi) 을 포함할 수도 있다.
Haga 에게 허여된 미국 특허 제 3,398,033 호는 1200℃ 내지 1300℃ 로 가열된 산소 (O2) 와 염소 (Cl2) 혼합물의 화학 반응을 이용해 실리콘 카바이드를 습식 식각하는 방법을 논의한다. Yonezawa 에게 허여된 미국 특허 제 4,351,894 호는 사불화탄소 (CF4) 와 선택적으로 산소 (O2) 를 사용하여 SiC 를 제거하는 플라즈마 식각 공정에 대해 개시하고 있다. Yamazaki 에게 허여된 미국 특허 제 4,595,453 호는 건식 식각 플라즈마 공정에서 불화수소 가스 (HF) 를 사용하는 것에 대해 개시하고 있다.
Palmour 에게 허여된 미국 특허 제 4,865,685 호 및 제 4,981,551 호는 NF3 및 선택적으로 O2 및 아르곤 (Ar) 과 혼합된 NF3 를 사용하여 SiC 의 반응성 이온 식각에 관해 개시하고 있다.
상부 포토레지스트 또는 하드 마스크 및/또는 실리콘 카바이드막의 상부 또는 하부에 있는 유전체막에 대해 선택비를 갖고 실리콘 카바이드를 플라즈마 식각하는 개선된 방법에 대한 요구가 있다.
본 발명은 하부 및/또는 상부 유전체에 대해 선택비를 갖고 실리콘 카바이드막을 플라즈마 식각하는 방법을 제공한다. 실리콘 카바이드막과 그 하부 및/또는 상부에 유전체막을 구비한 기판을 반응기 챔버 안에 위치시키고, 염소 함유 가스, 산소 함유 가스, 및 선택적인 캐리어 가스의 식각 가스를 챔버로 공급하여 플라즈마 상태로 활성화한다. 플라즈마는 실리콘 카바이드막 내부에 개구부를 식각하고, 실리콘 카바이드막은 상기 유전체보다 빠른 속도로 식각된다.
기판은 실리콘 웨이퍼일 수도 있으며, 실리콘 카바이드막 상부 및/또는 하부에 로우(low)-k 유전체막 및 패터닝된 이산화 실리콘 하드 마스크를 포함할 수도 있다. 실리콘 카바이드막 상부의 로우-k 유전체는 하드 마스크 내부의 개구부에 대응되는 위치에 실리콘 카바이드막을 노출시키도록 이미 식각된 것일 수도 있다. 로우-k 유전체는 유기 폴리머 물질일 수도 있다.
방법은 바람직하게는 적어도 1200Å/min 의 실리콘 카바이드 식각률 및 적어도 10 의 실리콘 카바이드 : 유전체 식각률 선택비를 제공할 수 있으며, ECR 플라즈마 반응기, 유도 결합 플라즈마 반응기, 용량성 결합 반응기, 헬리콘 플라즈마 반응기 또는 마그네트론 플라즈마 반응기와 같은 반응기 챔버에서 이용될 수도 있다. 방법은 기판 내부에 비아, 콘택, 및/또는 트렌치와 같은 개구부를 식각하는 데에 이용될 수 있으며, 단일 또는 이중 다마신 구조 혹은 자기정렬 콘택 또는 트렌치 구조를 형성하는 식각 방법으로서 이용될 수 있다.
염소 함유 가스는 Cl2 또는 BCl3 일 수도 있고, 산소 함유 가스는 O2, CO 또는 CO2 일 수도 있으며, 캐리어 가스는 He, Ne, Ar, Kr, 또는 Xe 일 수도 있다. 염소 함유 가스와 산소 함유 가스는 반응기 챔버로 2 : 1 내지 3 : 1 의 Cl2 : O2 유량비로 공급될 수도 있다. 산소 함유 가스는 반응기 챔버로 5 내지 30 sccm 의 속도로, 바람직하게는 15 내지 25 sccm 의 속도로 공급될 수도 있으며, 캐리어 가스는 상기 반응기 챔버로 10 내지 80 sccm 의 속도로, 바람직하게는 40 내지 60 sccm 의 속도로 공급될 수도 있다.
본 발명의 목적 및 장점은 첨부된 도면과 함께 후술하는 바람직한 실시형태의 상세한 설명으로부터 명확해질 것이고, 도면에서 동일한 참조부호는 동일한 구성요소를 가리킨다.
도 1a 내지 도 1d 는 본 발명의 공정에 따라 식각될 수 있는 비아-퍼스트 이중-다마신 (via-first dual-damascene) 구조의 개략적인 도면으로서, 도 1a 는 식각 전의 상태를 보여주고, 도 1b 는 비아가 식각된 식각 후의 상태를 보여주고, 도 1c 는 트렌치 식각을 위해 재-패터닝된 구조를 보여주며, 도 1d 는 트렌치가 식각된 식각 후 상태를 보여준다.
도 2a 내지 도 2d 는 본 발명의 공정에 따라 식각될 수 있는 트렌치-퍼스트 이중-다마신 (trench-first dual-damascene) 구조의 개략적인 도면으로서, 도 2a 는 식각 전의 상태를 보여주고, 도 2b 는 트렌치가 식각된 식각 후의 상태를 보여주고, 도 2c 는 비아 식각을 위해 재-패터닝된 구조를 보여주며, 도 2d 는 비아가 식각된 식각 후의 상태를 보여준다.
도 3a 및 도 3b는 본 발명의 공정에 따라 식각될 수 있는 자기-정렬 이중-다마신 (self-aligned dual-damascene) 구조의 개략적인 도면으로서, 도 3a 는 식각 전의 상태를 보여주고, 도 3b 는 트렌치와 비아가 식각된 식각 후의 상태를 보여준다.
도 4 는 본 발명의 공정을 수행하는 데에 이용될 수 있는 유도 결합 고밀도 플라즈마 반응기의 개략적인 도면이다.
도 5 는 본 발명의 공정을 수행하는 데에 이용될 수 있는 중간 밀도 평행판 (parallel plate) 플라즈마 반응기의 개략적인 도면이다.
본 발명은 상부 및/또는 하부 유전체막에 대해 선택비를 갖고 실리콘 카바이 드 (SiC) 를 플라즈마 식각하는 공정을 제공한다. 유전체는 유기 로우-k 물질, 무기 유전체, 이산화 실리콘, 실리콘 옥시나이트라이드, 실리콘 나이트라이드 등을 포함하는 다양한 로우-k 유전체를 포함할 수 있다. 이러한 유전체에 대해 원하는 선택비를 얻기 위하여, 더 느린 속도로 유전체를 식각하면서, 실리콘 카바이드의 원하는 식각률을 얻기 위해 플라즈마 식각 가스 성분이 선택된다.
실리콘 카바이드는 넓은 밴드갭, 높은 열전도도, 높은 포화 전자 드리프트 속도, 및 높은 전자 이동도를 포함하여 반도체 물질로서 바람직한 특성을 가진다. 이러한 특성은 SiC 를 집적 회로에 있어서 매력적인 유전체로 만들었다. 게다가, SiC 는 다층 집적 회로 제조 공정에 사용되는 특정 식각제에 대한 상대적인 내성에 기인해 식각 저지, 보호 코팅, 마스크, 확산 배리어 등의 용도를 발견했다. 그러나, 높은 공정 온도, 출발 물질의 불순물, 특정 도핑 기술의 어려움 및 고선택비를 가진 적당한 식각 기술의 개발이 제한된 점 등을 포함하여 실리콘 카바이드를 가지고 작업하는 데에 어려움이 있다.
본 발명은 실리콘 산화막 (SiO2), 실리콘 나이트라이드 (Si3N4), 실리콘 옥시나이트라이드 및 포토레지스트 물질 (PR) 과 같은 하부 및/또는 상부 유전체막에 대한 원하는 선택비를 제공하면서 실리콘 카바이드막 내부에 개구부를 플라즈마 식각할 수 있는 반도체 제조 공정을 제공한다. 일 실시형태에서, 실리콘 카바이드는 수소 처리된 실리콘 카바이드를 포함할 수 있다. 이러한 선택비는 다층 구조에 하나 이상의 실리콘 카바이드 식각 저지막이 통합된 다마신 구조의 제조에 있어서 관심사이다. 이러한 구조를 제조하는 동안에 콘택, 비아, 전도체 라인 등과 같은 특징이 집적 회로 제조공정에서 산화막 및 유기 실리케이트 글래스막과 같은 유전체 안에 식각된다. 본 발명은 실리콘 카바이드와 마스크/산화물/로우-k 유전체/포토레지스트막과 같은 상부 및/또는 하부의 막 사이의 선택비가 상업적으로 적용하기에는 너무 낮았던 종래 식각 기술의 문제를 극복한다. 이러한 선택비 문제는 실리콘 카바이드 물질에 비해 상대적으로 이러한 막의 식각률을 감소시키는 식각 가스 성분을 이용함으로써 해결된다.
본 발명의 일 견지에 따르면, 로우-k 유전체막이 0.25㎛ 이하의 기하 (geometry) 에서 적어도 1.8㎛ 의 식각 깊이로 식각되는, 단일 혹은 이중 다마신 구조의 제조에서, 실리콘 카바이드 식각 저지막이 실리콘 카바이드 : 로우-k 유전체/포토레지스트/산화물 식각률 선택비가 5 : 1 이상으로 식각된다. 이러한 구조는 약 40 내지 60nm 두께를 갖는 하드 마스크, 약 200 내지 400nm 의 두께를 갖는 로우-k 유전체막, 약 40 내지 60nm 의 두께를 갖는 식각 저지막, 약 40 내지 60nm 의 두께를 갖는 배리어막, 금속 배선막, 금속 배선으로 채워진 비아 및 트렌치 등을 포함할 수 있다. 후술하는 설명에서 실리콘 카바이드는 다양한 다마신 구조의 하나 이상의 막에 대해 사용될 수도 있다. 그러나, 본 발명의 공정은 실리콘 카바이드가 그 구조의 식각된 구성요소로 사용되는 한 어떠한 집적 회로 구조에라도 적용될 수 있다.
도 1a 내지 도 1d 는 비아 퍼스트 이중 다마신 식각 공정 동안에 실리콘 카바이드막을 식각하는 방법을 개략적으로 도시한다. 도 1a 는 식각 전의 상태를 보여주는데, 여기서 실리콘 산화막, 실리콘 나이트라이드, 실리콘 카바이드 등의 마스크 (13), 제 1 로우-k 유전체막 (14), 실리콘 나이트라이드 또는 실리콘 카바이드와 같은 제 1 저지막 (16), 제 2 로우-k 유전체막 (18), 실리콘 나이트라이드 또는 실리콘 카바이드와 같은 제 2 저지막 (20) 및 식각 저지막 (20) 아래의 배리어막 (미도시) 과 같은 다른 막 및 전기적 전도성막 (미도시) 을 포함할 수도 있는 실리콘 웨이퍼와 같은 기판 (22) 을 포함하는 막들의 스택 (stack) 위에 놓이는 포토레지스트 (12) 내부에 비아에 대응하는 개구부 (10) 가 제공된다. 도 1b 는 식각 후의 구조를 나타내는데, 개구부 (10) 는 마스크 (13), 로우-k 유전체막 (14, 18) 및 제 1 저지막 (16) 을 관통해 제 2 저지막 (20) 까지 확장한다. 도 1c 는 트렌치 (24) 를 위해 마스킹막을 재-패터닝한 후의 구조를 도시한다. 도 1d 는 포토레지스트를 제거하고 제 1 로우-k 유전체막 (14) 이 제 1 저지막 (16) 까지 식각된 후의 구조를 나타낸다.
도 2a 내지 도 2d 는 트렌치-퍼스트 이중-다마신 식각 공정 동안에 실리콘 카바이드막을 식각할 수 있는 방법을 개략적으로 도시한다. 도 2a 는 식각 전의 상태를 보여주는데, 마스크막 (33), 제 1 로우-k 유전체막 (34), 실리콘 나이트라이드 또는 실리콘 카바이드와 같은 제 1 저지막 (36), 제 2 로우-k 유전체막 (38), 실리콘 나이트라이드 또는 실리콘 카바이드와 같은 제 2 저지막 (40) 및 저지막 (40) 아래에 금속 배선이나 배리어막 (미도시) 을 더 포함할 수도 있는 실리콘 웨이퍼와 같은 기판 (42) 을 포함하는 막의 스택 위에 놓이는 포토레지스트 마스킹막 (32) 내부에 트렌치에 대응하는 개구부 (30) 가 마련된다. 도 2b 는 식각 후의 구조를 도시하는데, 개구부 (30) 는 로우-k 유전체막 (34) 을 관통해 제 1 저지막 (36) 까지 확장한다. 도 2c 는 비아 (44) 를 위해 마스킹막을 재-패터닝한 후의 구조를 도시한다. 도 2d 는 제 2 로우-k 유전체막 (38) 이 제 2 저지막 (40) 까지 식각된 후의 구조를 도시한다.
도 3a 및 도 3b 는 단일 단계 이중 다마신 식각 공정 동안에 실리콘 카바이드막을 식각할 수 있는 방법을 개략적으로 도시한다. 도 3a 는 식각 전의 상태를 보여주는데, 제 1 로우-k 유전체막 (54), 실리콘 나이트라이드 또는 실리콘 카바이드와 같은 제 1 저지막 (56), 제 2 로우-k 유전체막 (58), 실리콘 나이트라이드 또는 실리콘 카바이드와 같은 제 2 저지막 (60) 및 저지막 (60) 아래에 금속 배선이나 배리어막 (미도시) 을 더 포함할 수 있는 실리콘 웨이퍼와 같은 기판 (62)을 포함하는 막의 스택 위에 놓이는 포토레지스트 (52) 및 마스킹막 (53) 내부에 트렌치에 대응하는 개구부 (50) 가 마련된다. 단일 식각 단계에서 제 1 저지막 (56) 을 관통하는 비아의 식각을 획득하기 위해서, 제 1 저지막 (56) 은 개구부 (64) 를 포함한다. 도 3b 는 식각 후의 구조를 나타내는데, 여기서 개구부 (50) 는 제 1 로우-k 유전체막 (54) 을 관통해 제 1 저지막 (56) 까지 확장하고, 개구부 (64) 는 제 2 로우-k 유전체막 (58) 을 관통해 제 2 저지막 (60) 까지 확장한다. 이와 같은 배열은 "자기-정렬 이중-다마신" 구조라고 부를 수 있다.
본 발명의 공정은, 다마신 또는 기판 형태인 실리콘 카바이드막을 식각하는 것을 포함한 다른 집적 회로 구조 내의 실리콘 카바이드막의 식각에 적용될 수 있다. 본 발명의 공정은 불소 처리된 산화 실리콘 (FSG) 과 같은 도핑된 산화 실리콘, 보론 포스패이트 실리케이트 글래스 (BPSG) 및 포스패이트 실리케이트 글래스 (PSG)와 같은 실리케이트 글래스, 폴리이미드와 같은 유기 폴리머 물질, 유기 실록산 (siloxane) 폴리머, 폴리-아릴렌 에테르 (poly-arylene ether), 카본-도핑된 실리케이트 글래스, 실세스퀴옥산 (silsesquioxane) 글래스, 불소 처리된 또는 불소 처리되지 않은 실리케이트 글래스, 유사 다이아몬드 비정질 카본, SiLK (다우 케미컬사 (Dow Chemical Co.) 로부터 입수할 수 있는 제품) 와 같은 방향족 탄화수소 폴리머, CORAL (노벨러스 시스템사 (Novellus Systems,Inc.) 로부터 입수할 수 있는 제품) 과 같은 c-도핑된 실리카 글래스, 또는 유전상수가 4.0 미만, 바람직하게는 3.0 미만인 다른 적당한 유전체를 포함하는 다양한 로우-k 유전체막을 포함하는 다층 구조의 제조에 특히 유용하다. 이러한 로우-k 유전체막은 배리어막과 같은 중간막, 및 다결정질 실리콘과 같은 도체 또는 반도체 막, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 혹은 이들의 합금과 같은 금속, 티타늄 나이트라이드와 같은 질화물, 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 몰리브덴 실리사이드 등과 같은 금속 실리사이드 위에 놓일 수 있다.
플라즈마는 다양한 형태의 플라즈마 반응기에서 생산될 수 있다. 일반적으로 이러한 플라즈마 반응기는 중간 밀도에서부터 고밀도 플라즈마를 생산하기 위해 RF 에너지, 마이크로웨이브 에너지, 자기장 등을 사용하는 에너지 소스를 갖는다. 예를 들어, 고밀도 플라즈마는 유도 결합 플라즈마 반응기라고도 부르며 램 리서치 코오퍼레이션 (Lam Research Corporation) 으로부터 입수할 수 있는 변압기 결합 플라즈마 (transformer coupled plasma; TCPTM), 전자 사이클로트론 공진 (electron-cyclotron resonance; ECR) 플라즈마 반응기, 헬리콘 플라즈마 반응기 등에서 생산될 수 있다. 고밀도 플라즈마를 제공할 수 있는 고 유동 (high flow) 플라즈마 반응기의 예는 공동 소유의 미국 특허 제 5,820,723 호에 개시되어 있으며, 그 개시 내용은 본 명세서에서 참조로서 통합된다. 플라즈마는 공동 소유의 미국 특허 제 6,090,304 호에 개시되어 있는 이중 주파수 플라즈마 식각 반응기와 같은 평행판 식각 반응기에서 만들어질 수도 있으며, 그 개시 내용은 본 명세서에서 참조로서 통합된다.
본 발명의 공정은 도 4 에 도시한 반응기 (100) 와 같은 유도 결합 플라즈마 반응기 안에서 수행될 수 있다. 반응기 (100) 는 반응기 하부 벽체의 출구 (104) 에 접속된 진공 펌프에 의해 원하는 진공 압력으로 유지되는 내부 (interior; 102) 를 포함한다. 가스를 가스 공급부 (106) 로부터 유전체 윈도우 (110) 의 하측 주변으로 확장하는 충만부 (plenum; 108) 로 공급함으로써 샤워헤드 장치에 식각 가스를 공급할 수 있다. RF 에너지를 RF 소스 (112) 로부터 반응기 상부의 유전체 윈도우 (110) 바깥쪽에 1 회 이상의 턴 (turn) 을 갖는 평면 나선 코일과 같은 외부 RF 안테나 (114) 로 공급함으로써, 반응기에서 고밀도 플라즈마를 발생시킬 수 있다. 플라즈마 발생 소스는 반응기의 상측 단부 상에 진공 밀착 (tight) 형태로 제거 가능하게 장착된 모듈러 마운팅 장치의 일부일 수 있다.
모듈러 마운팅 장치에 의해 반응기의 측벽으로부터 제거가능하게 지지된 캔틸레버 척 장치와 같이 기판 지지대 (118) 상의 반응기에 지지된다. 전체 기판 지지대/지지암 어셈블리가 반응기 측벽의 개구부를 통하여 상기 반응기로부터 제거될 수 있는 캔틸레버식으로 장착된 지지암의 일단에 기판 지지대 (118) 가 있을 수 있다. 기판 지지대 (118) 는 정전척 (120) 과 같은 척킹 장치를 포함할 수 있고, 기판은 유전체 포커스 링 (122) 에 의해 감싸질 수 있다. 척은 식각 공정 동안 기판에 RF 바이어스를 인가하는 RF 바이어싱 전극을 포함할 수 있다. 가스 공급부 (106) 에 의해 공급되는 식각 가스는 윈도우 (110) 와 하부 가스 분배판 (124) 사이의 채널을 통해 흘러, 판 (124) 의 가스 출구를 통해 내부 (102) 로 들어갈 수 있다. 또한, 상기 반응기는 가스 분배판 (124) 에서부터 확장하는 원통형 또는 원뿔형태의 가열된 라이너 (126) 를 포함할 수 있다.
본 발명의 공정은 도 5 에 도시한 반응기 (200) 와 같이 평행판 플라즈마 반응기에서 수행될 수도 있다. 반응기 (200) 는 반응기 벽체의 출구에 접속된 진공 펌프 (204) 에 의해 원하는 진공 압력으로 유지되는 내부 (202) 를 갖는 챔버를 포함한다. 가스 공급부 (206) 로부터 가스를 공급함으로써 샤워헤드 전극에 식각 가스를 공급할 수 있다. 중간 밀도 플라즈마는 반응기 안에서 이중 주파수 장치에 의해 생성될 수 있는데, 여기서 RF 소스 (208) 로부터의 RF 에너지는 매치 네트워크 (210) 를 통해 샤워헤드 전극 (212) 으로 공급되고 RF 소스 (214) 로부터의 RF 에너지는 매치 네트워크 (216) 를 통해 보텀 전극 (218) 으로 공급된다. 대신에, 샤워헤드 전극 (212) 은 전기적으로 접지되고, 두 개의 다른 주파수의 RF 에너지가 보텀 전극 (218) 으로 공급될 수 있다. 보텀 전극 (218) 상에 지지된 기판 (220) 은 식각 가스를 플라즈마 상태로 활성화시켜서 생성된 플라즈마로 식각될 수 있다. RF 파워가 샤워헤드 전극으로만 또는 보텀 전극으로만 공급되는 다른 용량성 결합 반응기 또한 사용될 수 있다.
이중 주파수 중간 밀도 평행판 플라즈마 챔버 안에서 수행된 식각 공정의 예를 표 1 에 나타내었는데, 여기서 식각 가스의 성분은 Cl2/O2/Ar 혼합물이다. 이러한 가스 혼합물의 최적의 유량 및 비가 플라즈마 식각 챔버, 기판 크기 등의 선택에 따라 달라질 수도 있지만, 200mm 실리콘 웨이퍼 상에 다마신 구조를 식각하는 경우에 식각 가스의 각 구성요소는 상기 반응기 챔버로 5 내지 100 sccm, 그리고 보다 바람직하게는 20 내지 60 sccm 의 Cl2; 2 내지 50 sccm 그리고 보다 바람직하게는 10 내지 30 sccm 의 O2; 및 0 내지 500 sccm 그리고, 보다 바람직하게는 200 내지 300 sccm Ar 유량으로 공급될 수 있다. 식각하는 동안에, 챔버 압력은 1 내지 500 mTorr, 바람직하게는 50 내지 200 mTorr 로 설정될 수 있다. 바람직하게는, Cl2 의 유량이 O2 의 유량보다 크다. 그러나, Cl2의 유량은 O2의 유량보다 작을 수 있다. 예를 들어, 식각된 구조의 다른 막에 대한 선택비를 바람직한 수준으로 달성하기 위해서, O2 의 유량에 대한 Cl2 의 유량비는 0.5 내지 2.0, 보다 바람직하게는 1.25 내지 1.75 로 설정될 수 있다.
식각 가스 성분 공급 속도(sccm) 챔버 압력 (mTorr) 탑 RF 파워(W) 보텀 RF 파워(W) SiC 식각률 (Å/min)
Cl2 40 5 360 60 1400
O2 20
Ar 50
위 식각 공정은 Cl2, O2, 및/또는 Ar 대신에 다른 가스를 대체시킴으로써 변경될 수 있다. 예를 들어, BCl3 와 같은 다른 Cl 함유 가스가 Cl2 를 대신하거나 Cl2 에 추가될 수 있고, 일산화탄소 (CO) 또는 이산화탄소 (CO2) 가 O2 를 대신하거나 O2 에 추가될 수 있고, Ar 을 대신하거나 Ar 에 더하여 다른 불활성 가스가 추가될 수 있다.
표 1 의 변수를 사용하는 공정에 있어서, 실리콘 카바이드막은 SiO2 와 Si3N4 유전체막 사이에 위치하였다. 샤워헤드 전극에는 360 와트의 RF 파워를 사용하고, 보텀 전극에는 60 와트의 RF 파워를 사용하여, SiC : SiO2 그리고 SiC : SiN 의 선택비는 적어도 10, 바람직하게는 적어도 20 을 제공하면서 실리콘 카바이드막의 식각률은 약 1400 (Å/min) 이었다.
높은 SiC : 유전체 선택비는 폴리이미드와 같은 로우-k 유기 유전체, 유기 실록산 폴리머, 폴리-아릴렌 에테르, 카본-도핑된 실리케이트 글래스, 또는 실세스퀴옥산 글래스, 스핀-온 글래스, 불소 처리된 또는 불소 처리되지 않은 실리케이트 글래스, 유사 다이아몬드 비결정질 카본, "SILK" (다우 케미컬사 (Dow Chemical Co.) 의 상표) 와 같은 방향족 탄화수소 폴리머, 또는 이 분야에서 유전체로서 유용하다고 알려진 유사한 저 유전상수 (로우-k) 물질을 포함하는 다른 유전체를 사용해서도 얻을 수 있다.
뿐만 아니라, 플라즈마 과학 분야에 숙련된 자에게 알려져 있듯이, 플라즈마는 수많은 가스 압력 및 전기 파워 조건 하에서 형성될 수 있다. 따라서, 본 발명을 실행하는 데 사용된 온도, 파워 레벨 및 가스 압력의 선택은 다양하게 변화될 수 있으며, 여기에 명시된 것은 예시하기 위한 목적이지 본 발명의 범위를 한정하려는 것이 아님을 이해하여야 한다.
이상, 본 발명을 바람직한 실시예들을 들어 상세하게 설명하였으나, 여기에 특별히 기술하지 않은 추가, 삭제, 변경 및 대체가 다음에 이어지는 청구범위에 의해 정의된 바와 같은 본 발명의 본질 및 범주에서 벗어남이 없이 당업자들에 의해 상기 실시예들로부터 만들어질 수 있다는 것을 알 수 있을 것이다.
상부 포토레지스트 또는 하드 마스크 및/또는 실리콘 카바이드막의 상부 또는 하부에 있는 유전체막에 대해 선택비를 갖고 실리콘 카바이드를 플라즈마 식각하여 반도체 소자를 제조할 수 있다.

Claims (29)

  1. 실리콘 카바이드막 상부의 로우-k 유전체막에 대해 선택도를 갖는 상기 실리콘 카바이드막을 플라즈마 식각하는 방법으로서,
    상기 실리콘 카바이드막 및 상기 로우-k 유전체막을 구비하는 반도체 기판을 반응기 챔버에 위치시키는 단계;
    Cl2, O2 및 Ar 을 포함하는 식각 가스를 상기 챔버에 공급하는 단계로서, 상기 Cl2 및 O2 는 상기 로우-k 유전체막에 대해 적어도 5 의 식각률 선택도로 상기 실리콘 카바이드막을 식각하는 유량비로 공급되는 단계; 및
    상기 식각 가스를 플라즈마 상태로 활성화하고, 상기 실리콘 카바이드막의 개구부를 식각하는 단계로서, 상기 식각된 개구부는 상기 로우-k 유전체막의 개구부에 의해 정의되는 단계를 포함하는, 플라즈마 식각 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 식각 가스는 Cl2/O2/Ar 혼합물로 구성된, 플라즈마 식각 방법.
  4. 제 1 항에 있어서,
    상기 Cl2 및 O2 는 적어도 2:1 의 유량비로 상기 반응기 챔버로 공급되는, 플라즈마 식각 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 Cl2 는 5 내지 50 sccm 의 속도로 상기 반응기 챔버에 공급되는, 플라즈마 식각 방법.
  7. 제 6 항에 있어서,
    상기 O2 는 2 내지 25 sccm 의 속도로 상기 반응기 챔버에 공급되는, 플라즈마 식각 방법.
  8. 제 1 항에 있어서,
    상기 Ar 은 10 내지 400 sccm 의 속도로 상기 반응기 챔버에 공급되는, 플라즈마 식각 방법.
  9. 제 8 항에 있어서,
    상기 Ar 은 25 내지 100 sccm 의 속도로 상기 반응기 챔버로 공급되는, 플라즈마 식각 방법.
  10. 삭제
  11. 제 1 항에 있어서,
    상기 실리콘 카바이드막의 식각률은 적어도 1200 Å/min 인, 플라즈마 식각 방법.
  12. 제 1 항에 있어서,
    상기 기판은 상기 로우-k 유전체막 상부에 패터닝된 이산화 실리콘 하드 마스크를 더 구비하고,
    상기 로우-k 유전체막은 미리 식각되어 상기 하드 마스크의 개구부에 대응하는 위치에 상기 실리콘 카바이드막을 노출시키고,
    상기 실리콘 카바이드는 상기 하드 마스크에 대해 적어도 5 의 식각률 선택도로 식각된 식각 저지막인, 플라즈마 식각 방법.
  13. 제 12 항에 있어서,
    상기 기판은 상기 실리콘 카바이드막 하부에 로우-k 유전체막을 더 구비하는, 플라즈마 식각 방법.
  14. 제 13 항에 있어서,
    상기 로우-k 유전체막은 유기 폴리머 물질을 포함하고,
    상기 실리콘 카바이드는 수소 처리된 실리콘 카바이드막을 포함하는, 플라즈마 식각 방법.
  15. 제 1 항에 있어서,
    실리콘 카바이드막 : 로우-k 유전체막 식각률 선택도의 비율은 적어도 10 인, 플라즈마 식각 방법.
  16. 제 1 항에 있어서,
    상기 반응기 챔버는 ECR 플라즈마 반응기, 유도 결합 플라즈마 반응기, 용량성 결합 반응기, 헬리콘 플라즈마 반응기, 또는 마그네트론 플라즈마 반응기를 포함하는, 플라즈마 식각 방법.
  17. 제 1 항에 있어서,
    상기 개구부는 비아, 콘택, 및 트렌치 중 하나 이상을 포함하는, 플라즈마 식각 방법.
  18. 제 1 항에 있어서,
    상기 개구부는 단일 또는 이중 다마신 구조인, 플라즈마 식각 방법.
  19. 제 1 항에 있어서,
    상기 반응기 챔버의 상기 챔버 압력은 5 내지 500 mTorr 인, 플라즈마 식각 방법.
  20. 제 1 항에 있어서,
    상기 실리콘 카바이드막은 구리막 상부에 있는, 플라즈마 식각 방법.
  21. 실리콘 카바이드막 상부의 로우-k 유전체막에 대해 선택도를 갖는 상기 실리콘 카바이드막을 플라즈마 식각하는 방법으로서,
    반도체 기판을 이중 주파수 평행판 플라즈마 식각 반응기의 반응기 챔버에 위치시키는 단계로서, 상기 기판은 상기 실리콘 카바이드막 및 상기 로우-k 유전체막을 구비하는 단계;
    Cl2, O2 및 Ar 을 포함하는 식각 가스를 상기 챔버에 공급하는 단계로서, 상기 반응기 챔버는 5 mTorr 내지 200 mTorr 의 압력에 있고, 상기 Cl2 는 5 내지 100 sccm 의 유량으로 공급되고, 상기 O2 는 2 내지 50 sccm 의 유량으로 공급되는 단계; 및
    상기 식각 가스를 플라즈마 상태로 활성화하고, 상기 실리콘 카바이드막에 식각된 개구부를 형성하는 단계로서, 상기 식각된 개구부는 상기 로우-k 유전체막의 개구부에 의해 정의되고, 상기 실리콘 카바이드막은 상기 로우-k 유전체막보다 더 빠른 속도로 식각되는 단계를 포함하는, 플라즈마 식각 방법.
  22. 제 21 항에 있어서,
    상기 개구부는 0.25 ㎛ 이하의 사이즈를 갖는, 플라즈마 식각 방법.
  23. 제 21 항에 있어서,
    상기 유전체는 도핑된 실리카 글래스인, 플라즈마 식각 방법.
  24. 제 21 항에 있어서,
    상기 유전체는 3.0 미만의 유전 상수를 갖는, 플라즈마 식각 방법.
  25. 실리콘 카바이드막 상부의 로우-k 유전체막에 대해 선택도를 갖는 상기 실리콘 카바이드막을 플라즈마 식각하는 방법으로서,
    상기 실리콘 카바이드막 및 상기 로우-k 유전체막을 구비하는 기판을 포함하는 반응기 챔버에 식각 가스를 공급하는 단계로서, 상기 식각 가스는 Cl2, O2 및 Ar 을 포함하고, 상기 Cl2 및 O2 는 5:1 내지 20:1 의 유량비로 상기 반응기 챔버에 공급되는 단계; 및
    상기 식각 가스를 플라즈마 상태로 활성화하고, 상기 로우-k 유전체막의 개구부에 의해 정의된 상기 실리콘 카바이드막에 식각된 개구부를 형성하는 단계로서, 상기 실리콘 카바이드막은 상기 로우-k 유전체막보다 더 빠른 속도로 식각되는 단계를 포함하는, 플라즈마 식각 방법.
  26. 제 25 항에 있어서,
    상기 Cl2 및 O2 는 5:1 내지 10:1 의 유량비로 상기 반응기 챔버에 공급되는, 플라즈마 식각 방법.
  27. 제 21 항에 있어서,
    상기 Cl2 및 O2 는 10:1 내지 20:1 의 유량비로 상기 반응기 챔버에 공급되는, 플라즈마 식각 방법.
  28. 제 1 항에 있어서,
    상기 Cl2 및 O2 의 전체 가스 플로우는 50 sccm 보다 큰, 플라즈마 식각 방법.
  29. 제 1 항에 있어서,
    상기 Cl2 및 O2 의 전체 가스 플로우는 적어도 100 sccm 인, 플라즈마 식각 방법.
KR1020037012824A 2001-03-30 2002-03-21 실리콘 카바이드 플라즈마 식각 방법 KR100896160B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/820,696 2001-03-30
US09/820,696 US20020177321A1 (en) 2001-03-30 2001-03-30 Plasma etching of silicon carbide
PCT/US2002/021863 WO2002097852A2 (en) 2001-03-30 2002-03-21 Plasma etching of silicon carbide

Publications (2)

Publication Number Publication Date
KR20030087041A KR20030087041A (ko) 2003-11-12
KR100896160B1 true KR100896160B1 (ko) 2009-05-11

Family

ID=25231494

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037012824A KR100896160B1 (ko) 2001-03-30 2002-03-21 실리콘 카바이드 플라즈마 식각 방법

Country Status (5)

Country Link
US (3) US20020177321A1 (ko)
KR (1) KR100896160B1 (ko)
CN (1) CN1271688C (ko)
AU (1) AU2002344313A1 (ko)
WO (1) WO2002097852A2 (ko)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7035773B2 (en) * 2002-03-06 2006-04-25 Fisher-Rosemount Systems, Inc. Appendable system and devices for data acquisition, analysis and control
JP4014456B2 (ja) * 2002-06-19 2007-11-28 株式会社日立ハイテクノロジーズ エッチング処理方法
US20050221574A1 (en) * 2004-03-31 2005-10-06 Hynix Semiconductor, Inc. Method for fabricating semiconductor device
TW200605220A (en) * 2004-06-21 2006-02-01 Hitachi Chemical Co Ltd Organic siloxane film, semiconductor device using same, flat panel display and raw material liquid
TWI319204B (en) 2004-10-12 2010-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using tungsten as sacrificial hard mask
JP4615290B2 (ja) * 2004-11-16 2011-01-19 東京エレクトロン株式会社 プラズマエッチング方法
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
TWI327761B (en) * 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
US7977245B2 (en) * 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7550361B2 (en) * 2007-01-02 2009-06-23 International Business Machines Corporation Trench structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
KR200452336Y1 (ko) * 2008-08-19 2011-02-17 이선종 진공청소기용 월 앤드 파이프
KR101447434B1 (ko) * 2008-09-09 2014-10-13 주성엔지니어링(주) 태양전지와 그의 제조 방법 및 제조 장치
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012017798A1 (ja) 2010-08-03 2012-02-09 住友電気工業株式会社 半導体装置およびその製造方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5659873B2 (ja) * 2010-12-16 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
JP5699878B2 (ja) 2011-09-14 2015-04-15 住友電気工業株式会社 炭化珪素半導体装置およびその製造方法
JP2013069964A (ja) 2011-09-26 2013-04-18 Sumitomo Electric Ind Ltd 炭化珪素半導体装置
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5764046B2 (ja) 2011-11-21 2015-08-12 住友電気工業株式会社 炭化珪素半導体装置の製造方法
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN102915911B (zh) * 2012-09-24 2014-12-10 中国电子科技集团公司第五十五研究所 一种改善碳化硅台面底部的刻蚀方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015017899A1 (en) * 2013-08-05 2015-02-12 Griffith University A silicon carbide etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10032670B2 (en) 2016-06-14 2018-07-24 Infineon Technologies Ag Plasma dicing of silicon carbide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102016927B1 (ko) * 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN109103078A (zh) * 2018-10-03 2018-12-28 大连理工大学 一种提高SiC MOSFET器件高、低温稳定性的钝化方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109804463B (zh) * 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111627855B (zh) * 2019-06-27 2021-05-25 长江存储科技有限责任公司 互连结构及其形成方法
CN112921403A (zh) * 2021-02-09 2021-06-08 北京北方华创微电子装备有限公司 碳化硅晶片的刻蚀方法
CN114384051A (zh) * 2021-12-17 2022-04-22 山东大学 一种在晶片碳面辨别碳化硅晶片中缺陷的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5254215A (en) 1989-08-11 1993-10-19 Sanyo Electric Co., Ltd. Dry etching method
US5356478A (en) 1992-06-22 1994-10-18 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US63A (en) * 1836-10-20 Kravxiig
US3398033A (en) 1965-02-26 1968-08-20 Dow Corning Method of etching silicon carbide
DE2615878C2 (de) * 1976-04-10 1985-09-19 Basf Ag, 6700 Ludwigshafen Polycyclische stickstoffhaltige Verbindungen
GB1548520A (en) 1976-08-27 1979-07-18 Tokyo Shibaura Electric Co Method of manufacturing a semiconductor device
JPS6066823A (ja) 1983-09-22 1985-04-17 Semiconductor Energy Lab Co Ltd 半導体エッチング方法
DE3603725C2 (de) 1986-02-06 1994-08-18 Siemens Ag Verfahren zur Strukturierung von Siliciumcarbid
JPS63152125A (ja) 1986-12-17 1988-06-24 Sanyo Electric Co Ltd SiC単結晶のエツチング方法
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
JP3009975B2 (ja) * 1992-11-30 2000-02-14 シャープ株式会社 シリコン薄膜のドライエッチング方法
DE4310345C2 (de) * 1993-03-31 1995-07-27 Daimler Benz Ag Verfahren zum Trockenätzen von SiC
WO1994028578A1 (fr) 1993-05-20 1994-12-08 Hitachi, Ltd. Procede de traitement au plasma
JPH08319586A (ja) 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5981551A (en) * 1996-05-22 1999-11-09 Smithkline Beecham Corporation 2,5-diimino-3a,6a-diaryl-1,2,3,3a,4,5,6,6a-octahydroimidazo[4,5-d]imidazoles which are effective as G-CSF mimetics
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5928967A (en) 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5770523A (en) 1996-09-09 1998-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removal of photoresist residue after dry metal etch
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6103590A (en) 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) * 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
EP1070346A1 (en) * 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6284149B1 (en) 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6211032B1 (en) 1998-11-06 2001-04-03 National Semiconductor Corporation Method for forming silicon carbide chrome thin-film resistor
JP2001102447A (ja) * 1999-09-30 2001-04-13 Mitsubishi Electric Corp コンタクト構造の製造方法
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6261892B1 (en) * 1999-12-31 2001-07-17 Texas Instruments Incorporated Intra-chip AC isolation of RF passive components
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5254215A (en) 1989-08-11 1993-10-19 Sanyo Electric Co., Ltd. Dry etching method
US5356478A (en) 1992-06-22 1994-10-18 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber

Also Published As

Publication number Publication date
US20020177321A1 (en) 2002-11-28
AU2002344313A1 (en) 2002-12-09
US7166535B2 (en) 2007-01-23
US6919278B2 (en) 2005-07-19
WO2002097852A3 (en) 2003-04-03
US20030087531A1 (en) 2003-05-08
CN1522465A (zh) 2004-08-18
CN1271688C (zh) 2006-08-23
KR20030087041A (ko) 2003-11-12
WO2002097852A2 (en) 2002-12-05
US20030199170A1 (en) 2003-10-23

Similar Documents

Publication Publication Date Title
KR100896160B1 (ko) 실리콘 카바이드 플라즈마 식각 방법
US6670278B2 (en) Method of plasma etching of silicon carbide
US6962879B2 (en) Method of plasma etching silicon nitride
US7311852B2 (en) Method of plasma etching low-k dielectric materials
KR100883291B1 (ko) 유기 반사 방지막 플라즈마 식각 방법
US6458516B1 (en) Method of etching dielectric layers using a removable hardmask
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
JP4477750B2 (ja) エッチング方法
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
WO2003031676A1 (en) Method for making carbon doped oxide film
KR20060123144A (ko) 포토레지스트 스트립 동안의 배리어 재료의 손실 최소화
KR101197070B1 (ko) 유기실리케이트 유리용 아산화질소 스트립 프로세스
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
Li et al. Kang.“
KR20070020325A (ko) 유전체 재료 플라즈마 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130410

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140409

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160412

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170414

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180413

Year of fee payment: 10