CN1271688C - 碳化硅的等离子体刻蚀 - Google Patents

碳化硅的等离子体刻蚀 Download PDF

Info

Publication number
CN1271688C
CN1271688C CNB028090535A CN02809053A CN1271688C CN 1271688 C CN1271688 C CN 1271688C CN B028090535 A CNB028090535 A CN B028090535A CN 02809053 A CN02809053 A CN 02809053A CN 1271688 C CN1271688 C CN 1271688C
Authority
CN
China
Prior art keywords
silicon carbide
etching
layer
reactor
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028090535A
Other languages
English (en)
Other versions
CN1522465A (zh
Inventor
李思义
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1522465A publication Critical patent/CN1522465A/zh
Application granted granted Critical
Publication of CN1271688C publication Critical patent/CN1271688C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

本发明公开了一种以对上方和/或下方介电材料层的选择性等离子体刻蚀碳化硅的方法。介电材料可包含二氧化硅,氧氮化硅,氮化硅或各种低k介电材料包括有机低k材料。刻蚀气体包括含氯气体如Cl2,含氧气体如O2,和载体气体如Ar。为了实现对这些介电材料的所需选择性,选择等离子体刻蚀气体化学以实现所需的碳化硅刻蚀速率,同时介电材料在较慢的速率下被刻蚀。该工艺可用于选择性地刻蚀氢化碳化硅刻蚀光阑层或碳化硅基材。

Description

碳化硅的等离子体刻蚀
本发明的领域
本发明涉及一种等离子体刻蚀介电材料如碳化硅的改进的工艺。
本发明的背景
多层结构的制造通常包括半导体表面被光刻胶保护材料覆盖的区域的图案化刻蚀。一种刻蚀技术是反应性离子刻蚀(RIE)。该工艺包括将半导体晶片设置在反应腔中并将刻蚀剂气体加料到该腔。刻蚀剂气体在无线电频率(RF)场中离解,这样包含在刻蚀剂气体中的离子被加速至晶片表面。被加速的离子与晶片表面上的未遮蔽材料化学结合。结果,挥发性刻蚀产物产生并进入等离子体。挥发性刻蚀产物的浓度可被跟踪以确定RIE工艺的终点,即,当化学反应已从晶片表面上去除所需水平的材料。在RIE工艺过程中,可以去除材料或膜的单层或多层。这些材料可包括,例如,氮化硅(Si3N4),PSG,二氧化硅(SiO2)和多晶硅(PSi)。
U.S.3398033(授予Haga)讨论了通过利用氧(O2)和氯(Cl2)受热至1200℃和1300℃之间的混合物的化学反应进行的碳化硅湿刻蚀。U.S.4351894(授予YoneZawa)公开了一种使用四氟化碳(CF4)和视需要的氧(O2)用于去除SiC的等离子体刻蚀工艺。U.S.4595453(Yamazaki)公开在干刻蚀等离子体工艺中使用氟化氢气体(HF)。
U.S.4865685和U.S.4981551(两者都授予Palmour)公开了使用NF3和,另外,与O2和氩(Ar)混合的NF3进行SiC的反应性离子刻蚀。
本领域需要改进用于等离子体刻蚀碳化硅的技术,它对上方光刻胶或硬光罩和/或在碳化硅层之上或之下的介电层有选择性。
本发明的综述
提供了一种以对下方和/或上方介电材料的选择性等离子体刻蚀碳化硅层的方法。包括碳化硅层和下方和/或上方介电材料层的基材被设置在反应器腔中,然后将含氯气体,含氧气体,和可有可无的载体气体的刻蚀剂气体供给至所述腔并激发成等离子体态。等离子体刻蚀碳化硅层中的开口且碳化硅层的刻蚀速率比介电材料的刻蚀速率快。
基材可以是硅晶片和可包括图案化二氧化硅硬光罩和在碳化硅层之上和/或之下的低k介电层。在碳化硅层之上的低k介电层可事先刻蚀以在对应于硬光罩中的开口的位置上暴露碳化硅层。低k介电材料可以是有机聚合物材料。
该方法优选提供碳化硅刻蚀速率至少为1200埃/分钟和碳化硅∶电介质刻蚀速率选择性比率至少为10并可用于反应器腔如ECR等离子体反应器、感应耦合等离子体反应器、电容耦合等离子体反应器、螺旋波等离子体反应器或磁控管等离子体反应器。方法可用于刻蚀基材中的开口,如通路、接点和/或沟并可用作刻蚀方法以形成单或双镶嵌结构或自排列接点或沟结构。
含氯气体可以是Cl2或BCl3,含氧气体可以是O2、CO或CO2和载体气体可以是He、Ne、Ar、Kr或Xe。氯和氧气体可在流速比率Cl2∶O22∶1-3∶1下供给至反应器腔。含氧气体可在速率5-30sccm,优选在速率15-25sccm下供给至反应器腔;和载体气体可在速率10-80sccm,优选在速率40-60sccm下供给至反应器腔。
附图的简要描述
本发明的目的和优点根据对其优选实施方案的详细描述并结合附图而变得显然,其中同样的数字表示同样的元件和其中:
图1A-D示意地表示一种可根据本发明工艺刻蚀的先通路双镶嵌结构,图1A给出了刻蚀前状态,图1B给出了其中已刻蚀通路的刻蚀后状态,图1C给出了再图案化用于沟刻蚀的结构和图1D给出了其中已刻蚀沟的刻蚀后状态;
图2A-D示意地表示一种可根据本发明工艺刻蚀的先沟双镶嵌结构,图2A给出了刻蚀前状态,图2B给出了其中已刻蚀沟的具有刻蚀后状态,图2C给出了再图案化用于通路刻蚀的结构和图2D给出了其中已刻蚀通路的刻蚀后状态;
图3A-B示意地表示一种可根据本发明工艺刻蚀的自排列双镶嵌结构,图3A给出了刻蚀前状态和图3B给出了其中已刻蚀沟和通路的刻蚀后状态;
图4示意地表示一种可用于进行本发明工艺的诱导偶联高密度等离子体反应器;和
图5示意地表示一种可用于进行本发明工艺的中密度平行板等离子体反应器。
优选实施方案的详细描述
本发明提供了一种以对上方和/或下方介电材料层的选择性等离子体刻蚀碳化硅(SiC)的方法。介电材料可包含各种低k介电材料,包括有机低k材料,无机介电材料,二氧化硅,氧氮化硅,氮化硅或类似物。为了实现对这些介电材料的所需选择性,选择等离子体刻蚀气体化学以实现碳化硅的所需刻蚀速率,同时介电材料在较慢速率下刻蚀。
碳化硅具有作为半导体材料的有利特性,包括其宽带隙,高热导率,高饱和电子漂移速度,和高电子迁移率。这些特性使得SiC成为一种用于集成电路的有吸引力的介电材料。另外,SiC由于其对用于多层集成电路制造的某些种类刻蚀剂的相对耐性而已经用作刻蚀光阑,保护涂层,光罩,扩散隔绝层和类似物。但碳化硅存在某些问题,包括高工艺温度,起始原料不纯,在使用某些掺杂技术时的问题,以及具有高选择性比率的合适刻蚀技术的有限的发展。
本发明提供了一种半导体制造工艺,其中开口可在碳化硅层中被等离子体刻蚀,同时提供对下方和/或上方介电层如二氧化硅(SiO2),氮化硅(Si3N4),氧氮化硅和光刻胶材料(PR)的所需选择性。这些选择性在制造镶嵌结构时特别有意义,其中一个或多个碳化硅刻蚀光阑层被整合在多层结构中。在制造这些结构过程中,特征如接点,通路,导体线等在制造集成电路时在介电材料如氧化物和有机硅酸盐玻璃层中被刻蚀。本发明克服了以往刻蚀技术的问题,其中碳化硅和上方和/或下方层如光罩/氧化物/低k介电层/光刻胶层之间的选择性对于商业应用来说太低。该选择性问题通过采用一种降低这些层相对碳化硅材料的刻蚀速率的刻蚀气体化学而解决。
根据本发明的一个方面,在制造其中低k介电层以0.25μm或更小尺寸被刻蚀至刻蚀深度至少1.8μm的单或双镶嵌结构时,碳化硅刻蚀光阑层以大于5∶1的碳化硅:低k介电层/光刻胶/氧化物刻蚀速率选择性被刻蚀。这些结构可包括具有厚度约40-60nm的硬光罩,具有厚度约200-400nm的低k介电层,具有厚度约40-60nm的刻蚀光阑层,具有厚度约40-60nm的隔绝层,金属化层,金属化填充的通路和沟,和类似物。在以下描述中,碳化硅可用于具有各种镶嵌结构的一层或多层。但本发明工艺可应用于其中碳化硅用作其结构的被刻蚀组分的任何集成电路结构。
图1A-D示意地表明碳化硅层如何可在先通路双镶嵌刻蚀工艺过程中被刻蚀。图1A给出了刻蚀前状态,其中对应于通路的开口10提供在光刻胶12中,后者覆盖了包括二氧化硅,氮化硅,碳化硅,氮化硅或类似物的光罩13,第一低k介电层14,第一光阑层16如氮化硅或碳化硅,第二低k介电层18,第二光阑层20如氮化硅或碳化硅,和在刻蚀光阑层20之下的可包括导电层(未示出)和其它层如隔绝层(未示出)的基材22如硅晶片的堆积层。图1B给出了在刻蚀之后的结构,其中开口10通过光罩13,低k介电层14,18和第一光阑层16延伸至第二光阑层20。图1C给出了在再图案化用于沟24的遮蔽层之后的结构。图1D给出了在汽提光刻胶和刻蚀之后的结构,其中第一低k介电层14向下刻蚀至第一光阑层16。
图2A-D示意地说明碳化硅层如何可在先沟双镶嵌刻蚀工艺过程中被刻蚀。图2A给出了刻蚀前状态,其中对应于沟的开口30提供在光刻胶遮蔽层32中,后者覆盖包括光罩层33,第一低k介电层34,第一光阑层36如氮化硅或碳化硅,第二低k介电层38,第二光阑层40如氮化硅或碳化硅,和在光阑层40之下的可进一步包括金属化和隔绝层(未示出)的基材42如硅晶片的堆积层。图2B给出了在刻蚀之后的结构,其中开口30通过低k介电层34延伸至第一光阑层36。图2C给出了在再图案化用于通路44的遮蔽层之后的结构。图2D给出了在刻蚀之后的结构,其中第二低k介电层38向下刻蚀至第二光阑层40。
图3A-B示意地说明碳化硅层如何可在单步双镶嵌刻蚀工艺过程中被刻蚀。图3A给出了刻蚀前状态,其中对应于沟的开口50提供在光刻胶52和遮蔽层53中,后者覆盖了包括第一低k介电层54,第一光阑层56如氮化硅或碳化硅,第二低k介电层58,第二光阑层60如氮化硅或碳化硅,和在光阑层60之下的可包括金属化和隔绝层(未示出)的基材62如硅晶片的堆积层。为了在单个刻蚀步骤中通过第一光阑层56得到刻蚀通路,第一光阑层56包括开口64。图3B给出了在刻蚀之后的结构,其中开口50通过低k介电层54延伸至第一光阑层56和开口64通过第二低k电介质58延伸至第二光阑层60。这种排列可称作″自排列双镶嵌″结构。
本发明工艺可用于刻蚀镶嵌或其它集成电路结构中的碳化硅层,包括基材形式的碳化硅层的刻蚀。本发明工艺特别可用于制造包括各种低k介电层的多层结构,其中所述介电层包括掺杂氧化硅如氟化氧化硅(FSG),硅酸盐玻璃如硼磷酸盐硅酸盐玻璃(BPSG)和磷酸盐硅酸盐玻璃(PSG),有机聚合物材料如聚酰亚胺,有机硅氧烷聚合物,聚亚芳基醚,碳-掺杂硅酸盐玻璃,倍半硅氧烷玻璃,氟化和非氟化硅酸盐玻璃,金刚石状无定形碳,芳族烃聚合物如SiLK(得自DowChemical Co.的产品),c-掺杂硅石玻璃如CORAL(得自NovellusSystem,Inc.的产品),或介电常数低于4.0,优选低于3.0的其它合适的介电材料。这些低k介电层可覆盖在中间层如隔绝层和导电或半导电层如多晶硅,金属如铝,铜,钛,钨,钼或其合金,氮化物如氮化钛,金属硅化物如硅化钛,硅化钴,硅化钨,硅化钼等之上。
等离子体可在各种等离子体反应器中产生。这些等离子体反应器通常具有使用RF能量,微波能量,磁场等以产生中至高密度等离子体的能量源。例如,高密度等离子体可在变压器耦合等离子体(TCPTM)刻蚀反应器(得自Lam Research Corporation,也称作感应耦合等离子体反应器),电子-回旋加速器共振(ECR)等离子体反应器,螺旋波等离子体反应器或类似物中产生。可提供高密度等离子体的高流动等离子体反应器的一个例子公开于共同拥有的U.S.5820723,在此将其内容作为参考并入本发明。等离子体也可在平行板刻蚀反应器如在共同拥有的U.S.6090304(在此将其内容作为参考并入本发明)中描述的双频率等离子体刻蚀反应器中产生。
本发明工艺可在感应耦合等离子体反应器如图4所示的反应器100中进行。反应器100包括通过连接到反应器下壁中的出口104上的真空泵而保持在所需真空压强下的内部102。刻蚀气体可供给至淋浴头排列,将气体由气体供给源106供给至在电介质窗口110的下侧周围延伸的增压室108。高密度等离子体可在反应器中通过将来自RF源112的RF能量供给至外部RF天线114如在反应器顶部在电介质窗口110之外的具有一种或多种转弯的平面螺旋线圈而产生。等离子体产生源可以是以真空气密方式可移动地安装到反应器上端的模块化装配排列的一部分。
半导体基材116如晶片在反应器内被承载在基材支撑物118如悬臂梁卡盘排列上,后者可移动地被来自反应器侧壁的装配排列所承载。基材支撑物118在支撑物臂的一端,后者以悬臂梁方式安装使得整个基材载体/支撑物臂组件可通过将该组件经过反应器侧壁中的一个开口而从反应器上取出。基材支撑物118可包括卡盘装置如静电卡盘120且基材可被电介质聚焦环122所包围。卡盘可包括用于在刻蚀工艺过程中将RF偏转(bias)施加到基材上的RF偏转电极。由气体供给源106供给的刻蚀气体可流过窗口110和下方气体分布板124之间的通道并通过板124中的气体出口进入内部102。反应器也可包括由板124延伸的圆柱形或圆锥形加热衬里126。
本发明工艺也可在平行板等离子体反应器如图5所示的反应器200中进行。反应器200包括具有内部202的腔,通过连接到反应器壁中的出口上的真空泵204而保持在所需真空压强。刻蚀气体可通过由气体供给源206供给气体而供给至淋浴头电极。中密度等离子体可在反应器中通过双频排列而产生,其中来自RF源208的RF能量通过匹配网络210供给至淋浴头电极212且来自RF源214的RF能量通过匹配网络216供给至底电极218。另外,淋浴头电极212可电接点且可将处于两个不同频率的RF能量供给至底电极218。承载在底电极218上的基材220可用通过激发刻蚀气体成等离子体态而产生的等离子体进行刻蚀。也可使用其它电容耦合等离子体反应器如其中仅将RF功率供给至淋浴头电极或底电极的反应器。
在双频率中密度平行板等离子体腔中进行的刻蚀工艺的一个例子在表1中给出,其中刻蚀剂气体化学是Cl2/O2/Ar混合物。尽管该气体混合物的最佳流速和比率可根据对等离子体刻蚀腔,基材尺寸等的选择而变化,如果在200mm硅晶片上刻蚀镶嵌结构,刻蚀剂气体的各个组分可在流速:5-100sccm,和更优选20-60sccm Cl2;2-50sccm和更优选10-30sccm O2;和0-500sccm,和更优选200-300sccm Ar下供给至反应器腔。在刻蚀,腔压强可设定为1-500mTorr,优选50-200mTorr。Cl2的流速优选超过O2的流速。但Cl2的流速可低于O2的流速。例如,Cl2的流速与O2的流速的比率可设定为0.5-2.0,更优选1.25-1.75以实现所需程度的相对刻蚀结构其它层的选择性。
                               表1
  刻蚀剂气体组分   供给速率(sccm)   腔压强(mTorr)   顶RF功率(W)   底RF功率(W)   SiC刻蚀速率(埃/分钟)
  Cl2   40   5   360   60   1400
  O2   20
  Ar   50
以上刻蚀工艺可通过用不同的气体替代Cl2、O2和/或Ar而改变。例如,其它的含Cl气体如BCl3可用于替代或加入Cl2,一氧化碳(CO)或二氧化碳(CO2)可用于替代或加入O2,和其它惰性气体可用于替代或加入Ar。
在采用表1的参数的工艺中,碳化硅层位于SiO2介电层和Si3N4介电层之间。使用RF功率360瓦用于淋浴头电极和60瓦用于底电极,碳化硅层的刻蚀速率是约1400埃/分钟,同时提供选择性比率SiC∶SiO2和SiC∶SiN至少为10,优选至少为20。
高SiC:介电选择性可使用其它介电材料如低k有机介电层如聚酰亚胺,有机硅氧烷聚合物,聚亚芳基醚,碳-掺杂硅酸盐玻璃或倍半硅氧烷玻璃,旋涂玻璃,氟化或非氟化硅酸盐玻璃,金刚石状无定形碳,芳族烃聚合物,如″SiLK″(Dow Chemical Co.的商标),或本领域已知可用作的介电材料的任何类似低介电常数(低k)材料而得到。
另外,正如等离子体科学熟练技术人员所已知,等离子体可在许多气体压强和电功率条件下形成。因此应该理解,对使用在本发明中的温度,功率水平,和气体压强的选择可极为不同且本文所规定的那些是作为例子给出的,且不限定本发明的范围。
尽管本发明已根据其优选的实施方案进行描述,但本领域熟练技术人员可以理解,可在不背离由所附权利要求所确定的本发明的主旨和范围的情况下进行没有具体描述的添加,删除,修改,和替代。

Claims (23)

1.一种以对上方低-k介电材料的选择性等离子体刻蚀碳化硅层的方法,该方法包括:
将半导体基材设置在反应器腔中,所述基材包括碳化硅层和上方低-k介电材料层;
将刻蚀剂气体供给至反应器腔,所述刻蚀剂气体包含Cl2,O2和Ar;和
将刻蚀剂气体激发成等离子体态和在碳化硅层中形成刻蚀的开口,刻蚀的开口通过在上方低-k介电材料中的开口限定,所述碳化硅层的刻蚀速率比低-k介电材料的刻蚀速率快。
2.权利要求1的方法,其中刻蚀剂气体基本上由Cl2,O2和Ar组成。
3.权利要求1的方法,其中Cl2和O2在至少为2∶1的流速比率下供给至反应器腔。
4.权利要求1的方法,其中Cl2在5-50sccm的速率下供给至反应器腔。
5.权利要求4的方法,其中O2在2-25sccm的速率下供给至反应器腔。
6.权利要求1的方法,其中Ar在10-400sccm的速率下供给至反应器腔。
7.权利要求6的方法,其中Ar在速率25-100sccm下供给至反应器腔。
8.权利要求1的方法,其中碳化硅以对上方氧化硅光罩层的刻蚀速率选择性至少为10和/或对上方低-k介电材料的刻蚀速率选择性至少为5被刻蚀。
9.权利要求1的方法,其中碳化硅刻蚀速率是至少1200埃/分钟。
10.权利要求1的方法,其中基材包括图案化二氧化硅硬光罩和在碳化硅层上的低-k介电层,所述低-k介电层已事先刻蚀以在对应于硬光罩中的开口的位置上暴露所述碳化硅层,所述碳化硅包括以对硬光罩的刻蚀速率选择性至少为5被刻蚀的刻蚀光阑层。
11.权利要求10的方法,其中基材进一步包括在碳化硅层之下的低-k介电层。
12.权利要求11的方法,其中低-k介电材料包含有机聚合物材料和碳化硅包含氢化碳化硅。
13.权利要求1的方法,其中碳化硅:电介质刻蚀速率选择性比率是至少10。
14.权利要求1的方法,其中反应器腔包括ECR等离子体反应器、感应耦合等离子体反应器、电容耦合等离子体反应器、螺旋波等离子体反应器或磁控管等离子体反应器。
15.权利要求1的方法,其中开口包括通路、接点和/或沟。
16.权利要求1的方法,其中开口处于单或双镶嵌结构中。
17.权利要求1的方法,其中反应器腔中的腔压强是5-500mTorr。
18.权利要求1的方法,其中碳化硅层在铜层之上。
19.一种以对上方低-k介电材料的选择性等离子体刻蚀碳化硅层的方法,该方法包括:
将半导体基材设置在中密度平行板等离子体刻蚀反应器的反应器腔中,反应器腔的压强为5-200mtorr,所述基材包括碳化硅层和上方低-k介电材料层;
将刻蚀剂气体供给至反应器腔,所述刻蚀剂气体包含Cl2,O2和Ar;和
将刻蚀剂气体激发成等离子体态和在碳化硅层中形成刻蚀的开口,刻蚀的开口通过在低-k介电材料中的开口限定,所述碳化硅层的刻蚀速率比上方低-k介电材料的刻蚀速率快。
20.权利要求19的方法,其中开口具有0.25μm或更小的尺寸。
21.权利要求20的方法,其中反应器腔中的腔压强为5-100mtorr。
22.权利要求19的方法,其中介电材料是掺杂的硅石玻璃。
23.权利要求19的方法,其中介电材料具有低于3.0的介电常数。
CNB028090535A 2001-03-30 2002-03-21 碳化硅的等离子体刻蚀 Expired - Fee Related CN1271688C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/820,696 2001-03-30
US09/820,696 US20020177321A1 (en) 2001-03-30 2001-03-30 Plasma etching of silicon carbide

Publications (2)

Publication Number Publication Date
CN1522465A CN1522465A (zh) 2004-08-18
CN1271688C true CN1271688C (zh) 2006-08-23

Family

ID=25231494

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028090535A Expired - Fee Related CN1271688C (zh) 2001-03-30 2002-03-21 碳化硅的等离子体刻蚀

Country Status (5)

Country Link
US (3) US20020177321A1 (zh)
KR (1) KR100896160B1 (zh)
CN (1) CN1271688C (zh)
AU (1) AU2002344313A1 (zh)
WO (1) WO2002097852A2 (zh)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7035773B2 (en) * 2002-03-06 2006-04-25 Fisher-Rosemount Systems, Inc. Appendable system and devices for data acquisition, analysis and control
JP4014456B2 (ja) * 2002-06-19 2007-11-28 株式会社日立ハイテクノロジーズ エッチング処理方法
US20050221574A1 (en) * 2004-03-31 2005-10-06 Hynix Semiconductor, Inc. Method for fabricating semiconductor device
TW200605220A (en) * 2004-06-21 2006-02-01 Hitachi Chemical Co Ltd Organic siloxane film, semiconductor device using same, flat panel display and raw material liquid
TWI319204B (en) 2004-10-12 2010-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using tungsten as sacrificial hard mask
JP4615290B2 (ja) * 2004-11-16 2011-01-19 東京エレクトロン株式会社 プラズマエッチング方法
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR100653722B1 (ko) * 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
EP1772901B1 (en) * 2005-10-07 2012-07-25 Rohm and Haas Electronic Materials, L.L.C. Wafer holding article and method for semiconductor processing
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
US7977245B2 (en) * 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7550361B2 (en) * 2007-01-02 2009-06-23 International Business Machines Corporation Trench structure and method for co-alignment of mixed optical and electron beam lithographic fabrication levels
KR200452336Y1 (ko) * 2008-08-19 2011-02-17 이선종 진공청소기용 월 앤드 파이프
KR101447434B1 (ko) * 2008-09-09 2014-10-13 주성엔지니어링(주) 태양전지와 그의 제조 방법 및 제조 장치
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2602823B1 (en) * 2010-08-03 2020-03-11 Sumitomo Electric Industries, Ltd. Process for producing a mosfet or an igbt
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5659873B2 (ja) * 2010-12-16 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
JP5699878B2 (ja) 2011-09-14 2015-04-15 住友電気工業株式会社 炭化珪素半導体装置およびその製造方法
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
JP2013069964A (ja) 2011-09-26 2013-04-18 Sumitomo Electric Ind Ltd 炭化珪素半導体装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5764046B2 (ja) 2011-11-21 2015-08-12 住友電気工業株式会社 炭化珪素半導体装置の製造方法
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN102915911B (zh) * 2012-09-24 2014-12-10 中国电子科技集团公司第五十五研究所 一种改善碳化硅台面底部的刻蚀方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015017899A1 (en) * 2013-08-05 2015-02-12 Griffith University A silicon carbide etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10032670B2 (en) 2016-06-14 2018-07-24 Infineon Technologies Ag Plasma dicing of silicon carbide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102016927B1 (ko) * 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN109103078A (zh) * 2018-10-03 2018-12-28 大连理工大学 一种提高SiC MOSFET器件高、低温稳定性的钝化方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109804463B (zh) * 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111627855B (zh) * 2019-06-27 2021-05-25 长江存储科技有限责任公司 互连结构及其形成方法
CN112921403A (zh) * 2021-02-09 2021-06-08 北京北方华创微电子装备有限公司 碳化硅晶片的刻蚀方法
CN114384051A (zh) * 2021-12-17 2022-04-22 山东大学 一种在晶片碳面辨别碳化硅晶片中缺陷的方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US63A (en) * 1836-10-20 Kravxiig
US3398033A (en) * 1965-02-26 1968-08-20 Dow Corning Method of etching silicon carbide
DE2615878C2 (de) * 1976-04-10 1985-09-19 Basf Ag, 6700 Ludwigshafen Polycyclische stickstoffhaltige Verbindungen
GB1548520A (en) * 1976-08-27 1979-07-18 Tokyo Shibaura Electric Co Method of manufacturing a semiconductor device
JPS6066823A (ja) * 1983-09-22 1985-04-17 Semiconductor Energy Lab Co Ltd 半導体エッチング方法
DE3603725C2 (de) 1986-02-06 1994-08-18 Siemens Ag Verfahren zur Strukturierung von Siliciumcarbid
JPS63152125A (ja) 1986-12-17 1988-06-24 Sanyo Electric Co Ltd SiC単結晶のエツチング方法
US4865685A (en) * 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) * 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US5254215A (en) 1989-08-11 1993-10-19 Sanyo Electric Co., Ltd. Dry etching method
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
JP3009975B2 (ja) * 1992-11-30 2000-02-14 シャープ株式会社 シリコン薄膜のドライエッチング方法
DE4310345C2 (de) * 1993-03-31 1995-07-27 Daimler Benz Ag Verfahren zum Trockenätzen von SiC
KR100363340B1 (ko) 1993-05-20 2003-02-19 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리방법
JPH08319586A (ja) 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
KR20000015881A (ko) * 1996-05-22 2000-03-15 스튜어트알.슈터 비-펩티드 g-csf 유사 물질
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5928967A (en) 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5770523A (en) 1996-09-09 1998-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removal of photoresist residue after dry metal etch
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6103590A (en) 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) * 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
JP2002510878A (ja) * 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6284149B1 (en) 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6211032B1 (en) 1998-11-06 2001-04-03 National Semiconductor Corporation Method for forming silicon carbide chrome thin-film resistor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
JP2001102447A (ja) * 1999-09-30 2001-04-13 Mitsubishi Electric Corp コンタクト構造の製造方法
US6261892B1 (en) * 1999-12-31 2001-07-17 Texas Instruments Incorporated Intra-chip AC isolation of RF passive components
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions

Also Published As

Publication number Publication date
US20030087531A1 (en) 2003-05-08
US20020177321A1 (en) 2002-11-28
KR100896160B1 (ko) 2009-05-11
US7166535B2 (en) 2007-01-23
AU2002344313A1 (en) 2002-12-09
KR20030087041A (ko) 2003-11-12
US6919278B2 (en) 2005-07-19
WO2002097852A2 (en) 2002-12-05
WO2002097852A3 (en) 2003-04-03
CN1522465A (zh) 2004-08-18
US20030199170A1 (en) 2003-10-23

Similar Documents

Publication Publication Date Title
CN1271688C (zh) 碳化硅的等离子体刻蚀
CN100358107C (zh) 等离子体刻蚀有机抗反射涂层的方法
US6670278B2 (en) Method of plasma etching of silicon carbide
US7273566B2 (en) Gas compositions
US5356515A (en) Dry etching method
US7256134B2 (en) Selective etching of carbon-doped low-k dielectrics
US7311852B2 (en) Method of plasma etching low-k dielectric materials
US8383519B2 (en) Etching method and recording medium
KR20010079765A (ko) 구리 이중 상감구조에 사용되는 인-시튜 집적 산화물 에칭방법
JPH07161702A (ja) 酸化物のプラズマエッチング方法
KR20010082216A (ko) 유전체 재료 플라즈마 에칭 방법
JP4852213B2 (ja) 高選択性のsacのエッチングの方法
EP0814501A2 (en) Method for etching metal silicide with high selectivity to polysilicon
US6521546B1 (en) Method of making a fluoro-organosilicate layer
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
US9384979B2 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
Li et al. Kang.“
KR19990047772A (ko) 폴리실리콘 식각방법 및 그 식각장치
KR20070020325A (ko) 유전체 재료 플라즈마 에칭 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060823

Termination date: 20190321