TWI591158B - 非選擇性氧化物蝕刻濕清潔組合物及使用方法 - Google Patents

非選擇性氧化物蝕刻濕清潔組合物及使用方法 Download PDF

Info

Publication number
TWI591158B
TWI591158B TW098107449A TW98107449A TWI591158B TW I591158 B TWI591158 B TW I591158B TW 098107449 A TW098107449 A TW 098107449A TW 98107449 A TW98107449 A TW 98107449A TW I591158 B TWI591158 B TW I591158B
Authority
TW
Taiwan
Prior art keywords
acid
ether
glycol
composition
fluoride
Prior art date
Application number
TW098107449A
Other languages
English (en)
Other versions
TW200951204A (en
Inventor
普瑞納 索恩塔莉亞
艾曼紐 庫柏
大衛W 民斯克
張鵬
梅麗莎A 佩卓斯加
布瑞特妮 瑟克
崔斯 昆丁 赫德
Original Assignee
恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW200951204A publication Critical patent/TW200951204A/zh
Application granted granted Critical
Publication of TWI591158B publication Critical patent/TWI591158B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2044Dihydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3719Polyamides or polyimides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Description

非選擇性氧化物蝕刻濕清潔組合物及使用方法
概言之,本發明係關於相對於經摻雜含矽材料來選擇性去除無摻雜含矽材料之組合物。
在半導體製造中使用各種含矽薄膜,例如,熱氧化物(ThOx)、CVD-TEOS、硼磷矽酸鹽玻璃(BPSG)、硼矽酸鹽玻璃(BSG)、旋塗介電質(SOD)及磷矽酸鹽玻璃(PSG)。兩種最常見類型為ThOx及BPSG。熱氧化物通常由純二氧化矽組成且係在需要絕緣層時使用。舉例而言,通常使用熱氧化矽之薄「閘極」層來使導電層相互分離。BPSG層包括摻雜有硼及磷之氧化矽。該等層用於「吸除」原本會移動進入底層並對層材料之電性質產生負面影響從而導致裝置可靠性降格之鹼金屬離子污染物。
該等含矽材料係形成於基板表面上之若干圖案化層中,且將其設計為具有漸增之高縱橫比率及小尺寸。在製造期間,須在不損壞圖案化材料之情況下將蝕刻後或灰化後之殘餘物自圖案化表面上去除。舉例而言,在去除接觸孔底部之殘餘物(主要為ThOx)時要求最小程度地蝕刻密度較低之經摻雜含矽氧化物。不利的是,先前技術中設計用於選擇性蝕刻及/或去除蝕刻後或灰化後殘餘物之去除組合物及方法傾向於去除經摻雜含矽材料(例如,BPSG)而非無摻雜含矽材料(例如,ThOx)。此產生關鍵尺寸已被不利改變之圖案。
本揭示內容著重於研發用於經摻雜及無摻雜氧化物之具有獨特蝕刻選擇性、低蝕刻速率及侵蝕性清潔能力之「液體接觸清潔劑」。
概言之,本發明係關於以大於或基本等於經摻雜含矽材料之去除速率之速率來去除無摻雜含矽材料之組合物。在較佳實施例中揭示自微電子裝置表面去除蝕刻後及/或灰化後殘餘物之組合物及方法,且相對於亦存在於該裝置上之無摻雜含矽材料該等組合物及方法並不過度蝕刻經摻雜含矽材料。
在一態樣中闡述濕清潔組合物,該組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,其中該組合物基本不含所添加之水。
在另一態樣中闡述濕清潔組合物,該組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑、至少一種聚合物質及至少一種長鏈烷基四級銨化合物,其中該組合物基本不含所添加之水。
在又一態樣中闡述濕清潔組合物,該組合物包括氟化銨、乙二醇、亞胺基二乙酸及聚乙烯亞胺聚合物。
在再一態樣中闡述濕清潔組合物,該組合物包括氟化銨、乙二醇、亞胺基二乙酸、聚乙烯亞胺聚合物及長鏈烷基四級銨化合物。
另一態樣係關於相對於經摻雜含矽材料選擇性去除無摻雜含矽材料之方法,該方法包括在接觸條件下使上面具有無摻雜及經摻雜含矽材料之微電子裝置與濕清潔組合物接觸,其中該濕清潔組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,其中該組合物基本不含水。組合物可進一步包括至少一種長鏈烷基四級銨化合物。
又一態樣係關於自微電子裝置中去除蝕刻後及/或灰化後之殘餘物的方法,該方法包括在接觸條件下使上面具有蝕刻後及/或灰化後之殘餘物之微電子裝置與濕清潔組合物接觸,其中該濕清潔組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,且其中存在於該裝置上之無摻雜含矽材料之蝕刻速率大於或基本等於存在於該裝置上之經摻雜含矽材料之蝕刻速率,且其中該組合物基本不含水。組合物可進一步包括至少一種長鏈烷基四級銨化合物。
在另一態樣中闡述套組,其中該套組在一或多個容器中包括一或多種形成組合物之下列試劑,其中該組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,其中該套組適於形成適用於去除蝕刻後殘餘物、灰化後殘餘物、經摻雜含矽材料、無摻雜含矽材料及其組合之組合物。
根據隨後之揭示內容及隨附申請專利範圍可更全面地瞭解其他態樣、特徵及優點。
概言之,本發明係關於自微電子裝置中去除蝕刻後及/或灰化後之殘餘物之濕清潔組合物及方法,其中存在於該裝置上之無摻雜含矽材料之蝕刻速率大於或基本等於亦存在於該裝置上之經摻雜含矽材料之蝕刻速率。較佳地,濕清潔組合物對接觸輪廓或圖案之關鍵尺寸影響最小並與矽及金屬兼容,且處理後之接觸表面係疏水或親水之穩定表面。
為便於提及,「微電子裝置」對應於經製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、太陽能電池(光電伏打電池)、平板顯示器及微機電系統(MEMS)。應理解,術語「微電子裝置」、「微電子基板」及「微電子裝置結構」並非意欲以任何方式予以限制且包含任何最終將成為微電子裝置或微電子總成之基板或結構。微電子裝置可為圖案化經覆蓋之對照及/或測試裝置。
本文所用之「約」意欲對應於所述值±5%。
本文所用之「無摻雜含矽材料」或「較高密度之介電材料」對應於基本不含諸如以下等「摻雜劑」之矽酸鹽材料:硼、二氟化硼、磷、砷、鎵、銻、碳、氮及銦。無摻雜含矽材料之實例包含(但不限於)熱氧化物、高密度電漿沈積氧化物及TEOS,不論沈積方式如何。「經摻雜含矽材料」或「較低密度介電材料」對應於包含「摻雜劑」之氧化矽材料,該等摻雜劑包含(但不限於)BSG、PSG、BPSG、FSG(氟矽酸鹽玻璃)、SiCOH、SiON、SiCON、摻雜碳之氧化物(CDO)及SOD。應瞭解,介電材料可進一步包含鍺。
本文所用之「SOD」及旋塗玻璃(SOG)係同義詞。
本文所定義之「基本不含」以該組合物總重量計對應於小於組合物之約2重量%、更佳小於1重量%、且最佳小於0.1重量%。
本文所定義之「所添加之水」對應於本發明組合物之使用者或製造者所添加之水。所添加之水並不對應於通常見於市售化學物質(混合到一起以形成本發明組合物)中之水、或吸濕水。
本文所定義之「基本等於」對應於經摻雜含矽材料之蝕刻速率(以min-1 計)與無摻雜含矽材料之蝕刻速率相同或為無摻雜含矽材料之蝕刻速率±40%。。
組合物可體現為眾多種具體調配物,如下文中更全面地闡述。
在所有該等組合物中,組合物之具體組份皆係參照包含零下限在內之重量百分比範圍來論述,因而應理解,在組合物之各具體實施例中可存在或不存在該等組份,且在存在該等組份之情況下,以使用該等組份之組合物之總重量計,該等組份可以低至0.001重量%之濃度存在。
在一態樣中闡述濕清潔組合物,其包括至少一種氟化物源、至少一種有機溶劑、至少一種螯合劑及至少一種聚合物質、由其組成或基本由其組成,其中該組合物基本不含添加水。在較佳實施例中闡述濕清潔組合物,其包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質、由其組成或基本由其組成,其中該組合物基本不含所添加之水。
至少一種氟化物源可包括選自由以下物質組成之群之物質:二氟化氙;五甲基二伸乙基三氟化三銨;氟化氫銨;三乙胺三氫氟酸鹽;烷基氟化氫銨(NRH3 F),其中每個R獨立地選自氫及C1 -C4 烷基(例如,甲基、乙基、丙基、丁基);二烷基氟化氫銨(NR2 H2 F),其中每個R獨立地選自氫及C1 -C4 烷基;三烷基氟化氫銨(NR3 HF),其中每個R獨立地選自氫及C1 -C4 烷基;三烷基銨三氟化氫(NR3 :3HF),其中每個R獨立地選自氫及C1 -C4 烷基;式R4 NF之氟化銨,其中每個R獨立地選自氫、C1 -C4 烷基及C1 -C4 烷醇(例如,甲醇、乙醇、丙醇、丁醇),例如,氟化銨、四甲基氟化銨、三乙醇氟化銨、四乙基氟化銨;及其組合。
至少一種二醇溶劑可包括選自由以下物質組成之群之二醇溶劑:乙二醇、丙二醇、二乙二醇、二丙二醇、丙三醇、甘油單酯、甘油二酯、二醇醚及其組合,其中二醇醚包括選自由以下物質組成之群之物質:二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚(亦即,丁基卡必醇)、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇戊醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇戊醚及其組合。
至少一種螯合劑可包括β二酮酸酯化合物,例如,乙醯丙酮、1,1,1-三氟-2,4-戊二酮及1,1,1,5,5,5-六氟-2,4-戊二酮;羧酸鹽,例如,甲酸鹽及乙酸鹽及其他長鏈羧酸鹽;胺及胺基酸,例如,甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺、天冬胺酸、麩胺醯胺、纈胺酸及離胺酸;選自由以下物質組成之群之多元酸:亞胺基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、蘋果酸、檸檬酸、乙酸、馬來酸、2,4-戊二酮、氯苄烷銨(benzalkonium chloride)、1-咪唑;及其組合。其他螯合劑包含膦酸、膦酸衍生物(例如,羥基亞乙基二膦酸(HEDP)、1-羥基乙烷-1,1-二膦酸、次氮基-叁(亞甲基膦酸)、依替膦酸(etidronic acid))、乙二胺、乙二胺四乙酸(EDTA)及(1,2-伸環己基二次氮基)四乙酸(CDTA)、尿酸、四乙醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-三硫醇三銨鹽溶液、二乙基二硫代胺基甲酸鈉、經一個烷基(R2 =己基、辛基、癸基或十二烷基)及一個低聚醚(R1 (CH2 CH2 O)2 ,其中R1 =乙基或丁基)二取代之二硫代胺基甲酸鹽(R1 (CH2 CH2 O)2 NR2 CS2 Na)、硫酸銨、單乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060s、二伸乙基三胺五乙酸、丙二胺四乙酸、2-羥基吡啶1-氧化物、乙二胺二琥珀酸、三磷酸五鈉及其彼此之組合或其與上文所定義之β-二酮酸酯化合物、羧酸酯、胺及胺基酸或多元酸之組合。
儘管不希望受限於理論,但人們認為可添加至少一種聚合物質以獲得較佳表面覆蓋,從而改善薄膜之表面保護且增強對薄膜蝕刻速率之控制。較佳地,聚合物質為陽離子型表面活性劑且可包括至少一種聚丙烯亞胺樹枝狀聚合物(例如,聚丙烯亞胺四胺樹枝狀聚合物、聚丙烯亞胺八胺樹枝狀聚合物、聚丙烯亞胺十六胺樹枝狀聚合物、聚丙烯亞胺三十二胺樹枝狀聚合物、聚丙烯亞胺六十四烷胺樹枝狀聚合物)、聚(乙烯胺)、聚胺、聚醯亞胺-胺(polyimidamine)、聚乙基亞胺、聚醯胺-胺(polyamidamine)、聚四級胺、聚乙烯醯胺、聚丙烯醯胺、直鏈或具支鏈之聚乙烯亞胺及可包括上述均聚物或由其組成之共聚物,其中該等共聚物可為陽離子型或非陽離子型。當聚合物質包括聚乙烯亞胺時,其可係選自由以下物質組成之群:聚乙烯亞胺、乙二胺-乙烯亞胺共聚物、羥基化聚乙烯亞胺、經修飾聚乙烯亞胺及其組合。聚合物質之實例包含Lupasol(BASF)及Epomin(Nippon Shokubai)。
較佳地,濕清潔組合物之pH介於約4至約9、較佳約5至約9之間。
在一實施例中,組合物可進一步包括至少一種胺、由其組成或基本由其組成,該至少一種胺包含(但不限於)二環己胺、五甲基二伸乙基三胺、二甘醇胺、吡啶、2-乙基吡啶、2-甲氧基吡啶及其衍生物(例如3-甲氧基吡啶)、2-甲基吡啶、吡啶衍生物、二甲基吡啶、哌啶、哌嗪、三乙胺、三乙醇胺、乙胺、甲胺、異丁胺、第三丁胺、三丁胺、二丙胺、二甲胺、單乙醇胺、吡咯、異噁唑、1,2,4-三唑、聯吡啶、嘧啶、吡嗪、噠嗪、喹啉、異喹啉、吲哚、咪唑、1-甲基咪唑、二異丙胺、二異丁胺、苯胺、苯胺衍生物或其組合。。
在一實施例中,濕清潔組合物以所提供重量百分比比率包含下列組份:
在另一實施例中,濕清潔組合物以所提供重量百分比比率包含下列組份:
在一實施例中,濕清潔組合物包括氟化銨、乙二醇、亞胺基二乙酸及聚乙烯亞胺聚合物、由其組成或基本由其組成。較佳地,聚乙烯亞胺聚合物包括LupasolG20。
在另一實施例中,調配物進一步包括長鏈烷基四級銨化合物,將該化合物添加至組合物中以獲得疏水表面且增加下一整合步驟之等候時間。較佳地,長鏈烷基四級銨化合物包括與氯化物陰離子組合之三辛醯基甲基銨陽離子[C25 H54 N+ ],但亦可涵蓋其他具有一或兩個長烷基鏈且含有三辛醯基及三辛基甲基銨陽離子[C25 H54 N+ ]之化合物及鹽,其包含鯨蠟基三甲基溴化銨(CTAB)、十二烷基三甲基氯化銨、十六烷基三甲基氯化銨、二辛基二甲基氯化銨及聚烯丙基二甲基氯化銨。長鏈烷基可係飽和的或不飽和的。在一實施例中,長鏈烷基四級銨化合物包括Aliquat 336(Cognis公司之商標)。因此,在具體較佳實施例中,組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑、少一種聚合物質及至少一種長鏈四級銨化合物、由其組成或基本由其組成。舉例而言,組合物可包括氟化銨、乙二醇、亞胺基二乙酸、聚乙烯亞胺聚合物及長鏈烷基四級銨化合物、由其組成或基本由其組成。較佳地,聚乙烯亞胺聚合物包括LupasolG20。在另一較佳實施例中,長鏈烷基四級銨化合物包括Aliquat 336。濕清潔組合物可以所提供重量百分比比率包含下列組份:
較佳地,本發明之濕清潔組合物不含或基本不含所添加之水及所添加之HF。另外,濕清潔組合物較佳不含氧化劑、磨損材料、強酸及強鹼。
藉由簡單添加各成份且將其混合至均相狀態來容易地調配濕清潔組合物。另外,可容易地將組合物調配為單組份調配物或在使用時混合之多組份調配物。多組份調配物之各部分可在工具中或在工具上游之儲存箱中混合。在組合物中各成份之濃度可以特定倍數大幅度變化,例如更稀或更濃,且應理解組合物可不同地或選擇性地包括符合本文揭示內容之各成份的任何組合、由其組成或基本由其組成。舉例而言,所製得之濃縮物可具有上述螯合劑與氟化物源之重量百分比比率及聚合物質與氟化物源之重量百分比比率,且使用者可使用二醇溶劑稀釋組合物直至達成二醇溶劑與氟化物源之重量百分比比率。
另一態樣係關於在一或多個容器中包含一或多種適於形成本文所述濕清潔組合物之組份的套組。套組可在一或多個容器中包含至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑、至少一種聚合物質、視需要至少一種胺及視需要至少一種長鏈烷基四級銨化合物,以用於在製造時原樣組合或與稀釋劑(例如,其他二醇溶劑)組合。
套組之容器應在化學上適於儲存及分配其中所含之組份。舉例而言,套組之容器可為NOWPak容器(Advanced Technology Materials公司,Danbury,Conn,USA)。一或多個含有去除組合物組份之容器較佳包含使該一或多個容器中之組份處於流體連通狀態以進行摻和及分配之構件。舉例而言,參照NOWPak容器,可將氣壓施加至該一或多個容器之襯裏外側以排出至少一部分襯裏內容物且因此使得流體連通以進行摻和及分配。或者,可將氣壓施加至習用可加壓之容器之頂部空間中或可使用幫浦來達成流體連通。此外,系統較佳包含將經摻和之濕清潔組合物分配至處理工具中之分配埠。
較佳使用在化學上基本為惰性、無雜質、具有撓性及彈性之聚合薄膜材料(例如,高密度之聚乙烯)來製造該一或多個容器之襯裏。期望襯裏材料之處理無需共擠出或屏障層,且不使用可對欲佈置於該襯裏中之組份的純度要求產生負面影響的任何顏料、UV抑制劑、或處理劑。可列舉的期望襯裏材料包含包括以下物質之薄膜:純淨(不含添加劑)聚乙烯、純淨聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚偏二氯乙烯、聚氯乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯,等等。該等襯裏材料之較佳厚度在約5密耳(0.005英吋)至約30密耳(0.030英吋),例如,厚度為20密耳(0.020英吋)。
關於套組之容器,下列專利及專利申請案之揭示內容之各自的全部內容係由此以引用方式併入本文中:標題為「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」之美國專利第7,188,644號;標題為「RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」之美國專利第6,698,619號;及2007年5月9日以John E.Q. Hughes之名義提出申請且標題為「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」之美國專利申請案第60/916,966號,及2008年5月9日以Advanced Technology Materials公司之名義提出申請且標題為「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」之PCT/US08/63276。
當應用於微電子裝置製造作業中時,通常使用本發明之濕清潔組合物來(i)自微電子裝置表面相對於較低密度之介電材料(例如,經摻雜含矽材料)選擇性去除較高密度之介電材料(例如,無摻雜含矽材料)及/或(ii)自微電子裝置表面去除蝕刻後及/或灰化後之殘餘物,其中存在於該裝置上之無摻雜含矽材料之蝕刻速率大於或基本等於亦存在之經摻雜含矽材料之蝕刻速率。重要地是,本發明之濕清潔組合物與亦存在於微電子裝置中之底層(例如,金屬及矽)兼容。
熟習此項技術者應瞭解,本文所述之組合物可用於一步或多步去除方法中。較佳地,在單步方法中去除欲去除之材料。
在清潔應用中,以任一適宜方式將濕清潔組合物施加至上面具有欲去除材料之微電子裝置中,該適宜方式例如將組合物噴霧至裝置表面上,浸塗(於一定體積之組合物中)包含欲去除材料之裝置,使裝置與上面吸附有組合物之另一材料(例如,墊片、或纖維性吸著劑塗施器元件)接觸,使包含欲去除材料之裝置與循環組合物接觸,或任何可使濕清潔組合物與微電子裝置上欲去除材料接觸之其他適宜方法、方式或技術。如熟習此項技術者所容易地確定,清潔應用可為靜態及/或動態的。另外,該方法可用於分批或單一晶圓系統中。使濕清潔組合物與欲去除之材料接觸後,濕清潔組合物可進一步包含選自由以下物質組成之群之殘餘物材料:經摻雜含矽材料、無摻雜含矽材料、蝕刻後殘餘物、灰化後殘餘物及其組合,該等殘餘物材料可懸浮及/或溶解於該濕清潔組合物中。
在使用組合物自上面具有欲去除材料之微電子裝置中去除該等相同材料時,通常在適合條件(例如,在約20℃至約60℃範圍內、較佳約30-50℃之溫度)下使濕清潔組合物與表面接觸約30sec至約10分鐘、較佳約90sec至7min之足夠時間。該等接觸時間及溫度係例示性,且可使用任何其他適宜時間及溫度條件。
蝕刻目標包含(但不限於)ThOx、BPSG、PSG、BSG及SOD。較佳地,當前工作之蝕刻目標為ThOx:約1min-1 至約20min-1 、較佳約2min-1 至約10min-1 ,其中,在約35℃至約50℃之溫度範圍下,BPSG與ThOx之選擇性比率介於約0.4:1至約1:1、較佳約0.4:1至約0.6:1之間,PSG與ThOx之選擇性比率介於約0.5:1至約2.5:1、較佳約1:1至約1.4:1之間,且SOD與ThOx之選擇性比率介於約0.5:1至約1.4:1、較佳約1:1至約1.4:1之間,其中BPSG包括3.6-4.0%之B及3.3-3.7%之P,SOG為有機SOG,且PSG包括3.3-3.7%之P。熟習此項技術者應瞭解,選擇性比率可端視經摻雜含矽材料中之摻雜劑含量而變化,然而,經摻雜及無摻雜氧化物之較佳選擇性比率為約1:1或更低。
較佳地,本文所述之組合物以可控制方式去除經摻雜及無摻雜含矽材料。此外,除有機溶劑外組合物具有極低量的其他組份,此使得更易於處理組合物。舉例而言,組合物較佳包含大於99重量%之有機溶劑。
藉由下列非限制性實例來更全面地闡釋本發明之特徵及優點,其中除非另有明確說明,否則所有份數及百分比皆以重量計。
實例1
組合物A:0.15重量%之IDA,0.04重量%之LupasolG20,0.25重量%之氟化銨,99.56重量%之乙二醇
在30℃下將經覆蓋BPSG(3000退火,3.6-4.0%之B,3.3-3.7%之P)、HDP(6000)、TEOS(2000)、多晶矽、ThOx(4000)、SOG(有機SOG,4500)、SiN(LPCVD(2000)及PECVD(4000))、W(3000)、TiN(1000)及PSG(4500退火,3.3-3.7%之P)浸漬於組合物A中並保持所示時間,不實施攪拌,且獲得表1中之結果。根據觀察,大部分材料之選擇性與ThOx相似,且金屬及金屬合金之電阻不受組合物影響。
實例2
組合物B:0.15重量%之IDA,0.04重量%之LupasolG20,0.25重量%之氟化銨,0.06重量%之Aliquat 336及99.50重量%之乙二醇
在30℃下將經覆蓋BPSG(3000退火,3.6-4.0%之B,3.3-3.7%之P)、HDP(6000)、TEOS(2000)、多晶矽、ThOx(4000)、SOG(有機SOG,4500)、SiN(LPCVD(2000)及PECVD(4000))、W(3000)、TiN(1000)及PSG(4500退火,3.3-3.7%之P)浸漬於組合物B中並保持所示時間,不實施攪拌,且獲得表2中之結果。根據觀察,大部分材料之選擇性與ThOx相似,且金屬及金屬合金之電阻不受組合物影響。
為進行比較,在30℃下將經覆蓋BPSG(3000退火,3.6-4.0%之B,3.3-3.7%之P)、HDP(6000)、TEOS(2000)、多晶矽、ThOx(4000)、SOG(有機SOG,4500)、SiN(LPCVD(2000)及PECVD(4000))、W(3000)、TiN(1000)及PSG(4500退火,3.3-3.7%之P)浸漬於稀氫氟酸(DHF)中並保持30min,不實施攪拌,且獲得表3中之結果。
應理解,雖然無摻雜含矽材料之蝕刻速率較佳大於或基本等於經摻雜含矽材料之蝕刻速率,但仍存在經摻雜:無摻雜蝕刻速率比率大於1之情況。舉例而言,使用DHF時PSG之蝕刻速率:ThOx之蝕刻速率為5.89:1,但使用調配物B時該比率可大幅度降低至2.16:1。即使經摻雜:無摻雜之蝕刻速率比率仍大於1:1,但該降低同樣有利。
實例3
使用調配物B測定經摻雜多晶矽相對於熱氧化物之蝕刻速率,其隨低或高能量摻雜而變化。在30℃下將經As 75(低)-、As 75(高)-、P 31(低)-、P 31(高)-、BF2 (低)-及BF2 (高)-摻雜之多晶矽試樣浸漬於調配物B中並保持30分鐘,且測定每一試樣之蝕刻速率。每一經摻雜材料相對於熱氧化物之選擇性提供於表4中,其中可以發現,每一薄膜皆以等於或低於熱氧化物之速率進行蝕刻。
實例4
此外,亦研究調配物對灰化後及接觸孔殘餘物之去除能力。圖1展示在45℃下經調配物B處理4min而去除約20ThOx之樣品的C/S SEM圖像。圖1中亦展示圖案之堆疊結構,其係由約500之PE-CVD SiN基層及自4TEOS及4.5PSG製得之「壁」組成。
圖2及3展示已分別使用調配物B(45℃/4min)及稀氫氟酸(DHF)(25℃/4min)清潔之具有相似結構之樣品的C/S SEM圖像。可以發現,使用DHF清潔樣品去除了約13.6ThOx,並未完全去除灰化後之殘餘物,且使CD劣化至一定程度。
實例5
如下所述調配其他組合物:
組合物C: 0.18重量%之琥珀酸,0.05重量%之LupasolG20,0.30重量%之氟化銨,及99.47重量%之丙二醇
組合物D: 0.18重量%之琥珀酸,0.05重量%之LupasolG20,0.15重量%之氟化銨,0.15重量%之氟化氫銨,及99.47重量%之丙二醇
組合物E: 0.4重量%之IDA,0.14重量%之聚烯丙胺,0.70重量%之氟化銨,及98.76重量%之乙二醇
組合物F: 0.4重量%之IDA,0.14重量%之聚烯丙胺,0.70重量%之氟化銨,0.2重量%之CTAB,及98.56重量%之乙二醇
組合物G: 0.4重量%之IDA,0.14重量%之聚烯丙胺,0.70重量%之氟化銨,0.15重量%之Aliquat 336,及98.61重量%之丙二醇
組合物H: 0.2重量%之琥珀酸,0.07重量%之LupasolG20,0.35重量%之氟化銨,0.10重量%之CTAB,及99.28重量%之丙二醇
* * *
因此,儘管本文已參照本發明之具體態樣、特徵及例示性實施例闡述了本發明,但應理解,本發明之用途並非由此受限,而是可擴展至並涵蓋諸多其他態樣、特徵及實施例。因此,意欲相應地將下文所述之申請專利範圍理解為廣泛包含屬於其精神及範圍內之所有該等態樣、特徵及實施例。
1‧‧‧側壁殘餘物
2‧‧‧灰化後殘餘物
3‧‧‧最小接觸孔180um
4‧‧‧灰化後殘餘物
5‧‧‧似乎保留了部分灰化後殘餘物
圖1展示在45℃下使用調配物B處理4min之堆疊的C/S SEM圖像,該堆疊由約500Å之PE-CVD SiN基層及自4KÅ TEOS及4.5KÅ PSG製得之「壁」組成。
圖1A展示清潔前之圖像。
圖1B展示清潔後之圖像,其明顯去除殘餘物且維持CD偏差。
圖1C展示200mm基礎Si基板+PE-CVD SiN 500Å+PE-TEOS 4KÅ+PSG 4.5KÅ+K198(248nm微影蝕刻)。
圖2展示與圖1相似且使用調配物B實施清潔(45℃/4min)之堆疊的C/S SEM圖像。
圖2A展示清潔前之圖像。
圖2B展示清潔後之圖像。
圖3展示與圖1相似且使用稀HF實施清潔(45℃/4min)之堆疊的C/S SEM圖像。
圖3A展示似乎保留了部分灰化後殘餘物之圖像。
圖3B展示在頂部CD所觀察之擴大的圖像。
1‧‧‧側壁殘餘物
2‧‧‧灰化後殘餘物
3‧‧‧最小接觸孔180um

Claims (28)

  1. 一種濕清潔組合物,其包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,其中該組合物基本上不含添加之水,其中該至少一種聚合物質包括至少一種選自由以下物質組成之群之物質:聚丙烯亞胺樹枝狀聚合物、聚(乙烯胺)、聚胺、聚醯亞胺-胺(polyimidamine)、聚乙基亞胺、聚丁二烯、聚醯胺-胺(polyamidamine)、聚乙烯醯胺、聚丙烯醯胺、直鏈聚乙烯亞胺、具支鏈聚乙烯亞胺及包括上述該等均聚物之共聚物,其中該組合物包括至少一種長鏈烷基四級銨化合物。
  2. 如請求項1之組合物,其中該至少一種氟化物源包括選自由以下物質組成之群之物質:二氟化氙;五甲基二伸乙基三氟化三銨;氟化氫銨;三乙胺三氫氟酸鹽;烷基氟化氫銨(NRH3F),其中每個R獨立地選自氫及C1-C4烷基;二烷基氟化氫銨(NR2H2F),其中每個R獨立地選自氫及C1-C4烷基;三烷基氟化氫銨(NR3HF),其中每個R獨立地選自氫及C1-C4烷基;三烷基銨三氟化氫(NR3:3HF),其中每個R獨立地選自氫及C1-C4烷基;式R4NF之氟化銨,其中每個R獨立地選自氫、C1-C4烷基及C1-C4烷醇;及其組合。
  3. 如請求項1之組合物,其中該至少一種氟化物源包括氟化銨。
  4. 如請求項1之組合物,其中該至少一種二醇溶劑包括選 自由以下物質組成之群之二醇溶劑:乙二醇、丙二醇、二乙二醇、二丙二醇、丙三醇、甘油單酯、甘油二酯、二醇醚及其組合。
  5. 如請求項4之組合物,其中該二醇醚包括選自由以下物質組成之群之物質:二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚(亦即,丁基卡必醇)、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇戊醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇戊醚及其組合。
  6. 如請求項1至4中任一項之組合物,其中該至少一種二醇溶劑包括乙二醇。
  7. 如請求項1至4中任一項之組合物,其中該至少一種螯合劑包括多元酸。
  8. 如請求項1至4中任一項之組合物,其中該至少一種螯合劑包括選自由以下物質組成之群之物質:乙醯丙酮、1,1,1-三氟-2,4-戊二酮及1,1,1,5,5,5-六氟-2,4-戊二酮、甲酸鹽、乙酸鹽、甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺、天冬胺酸、麩胺醯胺、纈胺酸、離胺酸、亞胺基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮基三乙酸、蘋果酸、檸檬酸、乙酸、馬來酸、 2,4-戊二酮、氯苄烷銨(benzalkonium chloride)、1-咪唑、膦酸、羥基亞乙基二膦酸(HEDP)、1-羥基乙烷-1,1-二膦酸、次氮基-叁(亞甲基膦酸)、依替膦酸(etidronic acid)、乙二胺、乙二胺四乙酸(EDTA)、(1,2-伸環己基二次氮基)四乙酸(CDTA)、尿酸、四乙醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-三硫醇三銨鹽溶液、二乙基二硫代胺基甲酸鈉、二取代之二硫代胺基甲酸鹽、硫酸銨、單乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060s、二伸乙基三胺五乙酸、丙二胺四乙酸、2-羥基吡啶1-氧化物、乙二胺二琥珀酸、三磷酸五鈉及其組合。
  9. 如請求項1至4中任一項之組合物,其中該至少一種螯合劑包括亞胺基二乙酸。
  10. 如請求項1之組合物,其中該聚乙烯亞胺包括選自由以下物質組成之群之物質:聚乙烯亞胺、乙二胺-乙烯亞胺共聚物、羥基化聚乙烯亞胺、經修飾聚乙烯亞胺及其組合。
  11. 如請求項1至4中任一項之組合物,其中該聚合物質包括聚乙烯亞胺。
  12. 如請求項1之組合物,其中該長鏈烷基四級銨化合物包括選自由以下物質組成之群之物質:三辛醯基甲基銨陽離子、三辛基甲基銨陽離子、鯨蠟基三甲基銨陽離子、十二烷基三甲基銨陽離子、十六烷基三甲基銨陽離子、 二辛基二甲基銨陽離子、聚(烯丙基二甲基銨)陽離子及其混合物。
  13. 如請求項1之組合物,其包括氟化銨、乙二醇、亞胺基二乙酸及聚乙烯亞胺聚合物。
  14. 如請求項1之組合物,其包括氟化銨、乙二醇、亞胺基二乙酸、聚乙烯亞胺聚合物及長鏈烷基四級銨化合物。
  15. 如請求項1至4中任一項之組合物,其中該組合物基本不含所添加之HF。
  16. 如請求項1至4中任一項之組合物,其中該組合物係不含氧化劑、磨損材料、強酸及強鹼。
  17. 如請求項1至4中任一項之組合物,其中該組合物進一步包括選自由以下物質組成之群之殘餘物材料:熱氧化物(ThOx)、TEOS、硼磷矽酸鹽玻璃(BPSG)、磷矽酸鹽玻璃(PSG)、氟矽酸鹽玻璃(FSG)、旋塗介電質(SOD)及其組合。
  18. 如請求項1至4中任一項之組合物,其中pH介於4至9之間。
  19. 一種相對於經摻雜含矽材料選擇性去除無摻雜含矽材料之方法,該方法包括在接觸條件下使上面具有無摻雜及經摻雜含矽材料之微電子裝置與濕清潔組合物接觸,其中該濕清潔組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,其中該組合物基本上不含水,其中該至少一種聚合物質包括至少一種選自由以下物質組成之群之物質:聚丙烯亞胺樹 枝狀聚合物、聚(乙烯胺)、聚胺、聚醯亞胺-胺(polyimidamine)、聚乙基亞胺、聚丁二烯、聚醯胺-胺(polyamidamine)、聚乙烯醯胺、聚丙烯醯胺、直鏈聚乙烯亞胺、具支鏈聚乙烯亞胺及包括上述該等均聚物之共聚物,其中該組合物包括至少一種長鏈烷基四級銨化合物。
  20. 一種自微電子裝置中去除蝕刻後及/或灰化後殘餘物的方法,該方法包括在接觸條件下使上面具有蝕刻後及/或灰化後殘餘物之微電子裝置與濕清潔組合物接觸,其中該濕清潔組合物包括至少一種氟化物源、至少一種二醇溶劑、至少一種螯合劑及至少一種聚合物質,且其中存在於該裝置上之該無摻雜含矽材料之蝕刻速率大於或基本等於存在於該裝置上之該經摻雜含矽材料之蝕刻速率,且其中該組合物基本上不含水,其中該至少一種聚合物質包括至少一種選自由以下物質組成之群之物質:聚丙烯亞胺樹枝狀聚合物、聚(乙烯胺)、聚胺、聚醯亞胺-胺(polyimidamine)、聚乙基亞胺、聚丁二烯、聚醯胺-胺(polyamidamine)、聚乙烯醯胺、聚丙烯醯胺、直鏈聚乙烯亞胺、具支鏈聚乙烯亞胺及包括上述該等均聚物之共聚物,其中該組合物包括至少一種長鏈烷基四級銨化合物。
  21. 如請求項19或20之方法,其中該至少一種氟化物源包括選自由以下物質組成之群之物質:二氟化氙;五甲基二伸乙基三氟化三銨;氟化氫銨;三乙胺三氫氟酸鹽;烷 基氟化氫銨(NRH3F),其中每個R獨立地選自氫及C1-C4烷基;二烷基氟化氫銨(NR2H2F),其中每個R獨立地選自氫及C1-C4烷基;三烷基氟化氫銨(NR3HF),其中每個R獨立地選自氫及C1-C4烷基;三烷基銨三氟化氫(NR3:3HF),其中每個R獨立地選自氫及C1-C4烷基;式R4NF之氟化銨,其中每個R獨立地選自氫、C1-C4烷基及C1-C4烷醇;及其組合。
  22. 如請求項19或20之方法,其中該至少一種二醇溶劑包括選自由以下物質組成之群之二醇溶劑:乙二醇、丙二醇、二乙二醇、二丙二醇、二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚(亦即,丁基卡必醇)、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇戊醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇戊醚及其組合。
  23. 如請求項19或20之方法,其中該至少一種螯合劑包括選自由以下物質組成之群之物質:乙醯丙酮、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甲酸鹽、乙酸鹽、甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺、天冬胺酸、麩胺醯胺、纈胺酸、離胺酸、亞胺基二乙酸(IDA)、丙二酸、草酸、琥珀酸、硼酸、次氮 基三乙酸、蘋果酸、檸檬酸、乙酸、馬來酸、2,4-戊二酮、氯苄烷銨、1-咪唑、膦酸、羥基亞乙基二膦酸(HEDP)、1-羥基乙烷-1,1-二膦酸、次氮基-叁(亞甲基膦酸)、依替膦酸、乙二胺、乙二胺四乙酸(EDTA)、(1,2-伸環己基二次氮基)四乙酸(CDTA)、尿酸、四乙醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-三硫醇三銨鹽溶液、二乙基二硫代胺基甲酸鈉、二取代之二硫代胺基甲酸鹽、硫酸銨、單乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060s、二伸乙基三胺五乙酸、丙二胺四乙酸、2-羥基吡啶1-氧化物、乙二胺二琥珀酸、三磷酸五鈉及其組合。
  24. 如請求項20之方法,其中該等接觸條件包括:介於30sec至10min之間之時間;介於20℃至60℃之間之溫度;及其組合。
  25. 如請求項20之方法,其中該等無摻雜含矽材料包括熱氧化物,且該熱氧化物之蝕刻速率介於1Åmin-1至20Åmin-1之間。
  26. 如請求項1之組合物,其中該螯合劑與該氟化物源之重量%之比例為0.45至0.7,該二醇溶劑與該氟化物源之重量%之比例為300至500,及該聚合物質與該氟化物源之重量%之比例為0.1至0.3。
  27. 如請求項20之方法,其中該螯合劑與該氟化物源之重量%之比例為0.45至0.7,該二醇溶劑與該氟化物源之重量 %之比例為300至500,及該聚合物質與該氟化物源之重量%之比例為0.1至0.3。
  28. 如請求項20之方法,其中該清潔組合物係不含氧化劑、磨損材料、強酸及強鹼。
TW098107449A 2008-03-07 2009-03-06 非選擇性氧化物蝕刻濕清潔組合物及使用方法 TWI591158B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3489108P 2008-03-07 2008-03-07
US7715508P 2008-06-30 2008-06-30

Publications (2)

Publication Number Publication Date
TW200951204A TW200951204A (en) 2009-12-16
TWI591158B true TWI591158B (zh) 2017-07-11

Family

ID=41056670

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098107449A TWI591158B (zh) 2008-03-07 2009-03-06 非選擇性氧化物蝕刻濕清潔組合物及使用方法

Country Status (8)

Country Link
US (1) US20110117751A1 (zh)
EP (1) EP2268765A4 (zh)
JP (1) JP2011517328A (zh)
KR (2) KR20100123757A (zh)
CN (1) CN102007196B (zh)
SG (1) SG188848A1 (zh)
TW (1) TWI591158B (zh)
WO (1) WO2009111719A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US8980812B2 (en) * 2010-09-08 2015-03-17 Mitsubishi Gas Chemical Company, Inc. Treatment liquid for inhibiting pattern collapse in microstructures, and microstructure manufacturing method using said treatment liquid
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
WO2012082565A1 (en) * 2010-12-16 2012-06-21 Kyzen Corporation Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103255417B (zh) * 2011-12-16 2016-01-20 江阴润玛电子材料股份有限公司 一种酸性钼铝钼蚀刻液及其制备工艺
SG10201605172RA (en) 2011-12-28 2016-08-30 Entegris Inc Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
SG11201405638UA (en) * 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
WO2014197808A1 (en) 2013-06-06 2014-12-11 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6200289B2 (ja) 2013-11-18 2017-09-20 富士フイルム株式会社 半導体基板の処理液、処理方法、これらを用いた半導体基板製品の製造方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10619097B2 (en) * 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
CN106283092B (zh) * 2016-08-05 2018-06-19 宁波金特信钢铁科技有限公司 一种无氨氟化物盐电子基板清洗组合物的制备方法
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
CN110317588A (zh) * 2018-03-29 2019-10-11 中国石油化工股份有限公司 一种阳离子表面活性剂及其制备方法和起泡剂及其应用
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US11560533B2 (en) * 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
KR20200077912A (ko) 2018-12-21 2020-07-01 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
CN112601836A (zh) 2019-04-30 2021-04-02 玛特森技术公司 使用甲基化处理选择性沉积
CN111441056B (zh) * 2020-04-20 2022-05-20 中国石油天然气集团公司 双十二烷基-二甲酰胺联吡啶季铵盐水溶缓蚀剂及其制备方法和应用
CN112143590A (zh) * 2020-09-29 2020-12-29 常州时创能源股份有限公司 硅片清洗添加剂、硅片清洗液及其应用
TW202328423A (zh) * 2021-11-11 2023-07-16 美商陶氏全球科技責任有限公司 包含螯合劑的二醇組成物
CN114196406B (zh) * 2021-11-18 2023-03-14 广东粤港澳大湾区黄埔材料研究院 刻蚀液及其制备方法、应用

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH085140B2 (ja) * 1989-09-26 1996-01-24 ダイキン工業株式会社 フッ素樹脂製品の処理方法
JP3618764B2 (ja) * 1992-03-13 2005-02-09 ダイキン工業株式会社 揮発性酸類の回収方法
US5755989A (en) * 1993-02-04 1998-05-26 Daikin Industries, Ltd. Wet etching composition having excellent wetting property for semiconductors
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JPH07216392A (ja) * 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
CN1096703C (zh) * 1995-11-15 2002-12-18 大金工业株式会社 晶片处理液及其制造方法
JPH1027781A (ja) * 1996-07-10 1998-01-27 Daikin Ind Ltd エッチングガスおよびクリーニングガス
US6074935A (en) * 1997-06-25 2000-06-13 Siemens Aktiengesellschaft Method of reducing the formation of watermarks on semiconductor wafers
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
DE69833692T2 (de) * 1997-12-19 2006-11-23 Advanced Technology Materials, Inc., Danbury Ätzlösung für das selektive ätzen von siliziumoxid mit fluorid-salz, komplexmittel und glykol-lösungsmittel
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6162370A (en) * 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
WO2001012740A1 (en) * 1999-08-13 2001-02-22 Cabot Microelectronics Corporation Polishing system and method of its use
JP3891768B2 (ja) * 1999-12-28 2007-03-14 株式会社トクヤマ 残さ洗浄液
TWI288777B (en) * 2000-04-26 2007-10-21 Daikin Ind Ltd Detergent composition
KR100522845B1 (ko) * 2000-09-01 2005-10-20 가부시끼가이샤 도꾸야마 잔류물 제거용 세정액
JP5407101B2 (ja) * 2000-09-07 2014-02-05 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US6498110B2 (en) * 2001-03-05 2002-12-24 Micron Technology, Inc. Ruthenium silicide wet etch
JP2003129089A (ja) * 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
TWI282814B (en) * 2002-09-13 2007-06-21 Daikin Ind Ltd Etchant and etching method
JP4374989B2 (ja) * 2003-11-12 2009-12-02 三菱瓦斯化学株式会社 洗浄液およびそれを用いた洗浄方法
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
WO2005057281A2 (en) * 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP2006154722A (ja) * 2004-10-28 2006-06-15 Daikin Ind Ltd Cu/low−k多層配線構造のアッシング残渣の剥離液及び剥離方法
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
CN101163776A (zh) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 用于半导体应用的选择性去除化学物质,其制备方法和用途
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
PL1828848T3 (pl) * 2004-12-10 2010-09-30 Avantor Performance Mat Inc Niewodne niekorozyjne kompozycje czyszczące dla mikroelektroniki zawierające polimerowe inhibitory korozji
KR20070120609A (ko) * 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US20090032766A1 (en) * 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR20080059442A (ko) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
US7960328B2 (en) * 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US8778210B2 (en) * 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20070090199A (ko) * 2007-06-19 2007-09-05 허니웰 인터내셔날 인코포레이티드 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Also Published As

Publication number Publication date
US20110117751A1 (en) 2011-05-19
KR20100123757A (ko) 2010-11-24
EP2268765A2 (en) 2011-01-05
EP2268765A4 (en) 2011-10-26
WO2009111719A9 (en) 2009-12-23
JP2011517328A (ja) 2011-06-02
KR20150126729A (ko) 2015-11-12
CN102007196B (zh) 2014-10-29
WO2009111719A3 (en) 2009-11-12
WO2009111719A2 (en) 2009-09-11
SG188848A1 (en) 2013-04-30
CN102007196A (zh) 2011-04-06
TW200951204A (en) 2009-12-16

Similar Documents

Publication Publication Date Title
TWI591158B (zh) 非選擇性氧化物蝕刻濕清潔組合物及使用方法
KR101912400B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
TWI703210B (zh) 化學機械研磨後調配物及使用方法
KR102545630B1 (ko) 화학 기계적 연마 후 세정 조성물
TWI710629B (zh) 用於自表面移除氧化鈰粒子之組成物及方法
KR102522365B1 (ko) 세리아 입자에 대한 cmp 후 세정 조성물
US20150075570A1 (en) Methods for the selective removal of ashed spin-on glass
WO2022076252A1 (en) Microelectronic device cleaning composition
KR20080111268A (ko) 세정액 조성물 및 이를 이용한 세정방법
US20230323248A1 (en) Post cmp cleaning composition
KR20240103045A (ko) 마이크로전자 장치 세정 조성물
US20230159866A1 (en) Microelectronic device cleaning composition