KR102290209B1 - 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물 - Google Patents

규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물 Download PDF

Info

Publication number
KR102290209B1
KR102290209B1 KR1020167020710A KR20167020710A KR102290209B1 KR 102290209 B1 KR102290209 B1 KR 102290209B1 KR 1020167020710 A KR1020167020710 A KR 1020167020710A KR 20167020710 A KR20167020710 A KR 20167020710A KR 102290209 B1 KR102290209 B1 KR 102290209B1
Authority
KR
South Korea
Prior art keywords
silicon
containing material
ammonium
germanium
composition
Prior art date
Application number
KR1020167020710A
Other languages
English (en)
Other versions
KR20160104045A (ko
Inventor
스티븐 빌로도
엠마누엘 아이 쿠퍼
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20160104045A publication Critical patent/KR20160104045A/ko
Application granted granted Critical
Publication of KR102290209B1 publication Critical patent/KR102290209B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Abstract

상부에 게르마늄-함유 물질 및 규소-함유 물질을 갖는 마이크로전자 장치로부터 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 제거하거나 이와 반대되게 작용하는데 유용한 조성물이 개시되어 있다. 상기 제거 조성물은 하나 이상의 다이올을 포함하며, 필요한 Si:Ge 제거 선택성 및 에칭 속도를 달성하도록 조정가능하다.

Description

규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물{FORMULATIONS TO SELECTIVELY ETCH SILICON AND GERMANIUM}
본 발명은, 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 에칭하거나 이와 반대되게(vice versa) 에칭하기 위한 조성물 및 방법에 관한 것이다.
과거 수 십년 동안 집적 회로의 특징부의 스케일링(scaling)은 반도체 칩 상의 기능성 단위의 증가된 밀도를 가능하게 해왔다. 예를 들면, 트랜지스터 크기를 줄이는 것은 칩상의 증가된 개수의 메모리 소자의 혼입을 허용하여, 증대된 성능을 가진 제품을 제작할 수 있게 한다.
집적 회로 소자를 위한 자계 효과 트랜지스터(FET)의 제조시 규소와 다른 반도체 결정성 물질이 유리할 수 있다. 그러한 물질의 한 예는 Ge이며, 이는 규소에 비해 여러 가지의 잠정적으로 유리한 특징, 예를 들면 비제한적으로, 높은 전하 캐리어(정공) 이동도, 밴드 갭 옵셋(offset), 상이한 격자 상수, 및 규소와 합금을 이루어 SiGe의 반도체 이원 합금을 형성하는 능력을 제공한다.
현대 트랜지스터 설계에서 Ge를 사용하는 것과 관련된 하나의 문제점은, 수년에 걸쳐 공격적으로 스케일링된 규소 FET에서 이제 달성되는 극히 미세한 특징부(예를 들면 22 nm 및 그 이하)가 Ge에서는 달성하기가 어려워져, 흔히, 덜-공격적으로 스케일링된 형태로 시행될 때 물질-기반 성능 이득이 가능하게 된다. 스케일링시의 어려움은 Ge의 물질 특성과 관련되며, 더욱 특히, Ge 활성 층(예를 들면 트랜지스터 채널 층)과 하부 규소 기재 물질 간의 중간 층으로서 흔히 사용되는 SiGe를 에칭하는데 있어서의 어려움과 관련된다.
본 발명의 목적은, 마이크로전자 장치 상에 존재하는 다른 물질의 제거 또는 부식을 최소화하면서, 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 제거하거나 이와 반대되게 선택적으로 제거하기 위한 조성물을 제공하는 것이다. 또한, 본 발명의 목적은, 규소-함유 물질 및 게르마늄-함유 물질을, 이들 물질이 실질적으로 동일한 속도로 에칭되도록 비-선택적으로 에칭하기 위한 조성물을 제공하는 것이다.
본 발명은, 게르마늄-함유 물질에 대해 규소-함유 물질을, 이들을 포함하는 마이크로전자 장치의 표면으로부터 선택적으로 제거하거나, 또는 이와 반대되게 선택적으로 제거하기 위한 조성물 및 방법에 관한 것이다.
하나의 양태에서, 게르마늄-함유 물질에 대해 규소-함유 물질을, 마이크로전자 장치의 표면으로부터 선택적으로 제거하는 방법이 기술되며, 상기 방법은, 마이크로전자 장치의 표면과 규소 선택적 조성물을, 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 제거하는데 필요한 시간 및 온도에서 접촉시키는 것을 포함하고, 상기 규소 선택적 조성물은 하나 이상의 다이올 화합물, 하나 이상의 플루오라이드 종, 및 하나 이상의 산화성 종을 포함한다.
또 하나의 양태에서, 게르마늄-함유 물질에 대해 규소-함유 물질을, 마이크로전자 장치의 표면으로부터 선택적으로 제거하는 방법이 기술되며, 상기 방법은, 마이크로전자 장치의 표면과 게르마늄 선택적 조성물을, 규소-함유 물질에 대해 게르마늄-함유 물질을 선택적으로 제거하는데 필요한 시간 및 온도에서 접촉시키는 것을 포함하고, 상기 게르마늄 선택적 조성물은 하나 이상의 다이올 화합물 및 물을 포함한다.
본 발명의 다른 양태, 특징 및 실시양태는 본원 명세서 및 첨부 특허청구범위에서 더 분명해질 것이다.
본 발명은 일반적으로, 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 제거하거나 이와 반대되게 선택적으로 제거하기 위한 조성물, 따라서, 마이크로전자 장치로부터 물질을 적어도 부분적으로 제거하기 위한 에칭제로서 유용한 조성물을 제공하는 것이다. 본 발명은, 상기 조성물이 어떻게 Ge-함유 물질에 대해 Si-함유 물질을 제거하거나 Si-함유 물질에 대해 Ge-함유 물질을 제거하도록 조정되는지를 개시한다.
참조의 용이성을 위해, "마이크로전자 장치"는 마이크로전자공학, 집적 회로, 에너지 수집 또는 컴퓨터칩 용도에 사용하기 위해 제작된, 반도체 기판, 평판 디스플레이, 상변환 기억 장치, 태양 전지판, 및 태양전지 장치, 광전지 및 미세전자기계 시스템(MEMS)을 포함한 다른 제품들에 상응한다. "마이크로전자 장치", "마이크로전자 기판" 및 "마이크로전자 장치 구조물"이라는 용어들은 어떠한 방식으로든 제한적이지 않으며 결국은 마이크로전자 장치 또는 마이크로전자 장치 조립체로 되는 임의의 기판 또는 구조물을 포함함을 이해하여야 한다. 상기 마이크로전자 장치는 패턴화되고/되거나 블랑켓화되고/되거나, 제어 및/또는 시험 소자일 수 있다.
"규소"는 Si, 다결정성 Si, 및 단결정성 Si를 포함하는 것으로 정의될 수 있다. 규소는, 예를 들어, FET 및 집적 회로 같은 전자 장치를 위한 기재 또는 기재의 일부로 사용될 수 있는 절연체상 규소(silicon-on-insulator(SOI)) 웨이퍼에 포함된다. 다른 유형의 웨이퍼 역시 규소를 포함할 수 있다.
본원에 사용되는 "규소-함유 물질"은 규소; p-도핑된 규소; n-도핑된 규소; 게이트 산화물(예를 들어, 열적으로 또는 화학적으로 성장된 SiO2) 및 TEOS를 비롯한 규소 산화물; 규소 질화물; 열적 산화물; SiOH; SiCOH; 티타늄 규화물; 텅스텐 규화물; 니켈 규화물; 코발트 규화물; 및 저-k 유전체 물질을 포함한다. 본원에 정의된 "저-k 유전체 물질"은, 적층된 마이크로전자 장치 중에 유전체 물질로서 사용되는 임의의 물질에 상응하며, 여기에서 상기 물질은 약 3.5 미만의 유전 상수를 가진다. 바람직하게는, 저-k 유전체 물질은 저-극성 물질, 예를 들어 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기규산염 유리(OSG), TEOS, 플루오르화된 규산염 유리(FSG), 이산화 규소, 및 탄소-도핑된 산화물(CDO) 유리를 포함한다. 저-k 유전체 물질이 다양한 밀도와 다양한 다공성을 가질 수 있음을 알아야 한다.
본원에 사용된 "규소 산화물" 또는 "SiO2" 물질은, 규소 산화물 전구체 공급원(예를 들면 TEOS)으로부터 침착된 물질, 열 침착된 규소 산화물, 또는 상업적으로 입수가능한 전구체(예를 들면, 실크(SILK)(상품명), 오로라(AURORA)(상품명), 코랄(CORAL)(상품명) 또는 블랙 다이아몬드(BLACK DIAMOND)(상품명))를 사용하여 침착된 탄소 도핑된 산화물(CDO)에 상응한다. 본 명세서의 목적을 위해, "규소 산화물"은 넓게는 SiO2, CDO, 실록산 및 열적 산화물을 포함하는 것을 의미한다. 규소 산화물 또는 SiO2 물질은 순수 규소 산화물(SiO2) 뿐 아니라 구조 내에 불순물을 포함하는 불순한 규소 산화물에 상응한다.
본원에 사용된 "플루오라이드" 종은 이온성 플루오라이드(F-) 또는 공유 결합된 불소를 포함하는 종들에 상응한다. 상기 플루오라이드 종은 플루오라이드 종으로서 포함되거나 또는 동일 반응 계에서 생성될 수도 있음을 알아야 한다.
본원에 정의된 "게르마늄-함유 물질"은 벌크(bulk) 게르마늄 웨이퍼, n-도핑된 게르마늄, p-도핑된 게르마늄, 절연체상 게르마늄(GOI) 웨이퍼(이 경우, 층은 기재의 상부의 유전체 층 상에 형성된 게르마늄 층이다), 기재 상의 게르마늄 층, 뿐 아니라 게르마늄 화합물, 예를 들면 티타늄 게르마나이드, 텅스텐 게르마나이드, 니켈 게르마나이드, 및 코발트 게르마나이드일 수 있다. 상기 게르마늄-함유 물질은, 기재 위에 적어도 부분적으로 연장되는 연속 층이거나 별도의 영역으로 나뉘어질 수 있다.
규소-게르마늄(SiGe)는 당분야에 공지되어 있다. SiGe 물질 중의 Ge에 대한 Si의 백분율에 따라, SiGe는 규소-함유 물질 또는 게르마늄-함유 물질으로 크게 구분될 수 있다. 예를 들면, 상기 SiGe 물질이 50 중량% 초과의 규소 함량을 가지면, 이는 본 개시내용의 목적을 위해 규소-함유 물질으로 간주될 것이다. 만일 상기 SiGe 물질이 50 중량% 초과의 게르마늄 함량을 가지면, 이는 본 개시내용의 목적을 위해 게르마늄-함유 물질로 간주될 것이다. 만일 Si가 SiGe에 대해 제거된다면(또는 이의 반대), SiGe는 SiGe의 원자 조성과 상관없이 게르마늄-함유 물질로 이해되어야 한다. 만일 Ge가 SiGe에 대해 제거된다면(또는 이의 반대), SiGe는 SiGe의 원자 조성과 상관없이 규소-함유 물질로 이해되어야 한다.
본원에 사용된 "약"은 언급된 값의 ±5%에 상응함을 의도한다.
일부 화학적 성분은 자연적으로, 그의 최저 에너지 상태(즉, 안정한 상태)에서, 특히 상업적으로 구입한 경우, 극미량의 물을 포함함을 이해하여야 한다. 본 명세서의 목적을 위해, 자연적으로 존재하는 물은 "첨가된 물"로서 간주되지 않는다.
조성물의 특정 성분이 중량% 범위(0의 하한치 포함)에 기초하여 언급된 모든 그러한 조성물에서, 그러한 성분은, 상기 조성물의 다양한 특이적 실시양태에서, 존재하거나 부재할 수 있고, 그러한 성분이 존재하는 경우, 이는 그러한 성분이 사용되는 조성물의 총 중량을 기준으로 0.001 중량% 정도로 낮은 농도로 존재할 수도 있다.
제1 양태에서, 게르마늄-함유 물질에 대해 규소-함유 물질을, 마이크로전자 장치의 표면으로부터 선택적으로 제거하는 조성물(이후 본원에서, "규소 선택적 조성물") 및 이를 사용하는 방법이 기술되며, 상기 조성물은 하나 이상의 다이올 화합물, 하나 이상의 플루오라이드 종, 및 하나 이상의 산화성 종을 포함하거나 이로 구성되거나 본질적으로 이로 구성된다. 또 하나의 실시양태에서, 상기 규소 선택적 조성물은 하나 이상의 다이올 화합물, 하나 이상의 플루오라이드 종, 하나 이상의 산화성 종 및 물을 포함하거나 이로 구성되거나 본질적으로 이로 구성된다.
본원에서 고려되는 다이올은 지방족 글리콜, 예를 들면 비제한적으로 에틸렌 글리콜, 네오펜틸 글리콜, 프로필렌 글리콜, 1,3-프로판다이올, 1,2-부탄다이올, 1,3-부탄다이올, 1,4-부탄다이올, 1,2-펜탄다이올, 1,3-펜탄다이올, 1,4-펜탄다이올, 2,3-부탄다이올, 3-메틸-1,2-부탄다이올, 1,5-펜탄다이올, 2-메틸-1,3-펜탄다이올, 2,4-펜탄다이올, 2-메틸-2,4-펜탄다이올, 2,3-펜탄다이올, 1,2-헥산다이올, 2-에틸-1,3-헥산다이올, 2,5-다이메틸-2,5-헥산다이올, 1,2-옥탄다이올, 및 이들의 조합물을 포함한다. 둘 초과의 하이드록실 기를 함유하는 종, 예를 들면 트라이올(예를 들면 글리세롤), 및 두개의 이용가능한 하이드록실기가 있고 세번째는 에스터화되거나 에터화된 종(예를 들면, 글리세릴 카프릴레이트, 구아야콜 글리세릴 에터) 또한 고려된다. 바람직하게는 상기 하나 이상의 다이올 종은 1,2-부탄다이올이다. 다이올 종의 양은 약 70 중량% 내지 약 99 중량%, 약 75 중량% 초과 내지 약 98.8 중량% 범위이다.
고려되는 플루오라이드 화합물은 불화수소산을 포함한다. 대안적으로 HF와 다른 플루오라이드 화합물이, 규소-함유 물질의 에칭 속도를 낮추는 경우에 유리할 수 있으며, 비제한적으로, 헥사플루오로티탄산, 헥사플루오로규산, 헥사플루오로지르콘산, 테트라플루오로붕산, 테트라부틸암모늄 트라이플루오로메탄설포네이트, 테트라알킬암모늄 테트라플루오로보레이트(NR1R2R3R4BF4)(예를 들면 테트라부틸암모늄 테트라플루오로보레이트), 테트라알킬암모늄 헥사플루오로포스페이트(NR1R2R3R4PF6), 테트라알킬암모늄 플루오라이드(NR1R2R3R4F)(이의 무수물 또는 수화물)(예를 들면 테트라메틸암모늄 플루오라이드), 암모늄 바이플루오라이드, 암모늄 플루오라이드를 포함하며, 여기서, R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 기(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C1-C6 알콕시 기(예를 들면, 하이드록시에틸, 하이드록시프로필), 치환된 또는 비치환된 아릴 기(예를 들면, 벤질)로 이루어진 군 중에서 선택된다. 바람직하게는, 상기 플루오라이드 종은 불화수소산을 포함한다. 상기 플루오라이드 종의 양은 약 0.01 내지 약 5 중량%, 바람직하게는 약 0.1 내지 1 중량% 범위이다.
본 발명에서 고려되는 "산화성 종"은, 비제한적으로, 과산화 수소, FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 옥손 (2KHSO5·KHSO4·K2SO4), 과요오드산, 요오드산, 바나듐(V) 산화물, 바나듐(IV,V) 산화물, 암모늄 바나데이트, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트, 암모늄 클로레이트, 암모늄 요오데이트, 암모늄 니트레이트, 암모늄 퍼보레이트, 암모늄 퍼클로레이트, 암모늄 퍼요오데이트, 암모늄 퍼설페이트, 암모늄 하이포클로라이트, 암모늄 하이포브로마이트, 암모늄 텅스테이트, 나트륨 퍼설페이트, 나트륨 하이포클로라이트, 나트륨 퍼보레이트, 나트륨 하이포브로마이트, 칼륨 요오데이트, 칼륨 퍼망가네이트, 칼륨 퍼설페이트, 질산, 칼륨 퍼설페이트, 칼륨 하이포클로라이트, 테트라메틸암모늄 클로라이트, 테트라메틸암모늄 클로레이트, 테트라메틸암모늄 요오데이트, 테트라메틸암모늄 퍼보레이트, 테트라메틸암모늄 퍼클로레이트, 테트라메틸암모늄 퍼요오데이트, 테트라메틸암모늄 퍼설페이트, 테트라메틸암모늄 퍼옥소모노설페이트, 퍼옥소모노황산, 질산 제2철, 우레아 과산화수소, 퍼아세트산, 메틸 1,4-벤조퀴논(MBQ), 1,4-벤조퀴논(BQ), 1,2-벤조퀴논, 2,6-다이클로로-1,4-벤조퀴논(DCBQ), 톨루퀴논, 2,6-다이메틸-1,4-벤조퀴논(DMBQ), 클로라닐, 알록산, N-메틸모르폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 및 이들의 조합물을 포함한다. 상기 산화성 종은, 제조자에 의해 조성물에, 조성물이 장치 웨이퍼에 도입되기 전에 또는 달리 장치 웨이퍼에(즉, 동일 반응계에서) 도입될 수 있다. 바람직하게는 상기 산화성 종은, 퀴논 화합물, 과산화 수소, 퍼아세트산, 암모늄 요오데이트, 또는 이들의 임의의 조합물을 포함한다. 존재시, 상기 산화성 종의 양은, 약 0.01 내지 약 10 중량%, 바람직하게는 약 0.1 내지 1 중량% 범위이다.
존재시, 상기 물은 바람직하게는 탈이온화된 것이며, 약 0.1 내지 약 40 중량%의 양으로 존재한다. 바람직하게는 상기 규소 선택적 조성물 중의 물의 양은 약 0.1 내지 약 30 중량% 범위, 더 더욱 바람직하게는 약 0.1 내지 약 20 중량% 범위이다.
상기 규소 선택적 조성물은 규소-함유 물질의 에칭 속도, 게르마늄-함유 물질의 에칭 속도 및 상기 게르마늄-함유 물질에 대한 상기 규소-함유 물질의 에칭 속도를 변경하도록 조정될 수 있으며, 이는 당업자들이 쉽게 이해하고 결정할 수 있다. 가장 바람직하게는, 약한 산화제로 약 4 Å/분 초과의 규소-함유 물질 에칭 속도에서, 또는 더 강한 산화제로 약 33 Å/분 정도의 높은 규소-함유 물질 에칭 속도에서, 25℃에서의 규소-함유 물질:게르마늄-함유 물질 (Si:Ge)의 선택성은 3 초과:1, 더욱 바람직하게는 4 초과:1, 가장 바람직하게는 5 이상:1이다.
하나의 실시양태에서, 제1 양태의 규소 선택적 조성물은 1,2-, 1,3- 또는 1,4-부탄다이올, HF, 물 및 메틸-1,4-벤조퀴논을 포함하거나 이로 구성되거나 본질적으로 이로 구성된다.
제2 양태에서, 규소-함유 물질에 대해 게르마늄-함유 물질을, 마이크로전자 장치의 표면으로부터 선택적으로 제거하는 조성물(이후 본원에서, "게르마늄 선택적 조성물") 및 이를 사용하는 방법이 기술되며, 상기 조성물은 하나 이상의 다이올 화합물 및 물을 포함하거나 이로 구성되거나 본질적으로 이로 구성된다. 또 하나의 실시양태에서, 상기 게르마늄 선택적 조성물은 하나 이상의 다이올 화합물, 하나 이상의 플루오라이드 종, 및 물을 포함하거나 이로 구성되거나 본질적으로 이로 구성되며, 이때 상기 조성물은 실질적으로 산화제가 없다. 추가의 다른 실시양태에서, 상기 게르마늄 선택적 조성물은 하나 이상의 다이올 화합물, 하나 이상의 산화제, 및 물을 포함하거나 이로 구성되거나 본질적으로 이로 구성되며, 이때 상기 조성물은 실질적으로 플루오라이드 종이 없다. 상기 다이올 화합물 종, 플루오라이드 종 및 산화제는 상기 제1 양태에서 상술한 것들이다. 존재하는 경우, 물은 바람직하게는 탈이온화된 것이며 약 0.1 내지 약 40 중량%의 양으로 존재한다. 바람직하게는 상기 게르마늄 선택적 조성물 중의 물의 양은 약 0.1 내지 약 30 중량% 범위, 더욱 바람직하게는 약 0.1 내지 약 20 중량% 범위이다. 상기 플루오라이드 함량은 최대의 선택성을 위해서는 0.5 % 미만으로 낮게, 또는 0%로 유지된다. 따라서, 존재시, 바람직하게는, 상기 플루오라이드 종의 양은 약 0.01 내지 약 0.5 중량% 범위이다. 플루오라이드의 부재시, 더 강한 산화제, 예를 들면 DCBQ 또한 Si 에칭 속도보다 Ge 에칭 속도를 더 증가시켜 따라서 선택성을 증가시킨다. 존재시, 산화성 종의 양은, 약 0.01 내지 약 5 중량%, 바람직하게는 약 0.1 내지 1 중량% 범위이다. 상기 제2 조성물 중의 다이올 종의 양은 약 70 내지 약 99 중량% 범위, 바람직하게는 약 75 중량% 초과 내지 약 98.8 중량% 범위이다.
상기 게르마늄 선택적 조성물은 규소-함유 물질의 에칭 속도, 게르마늄-함유 물질의 에칭 속도 및 상기 규소-함유 물질에 대한 상기 게르마늄-함유 물질의 에칭 속도를 변경하도록 조정될 수 있으며, 이는 당업자들이 쉽게 이해하고 결정할 수 있다. 가장 바람직하게는, 강한 산화제로 약 3 Å/분 초과의 게르마늄-함유 물질 에칭 속도에서, 25℃에서의 게르마늄-함유 물질:규소-함유 물질 (Ge:Si)의 선택성은 약 30 초과:1, 더욱 바람직하게는 약 40 초과:1, 가장 바람직하게는 약 50 초과:1이다.
하나의 실시양태에서, 제2 양태의 게르마늄 선택적 조성물은 1,2-, 1,3- 또는 1,4-부탄다이올, HF, 및 물을 포함하거나 이로 구성되거나 본질적으로 이로 구성된다. 또 하나의 실시양태에서, 제2 양태의 게르마늄 선택적 조성물은 1,2-, 1,3- 또는 1,4-부탄다이올, 메틸-1,4-벤조퀴논 또는 DCBQ, 및 물을 포함하거나 이로 구성되거나 본질적으로 이로 구성된다.
제3 양태에서, 상이한 Si/Ge 비를 가진 SiGe 물질을 갖는 비아(via) 및 임의적으로 Si 및 Ge의 필름 및/또는 기재의 세척 및 확대를 위한 비-선택적 반도체 에칭(NSSE) 조성물 및 이를 사용하는 방법이 기술된다. 상기 비-선택적 반도체 에칭 조성물은, 모든 노출된 규소-함유 및 게르마늄-함유 물질을 동일한 속도로 세정 및 에칭하기에 충분히 온화하고/제어가능하여야 한다. 다시 말해, 상기 비-선택적 반도체 에칭 조성물은, 게르마늄-함유 물질의 에칭 속도와 실질적으로 동일한 규소-함유 물질의 에칭 속도를 가져야 한다. 상기 조성물은, 상기 에칭 기준을 만족하는 상기 제1 양태 또는 제2 양태 중 어느 것일 수 있다.
사용 전에 희석하는 상기 조성물의 농축된 형태를 제조하는 것이 통상의 관례임은 주지하고 있을 것이다. 예를 들면, 상기 조성물은 더 농축된 형태로 제조되어, 그 후에 제조자들이 제조 공장에서 사용 전에 및/또는 사용 중에, 물, 추가적인 물, 하나 이상의 다이올 또는 추가적인 다이올(들)로 희석할 수 있다. 희석 비율은 약 0.1 부 희석제: 1부 조성물 농축물 내지 약 100 부 희석제: 1부 조성물 농축물 범위일 수 있다. 산화제로서 퀴논이 사용되는 경우, 사용 직전에 상기 배합물에 첨가될 퀴논의 공급원으로서, 내산화성(바람직하게는 비양자성) 용매, 예를 들면 설폰란 또는 테트라글라임 중의 퀴논의 농축된 용액(예를 들면, 5 내지 10%)이 사용될 수 있다.
본원에 기술된 조성물은, 각각의 성분을 단순 첨가하고 이를 균질 상태까지 혼합함으로써 쉽게 제형화된다. 또한, 상기 조성물은 단일-패키지 제형 또는 사용 시점에 또는 사용 이전에 혼합되는 다중-부분 제형으로서, 바람직하게는 다중-부분 제형으로서, 용이하게 제형화될 수 있다. 상기 다중-부분 제형의 개개의 부분은 공구에서 혼합되거나 또는 상기 공구의 상류의 혼합 구역/영역, 예를 들면 인라인 믹서 또는 저장 탱크에서 혼합될 수 있다. 상기 다중-부분 제형의 다양한 부분은, 함께 혼합될 때 원하는 조성물을 형성하는 임의의 성분/구성성분들의 조합물을 함유할 수 있다. 각각의 성분의 농도는, 조성물의 특정 배율로 매우 다양(즉, 더 희석되거나 더 농축됨)할 수 있으며, 상기 조성물이 본원 개시내용과 일치되는 임의의 성분들의 조합물을 다양하고 대안적으로 포함하거나 이들로 구성되거나 본질적으로 이들로 구성될 수 있음은 잘 알 것이다.
제4 양태에서, 본 발명은 본원에 기술된 제1, 제2 또는 제3 양태의 조성물을 사용하는 방법에 관한 것이다. 예를 들면, 상기 규소 선택적 조성물을 사용하여, 마이크로전자 장치의 표면으로부터 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 제거하는 방법이 고려된다. 달리, 상기 게르마늄 선택적 조성물을 사용하여, 마이크로전자 장치의 표면으로부터 규소-함유 물질에 대해 게르마늄-함유 물질을 선택적으로 제거하는 방법이 고려된다. 또 하나의 대안으로서, 상기 NSSE 조성물을 사용하여, 마이크로전자 장치의 표면으로부터 게르마늄-함유 물질의 에칭 속도와 실질적으로 동일한 에칭 속도로 규소-함유 물질을 제거하는 방법이 고려된다.
에칭 용도에서, 바람직한 최종 결과를 가진 특정 조성물은, 마이크로전자 장치의 표면에 임의의 적합한 방식으로, 예를 들면 상기 장치의 표면 상에 상기 조성물을 분무함으로써, 상기 장치를 (상기 조성물의 정적 또는 동적 부피에) 침지함으로써, 상기 장치를 상부에 상기 조성물이 흡수된 또 하나의 재료, 예를 들면 패드 또는 섬유성 흡수재 도포기 부재와 접촉시킴으로써, 질화규소 물질을 포함하는 상기 장치를 순환하는 조성물과 접촉시킴으로써, 또는 상기 조성물을 상기 규소-함유 물질 및 상기 게르마늄-함유 물질과 제거 접촉시키는 임의의 다른 적합한 수단, 방식 또는 기법에 의해, 적용된다. 상기 적용은, 동적 또는 정적 세정을 위한 배취 또는 단일 웨이퍼 장치에서 수행될 수 있다.
본원에 기술된 제1, 제2 또는 제3 양태의 조성물 사용시, 상기 조성물은 상기 장치 구조물과 약 1 내지 약 200 분, 바람직하게는 약 5 내지 약 60 분의 충분한 시간 동안, 약 20 내지 약 100℃, 바람직하게는 약 25 내지 약 70℃ 범위의 온도에서 접촉된다. 그러한 접촉 시간 및 온도는 예시적인 것이며, 필요한 제거 선택성을 달성하는데 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다.
하나의 실시양태에서, 상기 조성물은 상기 장치 구조물에 전달되는 중에 인라인(inline)으로 가열된다. 배취 자체에서의 가열보다는 인라인 가열에 의해 조성물의 수명이 증대된다.
원하는 에칭 작용의 달성 후, 상기 조성물은, 이미 적용된 마이크로전자 장치로부터, 예를 들면 세정, 세척, 또는 본 발명의 조성물의 주어진 최종 적용에 바람직하고 효과적일 수 있는 다른 제거 단계(들)에 의해, 쉽게 제거될 수 있다. 예를 들면, 상기 장치는, 탈이온수를 포함하는 세정 용액으로 세정되고/되거나 건조(예를 들면, 스핀-건조, N2, 증기-건조 등)될 수 있다. 게르마늄 또는 고-게르마늄 필름이 노출된다면, 바람직한 세정액은 실질적으로 비-수성이며, 예를 들면 이소프로필 알콜(IPA)이다.
본 발명의 또 다른 양태는, 본원에 기술된 방법에 따라 제조된 개선된 마이크로전자 장치, 및 그러한 마이크로전자 장치를 함유하는 제품에 관한 것이다.
본 발명의 또 하나의 양태는, 마이크로전자 장치 기재, 규소-함유 물질, 게르마늄-함유 물질 및 본원에서 기술된 상기 제1, 제2 또는 제3 양태의 조성물을 포함하거나 이로 이루어지거나 이로 본질적으로 이루어진 제품에 관한 것이다.
본 발명의 특징 및 이점은 하기 기술되는 예시적 실시예에 의해 더 자세히 보여준다.
실시예 1
규소 선택적 조성물을 제조하고, 게르마늄, 산화 게르마늄 및 폴리-Si의 에칭 속도를 결정하였다. 상기 조성물에서, 조성물의 잔량은 용매이다. 블랑켓된 게르마늄, 산화 게르마늄 및 폴리-Si를 가진 쿠폰을 각각의 조성물에 25℃에서 30분 동안 침지하고, 제거된 각각의 물질의 양을 결정하였다. 상기 규소 선택적 조성물 및 그 결과를 하기 표 1에 나타낸다.
표 1: 규소 선택적 조성물
Figure 112016073344169-pct00001
BD=부탄다이올
MBQ=메틸-1,4-벤조퀴논(즉, 톨루퀴논)
EG=에틸렌 글리콜
PG=프로필렌 글리콜
BQ=1,4-벤조퀴논
DCBQ=2,6-다이클로로-1,4-벤조퀴논
DMBQ=2,6-다이메틸-1,4-벤조퀴논
상기 표 1의 결과로부터, 규소-함유 물질이 게르마늄-함유 물질에 비해 우선적으로 에칭-제거될 수 있으며 제거 선택성은 선택된 성분에 기초하여 쉽게 조정됨을 알 수 있다. 더우기, 규소 선택적 조성물은 규소-함유 물질의 에칭 속도를 변경하도록 조정될 수 있다.
본 발명자들은, 제형 K와 같은 제형이, 본원에서 소개한 NSSE 조성물로서 유용할 수 있음을 제시한다.
실시예 2
게르마늄 선택적 조성물을 제조하고, 게르마늄, 산화 게르마늄 및 폴리-Si의 에칭 속도를 결정하였다. 상기 조성물에서, 조성물의 잔량은 용매이다. 블랑켓된 게르마늄, 산화 게르마늄 및 폴리-Si를 가진 쿠폰을 각각의 조성물에 25℃에서 30분 동안 침지하고, 제거된 각각의 물질의 양을 결정하였다. 상기 게르마늄 선택적 조성물 및 그 결과를 하기 표 1에 나타낸다.
표 2: 게르마늄 선택적 조성물
Figure 112016073344169-pct00002
상기 표 2의 결과로부터, 게르마늄-함유 물질의 에칭 속도가 대부분의 경우 특히 높은 것은 아니지만, 게르마늄-함유 물질이 규소-함유 물질에 비해 우선적으로 에칭-제거될 수 있음을 알 수 있다. 즉, 상기 게르마늄 선택적 조성물은, 30분에 걸쳐 20Å 초과, 더 강한 산화제를 사용하는 하나의 경우(제형 UU)에는 100Å 초과의 Ge 손실을 달성하도록 제형화될 수 있다. 상기 데이타는 더 고온에서 외삽될 수 있을 것으로 예측된다.
상기 표 1 및 표 2로부터 유추될 수 있는 일부 관찰은 하기를 포함한다:
(a) 게르마늄의 에칭 속도는 규소의 에칭 속도보다 물에 더 영향을 받고,
(b) 규소의 에칭 속도는, 게르마늄의 에칭 속도보다 산화제에 더 영향을 받고,
(c) 게르마늄의 에칭 속도는 규소의 에칭 속도보다 플루오라이드의 존재에 덜 영향을 받고,
(d) 다이올의 지방족 꼬리(tail)는 우수한 게르마늄 에칭 속도 억제제일 수 있다.
본 발명을 본 발명의 특정 양태, 특징, 및 예시적인 실시양태를 참조로 기술하였지만 본 발명의 유용성은 이로써 제한되는 것이 아니고, 수많은 다른 변화, 변형 및 대안적인 실시양태들로 확대되는 것이며, 본 발명의 명세서를 기초로 본 발명 분야의 통상적인 숙련가들에게 이들이 제시될 것임을 알 것이다. 그러므로 이후에 청구되는 본 발명은, 청구범위의 진의 및 범주 내에서 그러한 모든 상기 변화, 변형 및 또 다른 실시양태들을 포괄하는 것으로서 광범위하게 간주되고 해석되어야 한다.

Claims (18)

  1. 마이크로전자 장치의 표면으로부터 규소-함유 물질을 게르마늄-함유 물질에 대해 선택적으로 제거하는 방법으로서,
    규소-함유 물질 및 게르마늄-함유 물질을 포함하는 마이크로전자 장치를 제공하는 단계; 및
    상기 마이크로전자 장치의 표면과 규소 선택적 조성물을, 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 제거하는데 필요한 시간 및 온도에서 접촉시킴으로써 게르마늄-함유 물질에 대해 규소-함유 물질을 선택적으로 에칭하는 단계를 포함하되, 이때 상기 규소 선택적 조성물은 약 70 중량% 내지 약 99 중량%의 하나 이상의 다이올 종, 약 0.01 중량% 내지 약 5 중량%의 하나 이상의 플루오라이드 종, 및 약 0.01 중량% 내지 약 10 중량%의 하나 이상의 산화성 종(oxidizing species)을 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 규소 선택적 조성물이 추가로 물을 포함하는, 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 하나 이상의 다이올 종이 에틸렌 글리콜, 네오펜틸 글리콜, 프로필렌 글리콜, 1,3-프로판다이올, 1,2-부탄다이올, 1,3-부탄다이올, 1,4-부탄다이올, 1,2-펜탄다이올, 1,3-펜탄다이올, 1,4-펜탄다이올, 2,3-부탄다이올, 3-메틸-1,2-부탄다이올, 1,5-펜탄다이올, 2-메틸-1,3-펜탄다이올, 2,4-펜탄다이올, 2-메틸-2,4-펜탄다이올, 2,3-펜탄다이올, 1,2-헥산다이올, 2-에틸-1,3-헥산다이올, 2,5-다이메틸-2,5-헥산다이올, 1,2-옥탄다이올, 및 이들의 조합물로 이루어진 군 중에서 선택된 종을 포함하는, 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 하나 이상의 플루오라이드 종이 불화수소산, 헥사플루오로티탄산, 헥사플루오로규산, 헥사플루오로지르콘산, 테트라플루오로붕산, 테트라부틸암모늄 트라이플루오로메탄설포네이트, 테트라알킬암모늄 테트라플루오로보레이트(NR1R2R3R4BF4), 테트라알킬암모늄 헥사플루오로포스페이트(NR1R2R3R4PF6), 테트라알킬암모늄 플루오라이드(NR1R2R3R4F), 암모늄 바이플루오라이드, 및 암모늄 플루오라이드로 이루어진 군 중에서 선택된 종(여기서, R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 기, C1-C6 알콕시 기, 및 치환된 또는 비치환된 아릴 기로 이루어진 군 중에서 선택된다)을 포함하는, 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 하나 이상의 산화성 종이, 과산화 수소, FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 옥손 (2KHSO5·KHSO4·K2SO4), 과요오드산, 요오드산, 바나듐(V) 산화물, 바나듐(IV,V) 산화물, 암모늄 바나데이트, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트, 암모늄 클로레이트, 암모늄 요오데이트, 암모늄 니트레이트, 암모늄 퍼보레이트, 암모늄 퍼클로레이트, 암모늄 퍼요오데이트, 암모늄 퍼설페이트, 암모늄 하이포클로라이트, 암모늄 하이포브로마이트, 암모늄 텅스테이트, 나트륨 퍼설페이트, 나트륨 하이포클로라이트, 나트륨 퍼보레이트, 나트륨 하이포브로마이트, 칼륨 요오데이트, 칼륨 퍼망가네이트, 칼륨 퍼설페이트, 질산, 칼륨 퍼설페이트, 칼륨 하이포클로라이트, 테트라메틸암모늄 클로라이트, 테트라메틸암모늄 클로레이트, 테트라메틸암모늄 요오데이트, 테트라메틸암모늄 퍼보레이트, 테트라메틸암모늄 퍼클로레이트, 테트라메틸암모늄 퍼요오데이트, 테트라메틸암모늄 퍼설페이트, 테트라메틸암모늄 퍼옥소모노설페이트, 퍼옥소모노황산, 질산 제2철, 우레아 과산화수소, 퍼아세트산, 메틸-1,4-벤조퀴논(MBQ), 1,4-벤조퀴논(BQ), 1,2-벤조퀴논, 2,6-다이클로로-1,4-벤조퀴논(DCBQ), 톨루퀴논, 2,6-다이메틸-1,4-벤조퀴논(DMBQ), 클로라닐, 알록산, N-메틸모르폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 및 이들의 조합물로 이루어진 군 중에서 선택된 종을 포함하는, 방법.
  6. 제 2 항에 있어서,
    상기 규소 선택적 조성물 중의 물의 양이 약 0.1 내지 약 40 중량% 범위인, 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    25℃에서의 규소-함유 물질:게르마늄-함유 물질 (Si:Ge)의 선택성이, 4 Å/분 초과의 규소-함유 물질 에칭 속도에서, 3 초과:1인, 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 시간이, 약 20 내지 약 100℃ 범위의 온도에서, 약 1 내지 약 200 분 범위인, 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
KR1020167020710A 2013-12-31 2014-12-29 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물 KR102290209B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361922187P 2013-12-31 2013-12-31
US61/922,187 2013-12-31
PCT/US2014/072571 WO2015103146A1 (en) 2013-12-31 2014-12-29 Formulations to selectively etch silicon and germanium

Publications (2)

Publication Number Publication Date
KR20160104045A KR20160104045A (ko) 2016-09-02
KR102290209B1 true KR102290209B1 (ko) 2021-08-20

Family

ID=53493952

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167020710A KR102290209B1 (ko) 2013-12-31 2014-12-29 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물

Country Status (4)

Country Link
US (1) US10475658B2 (ko)
KR (1) KR102290209B1 (ko)
TW (1) TWI647298B (ko)
WO (1) WO2015103146A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN107851660B (zh) * 2015-07-09 2022-02-01 恩特格里斯公司 相对于锗选择性蚀刻硅锗的调配物
US10879076B2 (en) * 2017-08-25 2020-12-29 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/silicon stack during manufacture of a semiconductor device
CN110117744B (zh) * 2018-02-05 2021-04-09 天津大学 一种具有可调带隙的氢化-羟基化的二维半导体锗硅合金及制备方法
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
WO2020252272A1 (en) * 2019-06-13 2020-12-17 Versum Materials Us, Llc Liquid compositions for selectively removing polysilicon over p-doped silicon and silicon-germanium during manufacture of a semiconductor device
CN116635986A (zh) * 2021-01-12 2023-08-22 三菱化学株式会社 蚀刻组合物、蚀刻方法、半导体器件的制造方法和全环绕栅极型晶体管的制造方法
CN114351143B (zh) * 2021-12-09 2023-07-25 湖北兴福电子材料股份有限公司 一种侧蚀量可控的锗蚀刻液

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090246967A1 (en) 2005-12-01 2009-10-01 Kazuyoshi Yaguchi Semiconductor surface treatment agent
US20100065530A1 (en) 2007-02-06 2010-03-18 Advanced Technology Materials, Inc COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
US20110287634A1 (en) 2010-05-18 2011-11-24 Rohm And Haas Electronic Materials Llc Method of forming current tracks on semiconductors

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
WO1999060447A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6833084B2 (en) * 1999-04-05 2004-12-21 Micron Technology, Inc. Etching compositions
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
KR20060024775A (ko) 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
WO2005057281A2 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
EP1879704A2 (en) 2005-04-15 2008-01-23 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
WO2006113573A1 (en) 2005-04-15 2006-10-26 Advanced Technology Materials, Inc. Apparatus and method for supercritical fluid removal or deposition processes
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
SG162725A1 (en) 2005-05-26 2010-07-29 Advanced Tech Materials Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR101332302B1 (ko) 2005-06-06 2013-11-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 단일 플래튼 처리를 위한 방법 및 일체형 화학적 기계적연마 조성물
US8951948B2 (en) 2005-06-07 2015-02-10 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
EP1894230A2 (en) 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
TW200710205A (en) 2005-06-16 2007-03-16 Advanced Tech Materials Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
JP2009503910A (ja) 2005-08-05 2009-01-29 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属フィルム平坦化用高スループット化学機械研磨組成物
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
WO2007044447A2 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
WO2007047365A2 (en) 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
KR100703014B1 (ko) * 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TWI513799B (zh) * 2005-11-09 2015-12-21 Entegris Inc 用於回收具有低k介電材料之半導體晶圓的組成物及方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI562234B (en) 2006-12-21 2016-12-11 Entegris Inc Compositions and methods for the selective removal of silicon nitride
TWI449784B (zh) 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
WO2008095078A1 (en) 2007-01-31 2008-08-07 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2008135804A1 (en) 2007-05-03 2008-11-13 S.O.I. Tec Silicon On Insulator Technologies Improved process for preparing cleaned surfaces of strained silicon.
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
KR20100051839A (ko) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
EP2211373A1 (en) * 2007-10-31 2010-07-28 Mitsubishi Chemical Corporation Etching method and method for manufacturing optical/electronic device using the same
US8178585B2 (en) 2007-11-14 2012-05-15 Advanced Technology Materials, Inc. Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
KR20110020760A (ko) 2008-02-01 2011-03-03 뉴사우스 이노베이션즈 피티와이 리미티드 선택된 물질의 패턴 식각 방법
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
TW201013338A (en) 2008-08-04 2010-04-01 Advanced Tech Materials Environmentally friendly polymer stripping compositions
JP2012504871A (ja) 2008-10-02 2012-02-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高度な金属負荷及びシリコン基板の表面パッシベーションのための界面活性剤/消泡剤混合物の使用
JP5873718B2 (ja) 2008-10-21 2016-03-01 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
US9074169B2 (en) 2009-01-28 2015-07-07 Advanced Technology Materials, Inc. Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
SG184881A1 (en) 2010-04-15 2012-11-29 Advanced Tech Materials Method for recycling of obsolete printed circuit boards
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
KR20130099948A (ko) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 E-폐기물로부터 귀금속 및 베이스 금속을 회수하는 지속가능한 방법
KR101861713B1 (ko) * 2010-08-20 2018-05-29 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 트랜지스터의 제조 방법
TWI559387B (zh) 2010-08-27 2016-11-21 恩特葛瑞斯股份有限公司 預防乾燥期間高縱橫比結構崩塌之方法
KR101868319B1 (ko) 2010-10-06 2018-06-15 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP5519728B2 (ja) 2011-05-17 2014-06-11 富士フイルム株式会社 エッチング方法及びこれに用いられるエッチング液、これを用いた半導体素子の製造方法
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
WO2012177620A2 (en) 2011-06-21 2012-12-27 Advanced Technology Materials, Inc. Method for the recovery of lithium cobalt oxide from lithium ion batteries
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
EP2768920A4 (en) 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
IN2014KN01462A (ko) 2011-12-15 2015-10-23 Advanced Tech Materials
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG11201405638UA (en) 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
WO2013142250A1 (en) 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
US20150162213A1 (en) 2012-05-11 2015-06-11 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
CN104395989A (zh) 2012-05-18 2015-03-04 高级技术材料公司 用于改进有机残余物去除的具有低铜蚀刻速率的水性清洁溶液
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
EP2778158A1 (en) 2013-03-14 2014-09-17 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
TW201500542A (zh) 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
TWI651396B (zh) 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
CN106661663A (zh) 2014-02-25 2017-05-10 恩特格里斯公司 用于选择性去除贵金属的湿基制剂

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090246967A1 (en) 2005-12-01 2009-10-01 Kazuyoshi Yaguchi Semiconductor surface treatment agent
US20100065530A1 (en) 2007-02-06 2010-03-18 Advanced Technology Materials, Inc COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
US20110287634A1 (en) 2010-05-18 2011-11-24 Rohm And Haas Electronic Materials Llc Method of forming current tracks on semiconductors

Also Published As

Publication number Publication date
WO2015103146A1 (en) 2015-07-09
US10475658B2 (en) 2019-11-12
TW201533220A (zh) 2015-09-01
KR20160104045A (ko) 2016-09-02
TWI647298B (zh) 2019-01-11
US20160343576A1 (en) 2016-11-24

Similar Documents

Publication Publication Date Title
KR102290209B1 (ko) 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
KR102092720B1 (ko) 게르마늄에 대해 실리콘 게르마늄을 선택적으로 에칭하기 위한 배합물
US9158203B2 (en) Compositions and methods for the selective removal of silicon nitride
WO2012174518A2 (en) Compositions and methods for selectively etching silicon nitride
TWI721311B (zh) 於製造一半導體裝置時用於相對氮化鈦選擇性移除氮化鉭的蝕刻組合物
KR102352475B1 (ko) 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
TWI654340B (zh) Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
KR102352628B1 (ko) 실리콘에 비해 실리콘-게르마늄을 선택적으로 에칭하기 위한 배합물
CN108369898A (zh) 用于相对于氮化硅选择性蚀刻p掺杂多晶硅的组合物及方法
JP2005256173A (ja) 組成物の使用、水性組成物、自己整列ゲルマニドの形成方法、および半導体装置
US8753528B2 (en) Etchant for controlled etching of Ge and Ge-rich silicon germanium alloys
JP2014057039A (ja) 半導体基板製品の製造方法及びエッチング液
JP2016127065A (ja) エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法
KR20220073813A (ko) 반도체 소자의 제조 중 질화규소를 선택적으로 제거하기 위한 에칭 조성물 및 방법
JP5548224B2 (ja) 半導体基板製品の製造方法及びエッチング液
Vos et al. Wet chemical cleaning of InP and InGaAs
JP6369989B2 (ja) エッチング液、エッチング方法および半導体基板製品の製造方法
TWI716348B (zh) 與NiGe及Ge相容之Ni選擇性蝕刻組成物
TW202346541A (zh) 用於多晶矽挖掘的配製鹼性化學物質
EP4280258A1 (en) Etching composition, etching method, production method for semiconductor device, and production method for gate-all-around transistor

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right