TW200934865A - Formulations for cleaning memory device structures - Google Patents

Formulations for cleaning memory device structures

Info

Publication number
TW200934865A
TW200934865A TW97146506A TW97146506A TW200934865A TW 200934865 A TW200934865 A TW 200934865A TW 97146506 A TW97146506 A TW 97146506A TW 97146506 A TW97146506 A TW 97146506A TW 200934865 A TW200934865 A TW 200934865A
Authority
TW
Taiwan
Prior art keywords
complex
ether
composition
removal composition
group
Prior art date
Application number
TW97146506A
Other languages
Chinese (zh)
Inventor
Jun Liu
Peng Zhang
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW200934865A publication Critical patent/TW200934865A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Paints Or Removers (AREA)

Abstract

A removal composition and process for removing polymeric protective coating(s) from a microelectronic device having said coatings thereon. The removal composition removes the polymeric protective coating(s) from the device in a single step without substantially removing underlying layers.

Description

200934865 九、發明說明: - 【發明所屬之技術領域】 . 本發明係關於用於自上面具有光阻層之微電子裝置(諸 如包括微機電系統(MEMS)之裝置)移除該等光阻層之組合 物0 【先前技術】 在矽蝕刻過程中通常在基板上利用薄(l〇〇_nm至3〇〇_nm) 氮化矽或二氧化矽塗層作為圖案化蝕刻之遮罩或作為鈍化 層以密封主動電路。 因為與矽相比之較低蝕刻速率,故已在艮011或丁河人11體 矽蝕刻期間將氮化矽薄膜用作保護層或硬質遮罩。不利 地’氮化石夕需要咼沈積溫度且在餘刻過程中易受損害。二 氧化矽具有高於氮化矽之蝕刻速率,因此其僅用作極短蝕 刻之保護/遮罩層。 有機聚合物係保護塗層之理想候選者。多年來IC及 MEMS工業已使用聚合塗層材料作為光阻、抗反射塗層及 平坦層。該等材料藉由旋塗方法以薄膜形式便利地塗佈, 且接著烘焙或UV固化以達成最終塗層形式。不利地,非 圖案化、經硬烘焙之光阻易於在鹼性溶液中蝕刻。亦將聚 甲基丙烯酸甲酯作為用於KOH之蝕刻遮罩加以評估,然而 由於酯基之皂化反應,發現此聚合物之遮罩時間由在⑽艽 下165分鐘急劇降低至在9(rCT15分鐘。在3〇重量% κ〇Η 银刻過程(70°C )中亦使用黑蠟(Apiez〇n® w,獲自Scientific Instrument Services,Inc·,New Jersey)作為保護塗層。在濕式蝕 136561.doc 200934865 刻之後,使用知名致癌物質三氣乙烯移除蠟。 . 已提出包括有機聚合物之旋塗保護塗層且已發現一些保 - 濩塗層在很大程度上以與已知氮化矽保護矽材料的相同方 式有效保護矽材料避免鹼性濕式蝕刻組合物。儘管如此, 亥等保濩塗層之移除仍存在問題。移除過程較佳為不損害 諸如金屬及矽之下伏層的單一步驟過程。此外,移除過程 - 較佳遵守世界範圍環境標準且具有低所有權成本(C00)。 ❹ 最佳地,移除過程包括使得使用者可分批處理微電子裝置 sa圓而大體上不在裝置晶圓之表面沈積/沈澱所移除材料 之濕式蝕刻組合物。 因此,此項技術中之顯著進步為提供在單一步驟中自上 面具有聚合保護塗層之微電子裝置晶圓之表面移除該塗層 材料的改良液體組合物。 【發明内容】 本發明一般係關於易於自上面具有聚合保護塗層之微電 _ 子裝置的表面移除該聚合保護塗層之液體組合物。液體移 除組合物包含以下各物、由以下各物組成或基本上由以下 各物組成:至少一種有機溶劑及至少一種蝕刻劑來源。 在一態樣中,本發明係關於一種包含至少一種有機溶劑 及至少-種蝕刻劑來源之移除組合物,其中該移除組合物 適於自上面具有聚合材料之微電子裝置上移除該材料。 在另一態樣中,本發明係關於一種由兩種有機溶劑及至 少-種蝕刻劑來源組成之移除組合物,其中該移除組合物 適於自上面具有聚合材料之微電子裝置上移除該材料。 136561.doc 200934865 在另一態樣中,本發明係關於一種由兩種有機溶劑、至 種飯刻劑來源及聚合材料殘餘物組成之移除組合物’ 其中該移除組合物適於自上面具有聚合材料之微電子裝置 上移除該材料。 本發明之又一態樣係關於自上面具有聚合材料之微電子 裝置上移除該材料之方法,該方法包含在足以自微電子裝 置至夕、。卩分移除該材料之條件下使微電子裝置與移除組合 物接觸足以自微電子裝置至少部分移除該材料之時間,其 中移除組合物包括至少一種有機溶劑及至少一種蝕刻劑來 源。 本發明之另一態樣係關於一種移除組合物,其由二乙二 醇單烷基醚、環丁颯及烷醇胺:HF複合物組成,其中烷基 為匕-C6基團,且其中該移除組合物適於自上面具有聚合 材料之微電子裝置上移除該材料。 由隨後揭示内容及隨附申請專利範圍,本發明之其他態 樣、特徵及優點將更完全顯而易見。 【實施方式】 本發明一般係關於自上面具有聚合材料(諸如旋塗保護 塗層)之微電子裝置上移除該等材料之液體移除組合物。 為便於提及,"微電子裝置"對應於半導體基板、記憶體 裝置、平板顯示器、太陽能電池及光伏打電池及經製造用 於微電子、積體電路及電腦晶片應用中之微機電系統 (MEMS)。應瞭解,術語"微電子裝置”並不意謂以任何方 式受限且包括任何最終將成為微電子裝置或微電子總成之 136561.doc 200934865 基板。 • 如本文所用之"約"意欲對應於所述值的±5%。 . 如本文所用之"適於”自上面具有聚合材料之微電子裝置 上移除該等材料對應於自微電子裝置至少部分移除該等材 料。較佳地,使用本發明之組合物將至少85%之待移除材 料自微電子裝置移除,更佳移除至少9〇%、甚至更佳至少 95%,且最佳至少99%之待移除材料。 如本文所定義,,,實質上無"對應於以該組合物之總重量 ° 計小於約2重量%、更佳小於1重量。/。,且最佳小於(M重量 %之該組合物。 如下文更全面描述,本發明之組合物可包括在多種特定 調配物中。 在所有該等組合物中’其中組合物之特定組份係參考包 括零下限之重量百分比範圍而論述,應瞭解該等組份可存 在或不存在於組合物之各種特定實施例中,且在存在該等 φ 組伤之狀況下,其可以採用該等組份之組合物總重量計低 至0.001重量°/〇之濃度存在。 在一態樣中’本發明係關於適用於自半導體裝置選擇性 移除聚合材料(例如旋塗保護塗層)之液體移除組合物。近 來,已研發有效旋塗保護塗層以保護下伏層避免鹼性蝕刻 組合物及/或純化密封主動電路,例如,如以chenghong Li 等人之名義2006年6月30日申請之美國專利申請案第 11/428,123號’標題為’’Spin-On Protective Coatings for Wet-Etch Processing of Microelectronic Substrates"及以 xing_Fu Zh〇ng 等人 136561.doc 200934865 之名義2006年9月6曰申請之美國專利申請案第11/470,520 號,標題為"Negative Photoresist for Silicon KOH Etch Without Silicon Nitride"中所教示,兩者均以全文引用的方式併入本 文中。 一種該旋塗保護塗層系統包括塗覆於微電子基板表面200934865 IX. Description of the invention: - [Technical field to which the invention pertains] The present invention relates to the removal of such photoresist layers from microelectronic devices having a photoresist layer thereon, such as devices including microelectromechanical systems (MEMS) Composition 0 [Prior Art] A thin (l〇〇_nm to 3〇〇_nm) tantalum nitride or hafnium oxide coating is usually used as a mask for pattern etching or as a mask on a substrate during a germanium etching process. The passivation layer seals the active circuit. The tantalum nitride film has been used as a protective layer or a hard mask during the 艮011 or Dinghe 11 矽 etch because of the lower etch rate compared to ruthenium. Undesirably, the nitrite needs a bismuth deposition temperature and is susceptible to damage during the remainder process. Cerium oxide has an etch rate higher than that of tantalum nitride, so it is only used as a protective/masking layer for very short etching. An ideal candidate for organic polymer based protective coatings. Polymer and coating materials have been used in the IC and MEMS industries for many years as photoresists, anti-reflective coatings, and flat layers. The materials are conveniently coated in a film form by a spin coating process and then baked or UV cured to achieve the final coating form. Disadvantageously, non-patterned, hard baked photoresists are easily etched in an alkaline solution. Polymethyl methacrylate was also evaluated as an etch mask for KOH, however, due to the saponification reaction of the ester group, the masking time of this polymer was found to be sharply reduced from 165 minutes at (10) to 15 minutes at rCT (15 minutes). Black wax (Apiez〇n® w, available from Scientific Instrument Services, Inc., New Jersey) was also used as a protective coating in the 3 wt% κ〇Η silver engraving process (70 ° C). 136561.doc 200934865 After engraving, the wax is removed using the well-known carcinogen triethylene glycol. A spin-on protective coating comprising an organic polymer has been proposed and some protective coatings have been found to be largely associated with known nitrogen. The same manner of chemically protecting the tantalum material effectively protects the tantalum material from the alkaline wet etching composition. However, there is still a problem in the removal of the protective coating such as Hi. The removal process preferably does not damage such as metal and tantalum. A single step process for the underlying layer. In addition, the removal process - preferably adheres to worldwide environmental standards and has a low cost of ownership (C00). 最佳 Optimally, the removal process includes enabling the user to process the microelectronic device in batches. Sa is a circular wet etching composition that does not substantially deposit/precipitate the removed material on the surface of the device wafer. Therefore, a significant advancement in the art is to provide microelectronics having a polymeric protective coating from above in a single step. An improved liquid composition for removing the coating material from the surface of the device wafer. SUMMARY OF THE INVENTION The present invention generally relates to the removal of the polymeric protective coating from the surface of a microelectronic device having a polymeric protective coating thereon. Liquid composition. The liquid removal composition comprises, consists of, or consists essentially of at least one organic solvent and at least one etchant source. In one aspect, the invention relates to a A removal composition comprising at least one organic solvent and at least one etchant source, wherein the removal composition is adapted to remove the material from a microelectronic device having a polymeric material thereon. In another aspect, the invention A removal composition consisting of two organic solvents and at least one etchant source, wherein the removal composition is suitable for having a polymeric material from above The material is removed from the microelectronic device. 136561.doc 200934865 In another aspect, the present invention is directed to a removal composition consisting of two organic solvents, a source of rice cooker, and a residue of polymeric material. Wherein the removal composition is adapted to remove the material from a microelectronic device having a polymeric material thereon. A further aspect of the invention relates to a method of removing the material from a microelectronic device having a polymeric material thereon, The method includes contacting the microelectronic device with the removal composition for a time sufficient to at least partially remove the material from the microelectronic device, sufficient to remove the material from the microelectronic device until the device is removed. The material includes at least one organic solvent and at least one etchant source. Another aspect of the invention relates to a removal composition consisting of a diethylene glycol monoalkyl ether, a cyclobutane, and an alkanolamine:HF complex, wherein the alkyl group is a fluorene-C6 group, and Wherein the removal composition is adapted to remove the material from a microelectronic device having a polymeric material thereon. Other aspects, features, and advantages of the present invention will be more fully apparent from the appended claims. [Embodiment] The present invention generally relates to liquid removal compositions for removing such materials from microelectronic devices having polymeric materials such as spin-on protective coatings thereon. For ease of reference, "Microelectronics" corresponds to semiconductor substrates, memory devices, flat panel displays, solar cells and photovoltaic cells, and MEMS manufactured for use in microelectronics, integrated circuits and computer wafer applications. (MEMS). It should be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly 136561.doc 200934865. • As used herein, "about" Corresponding to ± 5% of the value. As used herein, "suitable" for removing the materials from the microelectronic device having the polymeric material thereon corresponds to at least partial removal of the materials from the microelectronic device. Preferably, at least 85% of the material to be removed is removed from the microelectronic device using the composition of the invention, more preferably at least 9%, even more preferably at least 95%, and optimally at least 99% Remove material. As defined herein, substantially no " corresponds to less than about 2% by weight, more preferably less than 1 weight, based on the total weight of the composition. /. And preferably less than (M% by weight of the composition. As described more fully below, the compositions of the present invention may be included in a variety of specific formulations. In all such compositions, where the particular component of the composition is Reference is made to the range of weight percentages including the lower limit of zero, it being understood that the components may or may not be present in various specific embodiments of the composition, and in the presence of such φ group injuries, they may be employed The total weight of the composition is present at a concentration as low as 0.001 wt/〇. In one aspect, the invention relates to liquid migration suitable for selective removal of polymeric materials (eg, spin-on protective coatings) from semiconductor devices. In addition to compositions. Recently, effective spin-on protective coatings have been developed to protect the underlying layer from alkaline etching compositions and/or to clean sealed active circuits, for example, as claimed by chenghong Li et al., June 30, 2006. U.S. Patent Application Serial No. 11/428,123, entitled ''Spin-On Protective Coatings for Wet-Etch Processing of Microelectronic Substrates" and to xing_Fu Zh〇ng et al. 136,561. U.S. Patent Application Serial No. 11/470,520, filed on Sep. 6, 2006, entitled, "Negative Photoresist for Silicon KOH Etch Without Silicon Nitride", both incorporated by reference in its entirety. A spin coating protective coating system comprising a surface coated on a microelectronic substrate

底塗層及塗覆於該底塗層上之感光層。底塗層可包括分散 或溶解於溶劑系統中之矽烷。矽烷可係選自由以下各物組 成之群:胺基烷氧基矽烷、胺基烷基烷氧基矽烷、苯胺基 烷基烷氧基矽烷、苯基矽烷、二苯基矽烷、、[义苯胺基] 丙基三甲氧基矽烷、N-[3-三曱氧基矽烷基]丙基乙二胺及 其組合。更特定言之,矽烷可包括選自由以下各物組成之 群的物質:胺基丙基三甲氧基矽烷、胺基丙基三乙氧基矽 院、N-苯胺基丙基三甲氧基石夕统、N_苯胺基丙基三乙氧基 夕烷3縮水甘油氧基丙基三甲氧基矽烷、2_(3,4_環氧環 己基)乙基二甲氧基矽烷、3_疏基丙基-三甲氧基矽烷、苯 基:甲氧基矽烷、苯基三氣矽烷、苯基三乙氧基矽烷、苯 基三乙醯氧基残、二苯基二曱氧基㈣、二苯基二氣石夕 ,、二苯基錢二醇2·苯基乙基三燒氧基我、對/間-氣 :基二甲氧基矽烷、對/間-溴苯基三甲氧基矽烷、(對/間_ =)二基三甲氧基發院、2術甲氧基)苯基乙基三 曱軋基矽烷、2-(對/間_氣甲某 34-m_ 1甲基)本基乙基三甲氧基矽烷、 3,4-一氣本基三氣矽烷、3_ 胗其彳石且一 本氧基丙基二氣矽烷、3-(N-苯 胺基)丙基二甲氧基錢、 烷、3-[N-苯胺基〗丙其= 土 土)乙基二乙氧基矽 土二甲氧基石夕燒、Ν_[3·三甲氧基石夕烷 136561.doc •10- 200934865 基]丙基乙二胺及其組合。塗覆底塗層以便使矽烷分子凝 結成微電子基板上之連續薄膜。感光層係由分散或溶解於 溶劑系統及光酸產生劑(PAG)中之聚合物形成,其中該聚 合物包含選自由以下各物組成之群的三聚物:苯乙烯聚合 物'丙烯腈單體及包含與胺反應之官能基的單體。包含與 胺反應之官能基的單體包括包含一或多個環氧基之單體, 諸如f基丙烯酸環氧丙酯、丙烯酸環氧丙酯及乙烯基苯甲 酿基環氧丙基醚。塗覆感光層以便感光層聚合物與底塗層 之石夕院的胺或其他反應性基團形成共價鍵。塗覆後,將感 光層曝露於紫外線下,其使PAG產生酸,該酸起始感光層 中聚合物之交聯(較佳經由環氧基)。 在另一態樣中,本發明之液體移除組合物包含以下各 物、由以下各物組成或基本上由以下各物組成:至少一種 I虫刻劑來源及至少一種有機溶劑,其中該組合物適用於自 上面具有聚合塗層材料之微電子裝置上移除該聚合塗層材An undercoat layer and a photosensitive layer coated on the undercoat layer. The undercoat layer may include decane dispersed or dissolved in a solvent system. The decane may be selected from the group consisting of amino alkoxy decane, aminoalkyl alkoxy decane, anilinoalkyl alkoxy decane, phenyl decane, diphenyl decane, [aniline Alkyl] propyltrimethoxydecane, N-[3-trimethoxyoxyalkyl]propylethylenediamine, and combinations thereof. More specifically, the decane may include a substance selected from the group consisting of aminopropyltrimethoxydecane, aminopropyltriethoxy oxime, N-anilinopropyltrimethoxyxanthene , N-anilinopropyl triethoxy oxane 3 glycidoxypropyl trimethoxy decane, 2 - (3, 4-epoxycyclohexyl) ethyl dimethoxy decane, 3 - benzyl propyl -trimethoxydecane, phenyl: methoxy decane, phenyl trioxane, phenyl triethoxy decane, phenyl triethoxy hydrazine, diphenyl decyloxy (tetra), diphenyl bis气石夕,, diphenyl hydroxy diol 2 · phenylethyl trisaloxy I, p / m - gas: dimethoxy decane, p / m - bromophenyl trimethoxy decane, (pair / _ =) dikis trimethoxy ketone, 2 methoxy) phenylethyl tridecyl decane, 2- (p / m _ gas a 34-m-1 methyl) benzyl Trimethoxy decane, 3,4-monopropenyl trioxane, 3_ valerite and one oxypropyl dioxane, 3-(N-anilino)propyldimethoxy money, alkane, 3-[N-aniline propylidene = soil) ethyl diethoxy bismuth dimethoxy Xi burning stone, Ν_ [3 · Xi-trimethoxyphenyl cornerstone alkoxy 136561.doc • 10- 200934865 yl] ethylenediamine, and combinations thereof. The undercoat layer is applied to coagulate the decane molecules into a continuous film on the microelectronic substrate. The photosensitive layer is formed of a polymer dispersed or dissolved in a solvent system and a photoacid generator (PAG), wherein the polymer comprises a terpolymer selected from the group consisting of styrene polymer 'acrylonitrile single And a monomer comprising a functional group reactive with an amine. The monomer containing a functional group reactive with an amine includes a monomer containing one or more epoxy groups such as f-based glycidyl acrylate, glycidyl acrylate, and vinyl benzoyl epoxypropyl ether. The photosensitive layer is coated so that the photosensitive layer polymer forms a covalent bond with the amine or other reactive group of the base coating. After coating, the photosensitive layer is exposed to ultraviolet light which causes the PAG to produce an acid which initiates cross-linking of the polymer in the photosensitive layer (preferably via an epoxy group). In another aspect, the liquid removal composition of the present invention comprises, consists of, or consists essentially of at least one I-spot source and at least one organic solvent, wherein the combination Suitable for removing the polymeric coating material from a microelectronic device having a polymeric coating material thereon

在本發明之廣泛實踐中,本發明之液體移除組合物可包 含以下各物、由以下各物組成或基本上由以下各物組成 ⑴至少一種敍刻劑來源及至少一種有機溶劑;(丨丨)至少— 種氟化物來源及至少一種有機溶劑;(iii)至少—種餘刻劑 來源、至少一種有機溶劑及聚合塗層材料殘餘物;或(iv) 至少一種氟化物來源、至少一種有機溶劑及聚合塗層材料 殘餘物。在各情況下,液體移除組合物較佳實質上無所添 加水。如本文所定義,聚合塗層材料殘餘物可包括上述底 136561.doc -11· 200934865 塗層及/或感光層,其中將聚合塗層材料殘餘物懸浮及/或 溶解於液體移除組合物中。此外,聚合塗層材料殘餘物 (不論溶解及/或懸浮)實質上可為聚合的或單體的,其中單 體包括上述矽烷、丙烯腈單體及包含與胺反應之官能基的 單體中之至少一者。如本文所定義之"所添加水"對應於藉 由本發明之組合物的使用者或生產者所添加之水。所添加 水不對應於混合於一起以形成本發明之組合物之商業化學 製品1ί7通常所發現之水或濕存水。 液體移除組合物包含以組合物之總重量計以下範圍存在 之以下各物、由以下各物組成或基本上由以下各物組成: 至少一種有機溶劑及至少一種蝕刻劑來源:In the broad practice of the present invention, the liquid removal composition of the present invention may comprise, consist of, or consist essentially of (1) at least one source of scenting agent and at least one organic solvent;丨) at least one source of fluoride and at least one organic solvent; (iii) at least one source of residual agent, at least one organic solvent and a residue of polymeric coating material; or (iv) at least one source of fluoride, at least one organic Solvent and polymeric coating material residues. In each case, the liquid removal composition preferably has substantially no added water. As defined herein, the polymeric coating material residue may comprise a coating and/or a photosensitive layer of the above-described bottom 136561.doc -11.200934865, wherein the polymeric coating material residue is suspended and/or dissolved in the liquid removal composition. . In addition, the polymeric coating material residue (whether dissolved and/or suspended) may be substantially polymeric or monomeric, wherein the monomer comprises the above-described decane, acrylonitrile monomer and a monomer comprising a functional group reactive with an amine. At least one of them. "Additional Water" as defined herein corresponds to water added by a user or producer of the composition of the present invention. The added water does not correspond to water or wet water that is commonly found in commercial chemicals that are mixed together to form the compositions of the present invention. The liquid removal composition comprises, consists of, or consists essentially of the following in the range of the total weight of the composition: at least one organic solvent and at least one etchant source:

較佳地’有機溶劑與蝕刻劑之重量百分比在約5:丨至約 15:1之範圍内’較佳約7:1至約13:1且最佳約9:1至約 12.5:1 〇 重要地’全部組合物均自微電子裝置有效移除聚合材料 (例如旋塗聚合保護塗層同時與下伏層(例如金屬及矽) 共存。 預期供使用之餘刻劑包括氟化物,該等氟化物包括(但 不限於).I化氫(Hf)、二敗化氤(xep2)、氟碎酸 (H2SlF6)、氟硼酸、四氟硼酸四丁基銨(TBA-BF4)、氟矽酸 錢((NHASiF6)、六氟磷酸四曱基銨、氟化銨(NH4F)、氟 136561.doc 200934865 化四烷基銨(NR4F)、烷基氟化氫(NRh3F)、二氟化銨 (NH5F2)、氟化風一院基錢(dialkylammonium hydrogen ' fluoride)(NR2H2F)、氟化氫三烧基敍(triaikyiammonium hydrogen flU0ride)(NR3HF)、氟化三氫三烷基銨 (trialkylammonium trihydrogen fluoride)(NR3:3HF)、無水 氟化氫吡啶複合物、無水氟化氫三乙胺複合物、胺:氟化 氫複合物及其組合’其中尺可彼此相同或不同且係選自由 直鏈或支鏈q-C:6烷基(例如,曱基、乙基、丙基、丁基、 戊基、己基)組成之群,且其中胺包括直鏈或支鏈烷 基胺、經取代或未經取代之c6_c⑺芳基胺、甘醇胺、烷醇 胺及胺-N-氧化物,其包括(但不限於):吡啶、2_乙基吡 啶、2-甲氧基吡啶及其衍生物(諸如3•曱氧基吡啶)、2-曱 基比咬比咬衍生·物、二曱基°比咬、〇辰咬、〇辰嗓、三乙 胺、三乙醇胺、乙胺、甲胺、異丁胺、第三丁胺、三丁 胺、二丙胺、三曱胺、二甘醇胺、單乙醇胺、三乙醇胺、 ❹ ⑮基乙基乙醇胺、N_甲基胺基乙醇、胺基乙氧基乙醇、二 曱胺基乙氧基乙醇、二乙醇胺、N-曱基二乙醇胺、1-胺 基-2-丙醇、異丁醇脸、# & 二伸乙基二胺、°比0各、異P惡嗅、 1,2,4 一生一比咬、喷啶、吡嗪、健喚、喹琳、異喹 啉、吲0木、咪唑、N-甲基嗎啉_N_氧化物(NMM〇)、三甲 胺-N-氧化物、二乙胺_N_氧化物…比唆_N_氧化物、n_乙 基嗎淋_N氧化物、N-甲基吼洛咬-N-氧化物、N-乙基啦洛 咬N氧化4勿1·甲基啼唾、二異丙胺、二異丁胺、苯 胺苯胺讨生物及其組合。較佳地,钱刻劑包含說化氮烧 136561.doc •13· m 200934865 醇胺,諸如三乙醇胺:HF。 適用於本發明之組合物的溶劑實質上可為非極性或極性 的。說明性非極性物質包括(但不限於)甲苯、癸烷、己烷 (hexane、hexanes)、纟炫、二,苯、無嗅礦油精(石油 精)、礦油精(加氫處理之重石腦油)、苯氧基_2_丙醇、丙 苯酮(pr〇Pri〇Phenone)、環己烷、全氟],2_二甲基環丁烷、Preferably, the '% by weight of organic solvent and etchant is in the range of from about 5: Torr to about 15: 1', preferably from about 7:1 to about 13:1 and most preferably from about 9:1 to about 12.5:1 〇 Importantly, all compositions are effective in removing polymeric materials from microelectronic devices (eg, spin-on polymeric protective coatings while coexisting with underlying layers (eg, metal and tantalum). The remainder of the intended use includes fluorides, Fluoride includes, but is not limited to, hydrogen peroxide (Hf), bismuth (xep2), fluorocrate (H2SlF6), fluoroboric acid, tetrabutylammonium tetrafluoroborate (TBA-BF4), fluoroantimonic acid Money ((NHASiF6), tetradecylammonium hexafluorophosphate, ammonium fluoride (NH4F), fluorine 136561.doc 200934865 tetraalkylammonium (NR4F), alkyl hydrogen fluoride (NRh3F), ammonium difluoride (NH5F2), Dialkylammonium hydrogen 'fluoride (NR2H2F), triaikyiammonium hydrogen flU0ride (NR3HF), trialkylammonium trihydrogen fluoride (NR3:3HF), Anhydrous hydrogen fluoride pyridine complex, anhydrous hydrogen fluoride triethylamine complex, amine: hydrogen fluoride complex and combinations thereof Wherein the ruths may be the same or different from each other and are selected from the group consisting of linear or branched qC:6 alkyl groups (eg, decyl, ethyl, propyl, butyl, pentyl, hexyl), and wherein the amine includes straight a chain or branched alkylamine, a substituted or unsubstituted c6_c(7) arylamine, a glycolamine, an alkanolamine, and an amine-N-oxide, including but not limited to: pyridine, 2-ethylpyridine , 2-methoxypyridine and its derivatives (such as 3 • decyloxypyridine), 2-indenyl bite ratio bite derivative, diterpene ratio bite, 〇辰 bite, 〇辰嗓, 三乙Amine, triethanolamine, ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, tridecylamine, diglycolamine, monoethanolamine, triethanolamine, hydrazine 15 ethyl ethylethanolamine, N _Methylaminoethanol, Aminoethoxyethanol, Diamyl Ethoxyethanol, Diethanolamine, N-Mercaptodiethanolamine, 1-Amino-2-propanol, Isobutanol Face, # &amp Diethylethylene diamine, ° ratio 0, different P odor, 1,2,4 life, bite, chlorpyrifo, pyrazine, chlorpyrifos, quinoline, isoquinoline, 吲0 wood, imidazole, N-methylmorpholine_N_oxygen Compound (NMM〇), trimethylamine-N-oxide, diethylamine_N_oxide, 唆N_oxide, n-ethyl 淋N_oxide, N-methyl hydrazine bite- N-oxide, N-ethyl lysine, N-oxidation, 4, 1 methylhydrazone, diisopropylamine, diisobutylamine, aniline aniline, and combinations thereof. Preferably, the money engraving agent contains Nitrogen burning 136561.doc •13· m 200934865 Alcoholamine, such as triethanolamine: HF. Solvents suitable for use in the compositions of the present invention may be substantially non-polar or polar. Illustrative non-polar substances include, but are not limited to, toluene, decane, hexane (hexane, hexanes), xenon, di, benzene, odorless mineral spirits (petroleum), mineral spirits (hydrotreated heavy stones) Brain oil), phenoxy-2-propanol, ketone (pr〇Pri〇Phenone), cyclohexane, perfluoro], 2_dimethylcyclobutane,

全氟-1,2-二甲基環己烷及全氟己烷。說明性極性溶劑包括 (但不限於):曱醇、乙醇、!_丙醇、異丙醇、卜丁醇、2· 丁醇、3-甲基-1-丁醇、烯丙醇及高級醇(包括二元醇、三 元醇等)、2,2,3,3,4,4,5,5-八氟-1_ 戊醇、1H,1H,9H_ 全氟小 壬醇、全氟庚酸、1H,1H,7H-十二氟-1·庚醇、全氟戊酸、 111,111,81811_十二氟-1,8-辛二醇、2,2,3,3,4,4,5,5-八氟 1,6-己二醇、5H-全氟戊酸、七氟丁酸正丁酯' 鹵代醇(諸 如3-氣-1,2-丙二醇、3-氣-1-丙硫醇、1_氣_2_丙醇、2-氣_ι_ 丙醇、3-氣-1-丙醇、3-溴-1,2-丙二醇、^溴-孓丙醇、夂 溴-1-丙醇、3-碘-1-丙醇、4-氣-1-丁醇、2-氣乙醇)、四氫 呋喃(THF)、N-甲基吡咯啶酮(nmp)、N-辛基吡咯啶酮、 N-苯基吡咯啶酮、曱酸甲酯、曱酸乙酯、甲酸丙酯、甲酸 丁酯、2-丁酮、3-戊酮、二甲基曱醯胺(Dmf)、二曱亞碾 (DMSO)、甲琉謎、乙硫醇、環丁硬(tetramethylene sulfone、 sulfolane)、二甲颯、二乙颯、雙(2-羥基乙基)砜、曱基環丁 砜、乙基環丁礙、乙醚、乳酸乙酯、乙酸乙酯、乙酸丙 酯、乙酸異丁酯、丁酸曱酯、丁酸乙酯、苯甲酸乙酯、乙 腈、曱基異丁基酮、曱基乙基酮、曱基丙基酮、丙酮、乙 136561.doc -14· 200934865 二醇、丙二醇、兩親媒性物質(例如,二乙二醇單曱基 醚、三乙二醇單甲基醚、二乙二醇單乙基醚、三乙二醇單 乙基醚、乙二醇單丙基醚、乙二醇單丁基醚、二乙二醇單 丁基醚(亦即,丁基卡必醇)、三乙二醇單丁基醚、乙二醇 單己基醚、二乙二醇單己基醚、乙二醇苯基醚、丙二醇曱 醚、二丙二醇甲醚、三丙二醇曱醚、二丙二醇二曱醚、二 丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、 三丙二醇正丙喊、丙二醇正丁鍵、二丙二醇正丁鍵、三丙 二醇正丁醚、丙二醇苯基醚)、二噁烷、丁内酯、碳酸丁 二酯、碳酸乙二酯、碳酸丙二酯及其組合。較佳地,溶劑 包括二乙二醇單丁基醚、環丁砜及其組合。 在各種較佳實施例中,由以下調配物A-F調配本發明之 組合物,其中所有百分比均係以調配物總重量計之重量百 分比: 調配物A : 70重量%二乙二醇單丁基醚、20重量%環丁砜、 10重量%三乙醇胺:HF(具有27重量%水之73%) 調配物B : 80重量°/〇二乙二醇單甲基醚、20重量%三乙醇 胺:HF(73%) 調配物C : 70重量。/〇二乙二醇單甲基醚、20重量%環丁颯、 10重量%三乙醇胺:HF(73%) 調配物D : 80重量%環丁砜、20重量%三乙醇胺:HF(73°/〇) 調配物E : 80重量% NMP、20重量%三乙醇胺:HF(73%) 調配物F : 80重量% DMSO、20重量%三乙醇胺:HF(73%) 在一較佳實施例中,本發明之移除組合物包含以下各 136561.doc -15- 200934865 物、由以下各物組成或基本上由以下各物組成:二乙二醇 單烷基醚、環丁砜及烷醇胺:HF ,其中烷基為C〗_C6基團 (例如,甲基、乙基、丙基、丁基、戊基或己基)且烷醇胺 包含選自由以下各物組成之群的物質:單乙醇胺、三乙醇 胺、胺基乙基乙醇胺、N-曱基胺基乙醇、胺基乙氧基乙 醇、二甲胺基乙氧基乙醇、二乙醇胺、N-甲基二乙醇胺、 1-胺基-2-丙醇及異丁醇胺。在一較佳實施例中,本發明之 移除組合物包含以下各物、由以下各物組成或基本上由以 下各物組成:二乙二醇單丁基醚、環丁砜及三乙醇胺: HF。在又一較佳實施例中,本發明之移除組合物包含以下 各物、由以下各物組成或基本上由以下各物組成:以組合 物之總重量計約60至約80重量%之二乙二醇單丁基醚、約 15至約25重量%之環丁砜及約5至約15重量%之三乙醇胺: HF(其可能具有一定百分比之由於TEA:HF複合物之製備所 存在之水)。在尤其較佳實施例中,本發明之移除組合物 包括以組合物之總重量計約7〇重量%之二乙二醇單丁基 趟、約20重量%之環丁砜及約1 〇重量%之三乙醇胺: HF(73%卜在每一實施例中,組合物可進一步包含聚合塗 層材料殘餘物、由聚合塗層材料殘餘物組成或基本上由聚 合塗層材料殘餘物組成。聚合塗層材料殘餘物(不論溶解 及/或懸浮於組合物中)可包括上述底塗層、感光層或其組 合之至少一種化合物(不論單體或聚合)。 在本發明之另一實施例中,提供可經稀釋用作移除溶液 之濃縮液體移除組合物。濃縮組合物或”濃縮物"便利地允 136561.doc -16 - 200934865 許使用者(例如程序工程師)在使用時稀釋該濃縮物。濃縮 移除組合物之稀釋可在約〇.1:1至約1〇〇〇:1之範圍内其中 在工具上或僅在工具之前將移除組合物與至少一種有機溶 劑稀釋。舉例而言,對於載運至加工而言濃縮物可經調配 包括蝕刻劑及至少一種有機溶劑。在加工時,使用者可用 至少一種有機溶劑或不同有機溶劑稀釋濃縮物。 本發明之液體移除組合物易於藉由簡單添加個別成份且 混合至均勻狀態而調配。此外,液體移除組合物可易於調 配為單封裝調配物,或調配為在使用時或使用之前混合之 多部分調配物,例如多部分調配物之個別部分可在工具或 工具之上游儲存槽中混合。在本發明之廣泛實踐中,個別 成份之濃度在特定多種液體移除組合物中可廣泛地變化, 亦即,更稀或更濃,且應瞭解,本發明之液體移除組合物 可不同地且替代地包含符合本文揭示内容之任何成份組 ο,由符合本文揭示内容之任何成份組合組成,或基本上 由符合本文揭示内容之任何成份組合組成。 因此本發明之另一態樣係關於一種套組,其包括在一 或多個容器中之一或多種適於形成本發明之組合物的組 伤較佳地,套組包括在一或多個容器中之至少一種有機 溶劑及至少一種蝕刻劑以在加工時或使用時立即使用。或 者,套組可包括在一或多個容器中之至少一種有機溶劑及 至少一種蝕刻劑,以在加工時或在使用時與至少一種有機 溶劑結合,其中容器中之該至少一種有機溶劑及在加工時 或使用時添加之該至少一種有機溶劑可彼此相同或不同。 c S1 136561.doc •17· 200934865 套組之容器必須適於儲存及載運該等移除組合物,例如, NOWPak® 容器(Advanced Technology Materials,Inc.,Danbury, Conn.,USA)。一或多個含有移除組合物組份之容器較佳包 括使該或該等容器中之該等組份流體連通以進行摻合及分 配之構件。舉例而言,提及NOWPak®容器,可對該或該 等容器中之襯套外側施加氣體壓力以使得該襯套之至少一 部分内含物經卸載,且由此使得能夠流體連通以供摻合及 分配。或者,可對習知可加壓容器之頂部空間施加氣體壓 力或可使用泵以使得能夠流體連通。另外,該系統較佳包 括用於將經換合移除組合物分配至加工工具之分配口。 較佳使用實質上為化學惰性、不含雜質、可撓性及彈性 之聚合薄膜材料(諸如高密度聚乙烯)來製造該或該等容器 之襯套。在無需共擠出或障壁層且無任何可不利地影響待 安置於該襯套中之組份之純度要求的顏料、UV抑制劑或 加工劑之情況下加工合意之襯套材料。合意襯套材料之清 單包括包含原始(不含添加劑)聚乙烯、原始聚四氟乙烯 (PTFE)、聚丙烯、聚胺基曱酸酯、聚偏二氣乙烯、聚氣乙 烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等之薄膜。該 等襯套材料之較佳厚度在約5密耳(0.005吋)至約30密耳 (0.030吋)之範圍内,例如厚度為20密耳(0.020吋)。Perfluoro-1,2-dimethylcyclohexane and perfluorohexane. Illustrative polar solvents include (but are not limited to): sterols, ethanol, ! _propanol, isopropanol, butanol, 2 · butanol, 3-methyl-1-butanol, allyl alcohol and higher alcohols (including glycols, triols, etc.), 2, 2, 3 ,3,4,4,5,5-octafluoro-1_pentanol, 1H,1H,9H_ perfluoro behenol, perfluoroheptanoic acid, 1H, 1H, 7H-dodecyl-1·heptanol, all Fluoric acid, 111,111,81811_dodecyl-1,8-octanediol, 2,2,3,3,4,4,5,5-octafluoro 1,6-hexanediol, 5H- Perfluoropentanoic acid, n-butyl heptafluorobutyrate, halohydrin (such as 3-gas-1,2-propanediol, 3-gas-1-propanethiol, 1-hydrogen-2-propanol, 2-gas _ι_ propanol, 3-a-1-1-propanol, 3-bromo-1,2-propanediol, bromo-indolyl alcohol, indole bromo-1-propanol, 3-iodo-1-propanol, 4- Gas-1-butanol, 2-gas ethanol), tetrahydrofuran (THF), N-methylpyrrolidone (nmp), N-octylpyrrolidone, N-phenylpyrrolidone, methyl decanoate, Ethyl citrate, propyl formate, butyl formate, 2-butanone, 3-pentanone, dimethyl decylamine (Dmf), diterpene (DMSO), formazan, ethanethiol, ring Tetramethylene sulfone, sulfolane, dimethylhydrazine, diethyl hydrazine, bis(2-hydroxyethyl) sulfone, decyl sulfolane, B Base ring, ethyl ether, ethyl lactate, ethyl acetate, propyl acetate, isobutyl acetate, decyl butyrate, ethyl butyrate, ethyl benzoate, acetonitrile, mercaptoisobutyl ketone, sulfhydryl Ethyl ketone, mercaptopropyl ketone, acetone, B 136561.doc -14· 200934865 diol, propylene glycol, amphiphilic substances (for example, diethylene glycol monodecyl ether, triethylene glycol monomethyl ether , diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (ie, butyl card) Alcohol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol oxime ether, dipropylene glycol methyl ether, tripropylene glycol oxime ether, two Propylene glycol dioxime ether, dipropylene glycol ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol, propylene glycol, n-butylene glycol, n-propylene glycol, n-butylene glycol, n-propanediol n-butyl ether, propylene glycol phenyl ether ), dioxane, butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and combinations thereof. Preferably, the solvent comprises diethylene glycol monobutyl ether, sulfolane, and combinations thereof. In various preferred embodiments, the compositions of the present invention are formulated from the following formulation AF, wherein all percentages are by weight based on the total weight of the formulation: Formulation A: 70% by weight of diethylene glycol monobutyl ether 20% by weight of sulfolane, 10% by weight of triethanolamine: HF (with 73% by weight of 27% by weight) Formulation B: 80% by weight / 〇 diethylene glycol monomethyl ether, 20% by weight of triethanolamine: HF (73 %) Formulation C: 70% by weight. / 〇 diethylene glycol monomethyl ether, 20% by weight of cyclobutyl hydrazine, 10% by weight of triethanolamine: HF (73%) Formulation D: 80% by weight of sulfolane, 20% by weight of triethanolamine: HF (73 ° / 〇 Formulation E: 80% by weight NMP, 20% by weight triethanolamine: HF (73%) Formulation F: 80% by weight DMSO, 20% by weight triethanolamine: HF (73%) In a preferred embodiment, The inventive removal composition comprises, consist of, or consists essentially of: 136561.doc -15-200934865: diethylene glycol monoalkyl ether, sulfolane and alkanolamine: HF, wherein The alkyl group is a C _C6 group (for example, methyl, ethyl, propyl, butyl, pentyl or hexyl) and the alkanolamine comprises a substance selected from the group consisting of monoethanolamine, triethanolamine, Aminoethylethanolamine, N-decylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, 1-amino-2-propanol and Isobutanolamine. In a preferred embodiment, the removal composition of the present invention comprises, consists of, or consists essentially of diethylene glycol monobutyl ether, sulfolane, and triethanolamine: HF. In still another preferred embodiment, the removal composition of the present invention comprises, consists of, or consists essentially of from about 60 to about 80% by weight based on the total weight of the composition. Diethylene glycol monobutyl ether, about 15 to about 25% by weight of sulfolane and about 5 to about 15% by weight of triethanolamine: HF (which may have a certain percentage of water present due to the preparation of the TEA:HF complex) ). In a particularly preferred embodiment, the removal composition of the present invention comprises about 7% by weight, based on the total weight of the composition, of diethylene glycol monobutyl hydrazine, about 20% by weight sulfolane, and about 1% by weight. Triethanolamine: HF (73%) In each of the examples, the composition may further comprise a polymeric coating material residue, consist of or consist essentially of a polymeric coating material residue. The layer material residue (whether dissolved and/or suspended in the composition) may comprise at least one compound (whether monomer or polymerized) of the above primer layer, photosensitive layer or combination thereof. In another embodiment of the invention, Providing a concentrated liquid removal composition that can be diluted for use as a removal solution. Concentrated composition or "concentrate" conveniently allows 136561.doc -16 - 200934865 to allow the user (eg, a program engineer) to dilute the concentration at the time of use The dilution of the concentrated removal composition can range from about 1:1 to about 1 :1, wherein the removal composition is diluted with at least one organic solvent on the tool or just prior to the tool. In terms of The concentrate may be formulated to include an etchant and at least one organic solvent for transport to processing. The user may dilute the concentrate with at least one organic solvent or a different organic solvent during processing. The liquid removal composition of the present invention is readily The individual components are simply added and mixed to a uniform state for formulation. Further, the liquid removal composition can be readily formulated as a single package formulation, or formulated as a multi-part formulation that is mixed during use or prior to use, such as a multi-part formulation. Individual portions may be mixed in an upstream storage tank of the tool or tool. In the broad practice of the invention, the concentration of the individual ingredients may vary widely in a particular plurality of liquid removal compositions, i.e., more dilute or more concentrated, and It will be appreciated that the liquid removal compositions of the present invention may, in addition and in the alternative, comprise any component group consistent with the disclosure herein, consisting of any combination of ingredients consistent with the disclosure herein, or substantially consisting of any ingredients consistent with the disclosure herein. Combination composition. Therefore, another aspect of the invention relates to a kit comprising one or Preferably, one or more of the containers are suitable for forming a composition of the composition of the invention. The kit comprises at least one organic solvent and at least one etchant in one or more containers for immediate processing or use. Or the kit may comprise at least one organic solvent and at least one etchant in one or more containers for combining with at least one organic solvent during processing or during use, wherein the at least one organic solvent in the container And the at least one organic solvent added during processing or at the time of use may be the same or different from each other. c S1 136561.doc • 17· 200934865 The container of the set must be suitable for storing and carrying the removal composition, for example, NOWPak® Container (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers containing the components of the removal composition preferably comprise means for fluidly communicating the components of the or the containers for blending and dispensing. By way of example, referring to a NOWPak® container, gas pressure can be applied to the outside of the liner in the or such container such that at least a portion of the contents of the liner are unloaded and thereby enable fluid communication for blending And distribution. Alternatively, gas pressure may be applied to the headspace of a conventional pressurizable container or a pump may be used to enable fluid communication. Additionally, the system preferably includes a dispensing opening for dispensing the blended removal composition to the processing tool. It is preferred to use a polymeric film material that is substantially chemically inert, free of impurities, flexibility and elasticity, such as high density polyethylene, to make the liner of the container or containers. The desired liner material is processed without the need for coextrusion or barrier layers and without any pigment, UV inhibitor or process agent that can adversely affect the purity requirements of the components to be disposed in the liner. The list of desirable liner materials includes raw (without additives) polyethylene, original polytetrafluoroethylene (PTFE), polypropylene, polyamine phthalate, polyvinylidene gas, polyethylene, polyacetal, A film of polystyrene, polyacrylonitrile, polybutene or the like. The preferred thickness of the liner material ranges from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), for example, 20 mils (0.020 inch).

關於用於本發明之套組的容器,因此以下專利及專利申 請案之揭示内容以其各自全文引用的方式併入本文中:美 國專利第 7,188,644號,標題為"APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPUREWith respect to the containers used in the kits of the present invention, the disclosures of the following patents and patent applications are hereby incorporated by reference in their entirety in their entireties in U.S. Patent No. 7,188,644, entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE

136561.doc 18- 200934865 LIQUIDS";美國專利第 6,698,619號,標題為"RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM";及以 John E.Q· Hughes之名義2007年5月9曰申請之美國專利申請案第 60/916,966 號,標題為"SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"。 當應用於微電子裝置製造操作時,有效使用本發明之液 體移除組合物以自微電子裝置之表面移除聚合保護塗層, 例如旋塗保護塗層。重要地,本發明之液體移除組合物與 亦存在於微電子裝置上之下伏層(例如金屬及矽)共存。較 佳地,液體移除組合物移除至少85%之存在於裝置上之待 移除聚合保護塗層、更佳至少90%、甚至更佳至少95%, 且最佳至少99%之待移除聚合保護塗層自微電子裝置之表 面移除。 因而,在另一態樣中,本發明係關於使用本文所述之液 體移除組合物自微電子裝置移除聚合保護塗層(例如旋塗 保護塗層)之方法。熟習此項技術者應瞭解可以單步驟或 多步驟移除過程使用本文所述之組合物。較佳地,以單步 驟過程移除聚合保護塗層。 易於(例如)在輕輕攪動下於混合容器或清潔容器中藉由 簡單混合成份來調配本發明之液體移除組合物。 在移除應用中,將液體移除組合物以任何合適方式塗佈 於上面具有聚合保護塗層之微電子裝置上,例如藉由將組 合物喷塗於裝置之表面上,藉由浸潰(於大量該組合物中) 136561.doc •19- 200934865136561.doc 18- 200934865 LIQUIDS"; US Patent No. 6,698,619, entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM"; and in the name of John EQ·Hughes, May 9, 2007 U.S. Patent Application Serial No. 60/916,966, entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION". When applied to microelectronic device fabrication operations, the liquid removal compositions of the present invention are effectively utilized to remove polymeric protective coatings, such as spin-on protective coatings, from the surface of the microelectronic device. Importantly, the liquid removal compositions of the present invention coexist with underlying layers (e.g., metal and tantalum) that are also present on the microelectronic device. Preferably, the liquid removal composition removes at least 85% of the polymeric protective coating to be removed present on the device, more preferably at least 90%, even more preferably at least 95%, and optimally at least 99% of the desired to be removed The polymeric protective coating is removed from the surface of the microelectronic device. Thus, in another aspect, the present invention is directed to a method of removing a polymeric protective coating (e.g., a spin-on protective coating) from a microelectronic device using the liquid removal composition described herein. Those skilled in the art will appreciate that the compositions described herein can be used in a single or multiple step removal process. Preferably, the polymeric protective coating is removed in a single step process. It is easy to formulate the liquid removal composition of the present invention by simply mixing the ingredients in a mixing container or a cleaning container, for example, under gentle agitation. In a removal application, the liquid removal composition is applied to the microelectronic device having the polymeric protective coating thereon in any suitable manner, such as by spraying the composition onto the surface of the device by dipping ( In a large number of the compositions) 136561.doc •19- 200934865

包括聚合保護塗層之裝置,藉由使裝置與另一材料(例如 襯塾或纖維吸附劑施料器元件)接觸,該材料使組合物吸 收於其上’藉由使包括聚合保護塗層之裝置與循環組合物 接觸’或藉由使液體移除組合物與微電子裝置上之聚合保 護層接觸之任何其他合適方法、方式或技術。如易於藉由 熟習此項技術者所敎,移除應用可為靜態及/或動離 的。此外,該過程可用於分批或單一晶圓系統。使液體移 除組合物與聚合保護層接觸之後,液體移除組合物進一步 包括可懸浮及/或;;容解於該移除組合物中之上述聚合保護 塗層。 在使用本發明組合物以自上面具有聚合保護塗層之微電 子裝置上移除該聚合保護塗層中,通常在諸如約2〇七至約 1坑、㈣約6(TC至航範圍内之溫度的充足條件下使液 體移除組合物與該裝置接觸約1 min至約60 min之充足時 間,較佳約5 min至40 min,且最佳約1〇⑽至約% 該等接觸時間及溫度為說明性的,且在本發明之廣泛實踐 内’可使用有效於自裝置至少部分移除聚合塗層之任何其 他合適時間及溫度條件。"至少部分移除 鄕之在本文所述之_之前存在於裝置上㈣ 層’更佳至少95%,且最佳至少99〇/〇。 在達成所要清潔作用之後,如可能在本發明組合物之所 給最終使用應用中所需要或有效’可易於自先前所塗佈之 裝置移除(例如沖洗)液體移除組合物。舉例而言,裝置可 甲醇*丙醇、乙二醇、水、水’界面活性劑混合物或 13656 丨.doc -20· 200934865 其組合沖洗。其後,可使用氮氣、離心乾燥循環或蒸氣乾 燥來乾燥裝置。 應瞭解基於本文之揭示内容用於本發明之液體移除組合 物的特疋接觸條件可易於在此項技術之技能内測定,且在 本發明之組合物中成份之特定比例及成份之濃度可廣泛變 化,同時達成微電子裝置表面上之聚合保護塗層的所要移 除。 本發明之又一態樣係關於根據本發明方法製造之經改良 微電子裝置及含有該等微電子裝置之產品。 本發明之又一態樣係關於製造包含微電子裝置之物品的 方法’該方法包含使微電子裝置與液體移除組合物接觸足 以自上面具有聚合保護塗層之微電子裝置上移除該等聚合 保護塗層的時間,且將該微電子裝置併入該物品中,其中 該移除組合物包含以下各物、由以下各物組成或基本上由 以下各物組成:至少一種有機溶劑及至少一種蝕刻劑來 源。液體移除組合物可進一步包含聚合塗層材料、由聚合 塗層材料組成或基本上由聚合塗層材料組成。 本發明之另一態樣係關於一種包含以下各物、由以下各 物組成或基本上由以下各物組成之成品物品:微電子裝置 基板、該基板上所沈積之底塗層及感光層中之至少一者及 包含至少一種有機溶劑及至少一種蝕刻劑來源、由至少一 種有機溶劑及至少一種蝕刻劑來源組成或基本上由至少一 種有機溶劑及至少一種钱刻劑來源組成之液體移除組合 物。在此態樣之一實施例中,液體移除組合物包含以下各 13656I.doc 200934865 物、由以下各物組成或基本上由以下各物組成:二乙二醇 單丁基醚、環丁砜及三乙醇胺:HF。 • 在又一態樣中’本發明係關於一種自上面具有聚合保護 塗層之微電子裝置上移除該聚合保護塗層之方法,該方法 進一步包含使聚合保護塗層移除之後的微電子裝置之表面 與再加工組合物接觸以使該表面具親水性,其中在再加工 組合物存在下自然氧化物生長於該表面上。再加工組合物 包括包含過氧化氫及其他過氧化物之組合物,以及包含氧 ® 氣及臭氧之氣體。 加工之後’本發明之組合物可在製造設備中經進一步加 工以降低廢水流之化學需氧量(c〇D)。舉例而言,含有有 機溶劑與無機生物毒性化合物(諸如氟化物)之調配物可經 以下各物處理:(1)碳,較佳具有小於丨nm寬之微孔的聚 偏一氣乙烯(PVDC)單體碳,其將自組合物"擦洗"有機溶 劑,(2)金屬碳酸鹽,諸如鹼金屬或鹼土金屬碳酸鹽,其可 ❹ 與氟離子反應且中和所存在之任何酸,及/或(3)矽酸鈣, 諸如CasSiOrCkSiCU.xI^O,其可與氟離子反應且中和所 存在之任何酸。該等處理可為連續的或以單步驟混合床方 - 法進行。加工之廢水流應暴露於處理直至c〇d降低至公布 之可接受程度。 藉由以下非限制性實例更全面說明本發明之特徵及優 勢,其中除非另外明確說明,否則所有部分及百分比均以 重量計。 實例1 I36561.doc -22- t S1 200934865 在80°C下,將如本文所述之具有包含矽烷底塗層及感光 - 層.之聚合保s蔓塗層之石夕基板靜態地浸潰於調配物A中歷時 - 3 0分鐘。浸潰之後’將該裝置基板用去離子水沖洗且使用 氮氣乾燥。經乾燥裝置基板之掃描電子顯微照片顯示以單 步驟移除底塗層及感光層,其中可忽略下伏;5夕之坑洞且未 觀察到微粒材料仍保留於表面上。 進行腐蝕量測’藉此在80°C下將包括表層Cu、a卜奶及 Si之晶圓浸潰於調配物A中且使用Tafel曲線以測定該等表 ® 層材料之腐餘速率。測定Cu、A卜Ni及Si之腐蝕速率分別 在以A min·丨計4.59、5.12、7.33及1.63内。此表明調配物 對於微電子裝置之下伏材料的相容性。 儘官本文已參考說明性實施例及特徵不同地揭示本發 明,但應瞭解上文所述之實施例及特徵不意欲限制本發 明,且基於本文揭示之内容一般熟習此項技術者將想出其 他變化、改變及其他實施例。因此廣泛認為本發明涵蓋下 〇 文所闡明之申請專利範圍的精神及範疇内之所有該等變 化、改變及替代實施例。 136561.doc -23-A device comprising a polymeric protective coating by contacting a device with another material, such as a lining or fiber sorbent applicator member, the material absorbing the composition thereon' by including a polymeric protective coating The device is in contact with the circulating composition' or any other suitable method, manner or technique by contacting the liquid removal composition with the polymeric protective layer on the microelectronic device. The removal application can be static and/or detached, as is readily apparent to those skilled in the art. In addition, the process can be used in batch or single wafer systems. After contacting the liquid removal composition with the polymeric protective layer, the liquid removal composition further comprises a suspension of the polymeric protective coating described above which is releasable and/or; The use of the compositions of the present invention to remove the polymeric protective coating from a microelectronic device having a polymeric protective coating thereon, such as in the range of from about 2.77 to about 1 pit, (4) to about 6 (TC to range) The liquid removal composition is contacted with the apparatus for a sufficient period of time from about 1 minute to about 60 minutes under sufficient conditions of temperature, preferably from about 5 minutes to about 40 minutes, and preferably from about 1 to about 10 minutes to about % of the contact time and The temperature is illustrative and any other suitable time and temperature conditions effective to at least partially remove the polymeric coating from the device may be used within the broad practice of the invention. "At least partially removed _Previously present on the device (4) Layer 'better at least 95%, and optimally at least 99 〇/〇. After achieving the desired cleaning effect, as may be required or effective in the end use application of the composition of the invention' The liquid removal composition can be readily removed (e.g., rinsed) from previously applied devices. For example, the device can be methanol*propanol, ethylene glycol, water, water' surfactant mixture or 13656 丨.doc - 20· 200934865 Its combination Thereafter, the apparatus can be dried using nitrogen, a centrifugal drying cycle, or steam drying. It will be appreciated that the specific contact conditions for the liquid removal compositions of the present invention based on the disclosure herein can be readily determined within the skill of the art. And the particular ratio of ingredients and the concentration of the ingredients in the compositions of the present invention can vary widely while achieving the desired removal of the polymeric protective coating on the surface of the microelectronic device. Yet another aspect of the present invention pertains to the present invention. Improved microelectronic devices fabricated by the method and products containing the microelectronic devices. A further aspect of the invention relates to a method of fabricating an article comprising a microelectronic device, the method comprising: removing the microelectronic device from the liquid removal composition Contacting a time sufficient to remove the polymeric protective coating from a microelectronic device having a polymeric protective coating thereon, and incorporating the microelectronic device into the article, wherein the removal composition comprises the following, Each composition consists or consists essentially of at least one organic solvent and at least one etchant source. Liquid removal combination The polymeric coating material may further comprise, consist of, or consist essentially of a polymeric coating material. Another aspect of the invention pertains to a composition comprising, consisting of, or consisting essentially of a finished article comprising: a substrate of a microelectronic device, at least one of an undercoat layer and a photosensitive layer deposited on the substrate, and a source comprising at least one organic solvent and at least one etchant, at least one organic solvent and at least one etch The liquid source composition consists of or consists essentially of at least one organic solvent and at least one source of money engraving. In one embodiment of this aspect, the liquid removal composition comprises the following 13656I.doc 200934865, It consists of or consists essentially of diethylene glycol monobutyl ether, sulfolane and triethanolamine: HF. • In another aspect, the invention relates to a method of removing the polymeric protective coating from a microelectronic device having a polymeric protective coating thereon, the method further comprising microelectronics after removal of the polymeric protective coating The surface of the device is contacted with the rework composition to render the surface hydrophilic, wherein natural oxides are grown on the surface in the presence of the rework composition. The rework composition includes a composition comprising hydrogen peroxide and other peroxides, and a gas comprising oxyhydrogen gas and ozone. After processing, the composition of the present invention can be further processed in a manufacturing facility to reduce the chemical oxygen demand (c〇D) of the wastewater stream. For example, a formulation containing an organic solvent and an inorganic biotoxic compound such as fluoride can be treated by: (1) carbon, preferably polyvinylidene gas (PVDC) having a pore size less than 丨 nm wide. Monomer carbon, which will be derived from the composition "scouring" organic solvent, (2) a metal carbonate such as an alkali metal or alkaline earth metal carbonate which reacts with fluoride ions and neutralizes any acid present, and / or (3) Calcium citrate, such as CasSiOrCkSiCU.xI^O, which reacts with fluoride ions and neutralizes any acid present. These treatments can be carried out continuously or in a single-step mixed bed process. The treated wastewater stream should be exposed to treatment until c〇d is reduced to an acceptable level for publication. The features and advantages of the present invention are more fully described by the following non-limiting examples in which all parts and percentages are by weight unless otherwise indicated. Example 1 I36561.doc -22- t S1 200934865 The core substrate having a polymeric smear coating comprising a decane undercoat layer and a sensitized layer was statically impregnated at 80 ° C as described herein. Formulation A Duration - 30 minutes. After the impregnation, the device substrate was rinsed with deionized water and dried with nitrogen. Scanning electron micrographs of the substrate of the dryer showed that the undercoat layer and the photosensitive layer were removed in a single step, in which the undergrowth was negligible; the pits were not observed and the particulate material remained on the surface. Corrosion measurements were performed to thereby immerse the wafer including the surface layer Cu, a milk, and Si in the formulation A at 80 ° C and use a Tafel curve to determine the rot rate of the surface layer materials. The corrosion rates of Cu, A, Ni, and Si were measured within 4.59, 5.12, 7.33, and 1.63, respectively, in terms of A min·丨. This indicates the compatibility of the formulation with the underlying material of the microelectronic device. The present invention has been disclosed herein with reference to illustrative embodiments and features, but it is understood that the embodiments and features described above are not intended to limit the invention, and that those skilled in the art will Other variations, changes, and other embodiments. Therefore, it is intended that the present invention cover the modifications and modifications of the embodiments of the invention. 136561.doc -23-

Claims (1)

200934865 ,, 十、申請專利範園: • κ _種移i組合物’彡包含至少一種有機;容劑及至少—種 • ㈣劑來源’其中該移除組合物適於自上面具有聚合材 料之微電子裝置上移除該材料。 2. 如請求項1之移除組合物,其中該至少一種蝕刻劑包含 氟化物來源 3. 如請求項2之移除組合物,其中至少一種氟化物來源包 含選自由以下各物組成之群的物質:氟化氫、氟梦酸 ❹ (H2SiF6)、氟硼酸、四氟硼酸四丁基敍(TBA-BF4)、氟石夕 酸銨((NHJjjSiF6)、六氟磷酸四甲基銨、氟化銨、氟化四 烷基銨、烷基氟化氫、二氟化氫銨(NH5F2)、氟化氫二院 基敍、氟化氫三烧基錄、氣化三氫三院基敍、π比咬_hf 複合物、二甲基0比咬-HF複合物、2-乙基》比咬-HF複合 物、2-曱氧基0比咬-HF複合物、2-甲基n比咬-HF複合物、 σ比啶衍生物-HF複合物、哌啶-HF複合物、哌嗪-HF複合 物、三乙胺-HF複合物、三乙醇胺-HF複合物、PMDETA-HF 〇 複合物、二甘醇胺-HF複合物、單乙醇胺-HF複合物、吡 咯-HF複合物、異噁唑-HF複合物、1,2,4-三唑-HF複合 -物、二《比咬-HF複合物、嘴咬-HF複合物、吼°秦-HF複合 物、建唤-HF複合物、啥琳-HF複合物、異啥琳-HF複合 物、吲哚-HF複合物、咪唑-HF複合物、乙胺-HF複合 物、甲胺-HF複合物、異丁胺-HF複合物、第三丁胺-HF 複合物、三丁胺-HF複合物、二丙胺-HF複合物、二甲 胺-HF複合物、1 -曱基咪唑-HF複合物、二異丙胺-HF複 136561.doc 200934865 合物、二異丁胺_HF複合物、苯胺_HF複合物、苯胺衍生 物-HF複合物、N_曱基嗎啉·Ν_氧化物(Nmm〇)-HF複合 物、三甲胺-N-氧化物-HF複合物、三乙胺-N-氧化物-HF 複合物、吡啶氧化物-HF複合物、N-乙基嗎啉-N-氧 化物-HF複合物、N_曱基吡咯啶_N•氧化物·111?複合物、 N-乙基吼咯啶_N_氧化物_HF複合物、二氟化氙(XeF2)及 其組合。 ❹200934865,, X. Patent application: • κ _ 移 移 i composition '彡 contains at least one organic; a container and at least one species (four) agent source 'where the removal composition is suitable for having a polymeric material from above The material is removed from the microelectronic device. 2. The removal composition of claim 1, wherein the at least one etchant comprises a fluoride source. 3. The removal composition of claim 2, wherein the at least one fluoride source comprises a group selected from the group consisting of: Substance: hydrogen fluoride, fluocenoate (H2SiF6), fluoroboric acid, tetrabutylborate tetrafluoroborate (TBA-BF4), ammonium fluorite (NHJjjSiF6), tetramethylammonium hexafluorophosphate, ammonium fluoride, Tetraalkylammonium fluoride, alkyl hydrogen fluoride, ammonium hydrogen difluoride (NH5F2), hydrogen fluoride, second courtyard, hydrogen fluoride, three-burning base, gasification, trihydrogenation, π-bite _hf complex, dimethyl 0 ratio bite-HF complex, 2-ethyl group than bite-HF complex, 2-decyloxy 0-bite-HF complex, 2-methyl n-bite-HF complex, σ-pyridine derivative -HF complex, piperidine-HF complex, piperazine-HF complex, triethylamine-HF complex, triethanolamine-HF complex, PMDETA-HF ruthenium complex, diglycolamine-HF complex, Monoethanolamine-HF complex, pyrrole-HF complex, isoxazole-HF complex, 1,2,4-triazole-HF complex, two "bite-HF complex, mouth bite-HF complex , 吼°Q-HF complex, Jian-HF complex, 啥-HF complex, iso- lin-HF complex, 吲哚-HF complex, imidazole-HF complex, ethylamine-HF complex , methylamine-HF complex, isobutylamine-HF complex, third butylamine-HF complex, tributylamine-HF complex, dipropylamine-HF complex, dimethylamine-HF complex, 1 - Mercapto imidazole-HF complex, diisopropylamine-HF complex 136561.doc 200934865 compound, diisobutylamine_HF complex, aniline-HF complex, aniline derivative-HF complex, N_mercaptomorpholine ·Ν_Oxide (Nmm〇)-HF composite, trimethylamine-N-oxide-HF composite, triethylamine-N-oxide-HF composite, pyridine oxide-HF composite, N-B Mymorpholine-N-oxide-HF complex, N_mercaptopyrrolidine_N•oxide·111? complex, N-ethylpyrrolidine_N_oxide_HF complex, difluorination氙 (XeF2) and its combination. ❹ 4.如請求項2之移除組合物,其中該氟化物來源包含三乙 醇胺:HF複合物。 5.如請求項1之移除組合物,其中該至少一種有機溶劑包 含選自由以下各物組成之群的物質:經取代脂族燒烴、 未經取代之脂族烷烴、經取代環狀烷烴、未經取代之環 狀烧烴、芳族化合物、醇、二元醇、三元醇、函代醇、 乙二醇謎、碳酸酿、醯胺、„比嘻咬酮、曱酸酯、乙酸 酯、酮、乙二醇及其組合。 6.如請求項1-4中任一項之移除組合物,其中該至少一種有 機溶劑包含選自由以下各物組成之群的物質:甲苯、々 烷、己烷(hexane、hexanes)、辛烷、二曱苯、無嗅礦由 精(石油精)、礦油精(加氫處理之重石腦油)、苯氧美2 丙醇、丙苯酮、環己烷、全氟_1,2_二甲基環丁烷、 1,2-二甲基環己院、全氟己院、甲醇、乙醇、 A "'内醇、 異丙醇、1-丁醇、2-丁醇、3-曱基-丨_丁醇、烯丙醇、戊 醇、二元醇、三元醇、之又^^/义卜八氟-丨-戊醇、、 1H,1H,9H-全氟-1-壬醇、全氟庚酸、1h,1h,7h T二氟- 136561.doc -2- 200934865 1- 庚醇、全氟戊酸、111,111,811,811-十二氟-1,8-辛二醇、 2,2,3,3,4,4,5,5-八氟-1,6-己二醇、5H-全氟戊酸、七氟丁 酸正丁酯、3-氯-1,2-丙二醇、3-氣-1-丙硫醇、1-氯-2-丙 醇、2-氣-1-丙醇、3-氣-1-丙醇、3-溴-1,2-丙二醇、1-溴- 2- 丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氣-1-丁醇、2-氣 乙醇、四氫呋喃(THF)、N-曱基吡咯啶酮(NMP)、N-辛基 吡略啶酮、N-苯基吡咯啶酮、甲酸甲酯、曱酸乙酯、甲 酸丙酯、甲酸丁酯、2-丁酮、3-戊酮、二甲基甲醯胺 (DMF)、二甲亞;1¾ (DMSO)、甲硫醚、乙硫醇、環丁颯 (tetramethylene sulfone、sulfolane)、二甲石風、二乙石風、 雙(2-羥基乙基)砜、曱基環丁颯、乙基環丁砜、乙醚、 乳酸乙S旨、乙酸乙酯、乙酸丙醋、乙酸異丁醋、丁酸甲 酯、丁酸乙酯、苯甲酸乙酯、乙腈、甲基異丁基酮、曱 基乙基酮、甲基丙基_、丙嗣、乙二醇、丙二醇、二乙 二醇單甲基醚、三乙二醇單甲基醚、二乙二醇單乙基 醚、三乙二醇單乙基醚、乙二醇單丙基醚、乙二醇單丁 基醚、二乙二醇單丁基醚、三乙二醇單丁基醚、乙二醇 單己基醚、二乙二醇單己基醚、乙二醇苯基醚、丙二醇 甲醚、二丙二醇甲醚、三丙二醇曱醚、二丙二醇二甲 喊、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚 (DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇 正丁醚、三丙二醇正丁醚、丙二醇苯基醚、二喔院、丁 内酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、乙酸、 三氟乙酸及其組合。 136561 .doc 200934865 項1 4中任項之移除組合物’其中該有機溶劑包 3—乙二醇單甲基醚及環丁砜。 .8. #请求項卜4中任一項之移除組合物,其中該組合物實質 上無所添加水。 9.如π求項i之移除組合物,其包含二乙二醇單甲基喊、 環丁碾及三乙醇胺:HF複合物。 10·如明求項1之移除組合物,其進—步包含聚合材料殘餘 物。 11. 如明求項1之移除組合物,其中該聚合材料殘餘物包含 選自h下各物組成之群的物質:絲院氧基石夕烷、胺 基烷基烷氧基矽烷、苯胺基烷基烷氧基矽烷、苯基矽 烧、二苯基石夕烧、3-[N-苯胺基]丙基三甲氧基石夕燒、n_ 二甲氧基石夕炫基]丙基乙二胺、苯乙晞聚合物、丙烯 腈單體、包含與胺反應之官能基的單體及其組合。 12. -種移除組合物,其由兩種有機溶劑及至少—種餘刻劑 ❹ 來源組成’其中該移除組合物適於自上面具有聚合材料 之微電子裝置上移除該材料。 ’ 13. 如請求項12之移除組合物,其中該組合物實質上無所添 加水。 14. 如凊求項12之移除組合物,其由二乙二醇單甲美喊環 丁砜及三乙醇胺:HF複合物組成。 15. —種移除組合物,其由兩種有機溶劑、至 / 楂敍刻劑 來源及聚合材料殘餘物組成,其中該移除組合物適於自 上面具有聚合材料之微電子裝置上移除該材料。 136561.doc 200934865 16. 如請求項15之移除組合物,其中該組合物實質上無所添 加水。 17. 如請求項15之移除組合物,其由二乙二醇單甲基喊、環 丁石風、二乙醇胺:HF複合物及聚合材料殘餘物組成。 18. 如請求項15-17中任一項之移除組合物,其中該聚合材料 殘餘物包含選自由以下各物組成之群的物質:胺基烷氧 基石夕燒、胺基烷基烷氧基矽烷、苯胺基烷基烷氧基石夕 ❹ ❹ 烷、笨基矽烷、二苯基矽烷、3_[1^苯胺基]丙基三甲氧 基矽烷、N-[3-三曱氧基矽烷基]丙基乙二胺、苯乙烯聚 合物、丙烯腈單體、包含與胺反應之官能基的單體及其 組合。 19. 一種自上面具有聚合材料之微電子裝置上移除該材料之 方法,該方法包含在足以自該微電子裝置至少部分移除 該材料之條件下使該冑電子裝置與移除組合物接觸足以 自該微電子裝置至少部分移除該材料的時間,其中該移 除組合物包括至少一種有機溶劑及至少一種蝕刻劑來 20. 以下組成之 約20°c至約 如請求項19之方法,其中該接觸包含選自由 群的條件:約1分鐘至約6〇分鐘之時間; 150C範圍内之溫度;及其組合。 其中該蝕刻劑來源包含至少一種氟 21.如請求項19之方法 化物來源。 22.如請求項21之方法, 自由以下各物組成 其中該至少一種氟化物來源包含選 之群的物質:氟化氫、氟石夕酸 136561.doc 200934865 (H2SiF6)、氟硼酸、四氟硼酸四丁基銨(TBA-BF4)、氟矽 酸銨((NH4)2SiF6)、六氟磷酸四甲基銨、氟化銨、氟化 四烷基銨、烷基氟化氫、二氟化氫銨(NH5F2)、氟化氫二 烧基敍、氟化氫三烧基錢、氟化三氫三烧基敍、咕咬_ HF複合物、二甲基吡啶-HF複合物、2-乙基吡啶-HF複合 物、2-曱氧基吡啶-HF複合物、2-甲基吡啶-HF複合物、 β比咬衍生物-HF複合物、派咬-HF複合物、派嗓-HF複合 物、三乙胺-HF複合物、三乙醇胺-HF複合物、PMDETA-HF 複合物、二甘醇胺-HF複合物、單乙醇胺-HF複合物、吡 咯-HF複合物、異噁唑-HF複合物、1,2,4-三唑-HF複合 物、二α比咬-HF複合物、嘴咬-HF複合物、°比嗓-HF複合 物、健嗪-HF複合物、啥琳-HF複合物、異喹淋-HF複合 物、吲哚-HF複合物、咪唑-HF複合物、乙胺-HF複合 物、曱胺-HF複合物、異丁胺-HF複合物、第三丁胺-HF 複合物、三丁胺-HF複合物、二丙胺-HF複合物、二曱 胺-HF複合物、1-甲基咪唑-HF複合物、二異丙胺-HF複 合物、二異丁胺-HF複合物、苯胺-HF複合物、苯胺衍生 物-HF複合物、Ν-甲基嗎啉-Ν-氧化物(NMMO)-HF複合 物、三曱胺-N-氧化物-HF複合物、三乙胺-N-氧化物-HF 複合物、吡啶-N-氧化物-HF複合物、N-乙基嗎啉-N-氧 化物-HF複合物、N-曱基吡咯啶-N-氧化物-HF複合物、 N-乙基吡咯啶-N-氧化物-HF複合物、二氟化氙(XeF2)及 其組合;及 其中該至少一種有機溶劑包含選自由以下各物組成之 136561.doc 200934865 群的物質:醇、二元醇、三元醇、乙二醇醚、碳酸醋、 醢胺、吡咯啶酮、甲酸酯、乙酸酯、酮、乙二醇及其組 合0 23. 如請求項19之方法,其進一步包含用沖洗組合物沖洗該 微電子裝置。 24. 如請求項23之方法,其中該沖洗組合物包含水。 • 25.如請求項23之方法,其進一步包含在與該沖洗組合物接 觸之後乾燥該微電子裝置。 ❹ 26.如請求項23之方法,其中該移除組合物進一步包含聚合 材料殘餘物。 27.如請求項26之方法,其中該聚合材料殘餘物包含選自由 以下各物組成之群的物質··胺基烷氧基矽烷、胺基烷基 烷氧基矽烷、苯胺基烷基烷氧基矽烷、苯基矽烷、二苯 基矽烷、3-[Ν-苯胺基]丙基三甲氧基矽烷、Ν·[3_三甲氧 基矽烷基]丙基乙二胺、苯乙烯聚合物、丙烯腈單體、包 含與胺反應之官能基的單體及其組合。 28· -種移除組合物,其係由二乙二醇單院基㈣、規化合物 及烷醇胺:HF複合物組成,其中該烷基為。丨·。基團, 且其中該移除組合物適於自上面具有聚合材料之謝 裝置上移除該材料。 认如請求項28之移除組合物,其中該㈣胺包含選自由 下各物組成之群的物質:單乙醇胺、三乙醇胺、、胺基 基乙醇胺、Ν-甲胺基乙艘 丞乙醇、胺基乙氧基乙醇、二甲胺 乙氧基乙醇、二乙醇胺、 Τ基一乙醇胺、丨_胺基·2_ 136561.doc 200934865 ‘ 醇及異丁醇胺。 • 3〇.如請求項28或29之移除組合物,其中該硬化合物包含選 • 自由以下各物組成之群的物質:環丁颯、二甲減、二乙 砜、雙(2-羥基乙基)颯、甲基環丁颯、乙基環丁颯及其 組合。 - 3 1.如請求項28之移除組合物,其中以該組合物之總重量計 該二乙二醇單烷基醚之量在約60重量%至約80重量❶/〇之 範圍内,該砜化合物之量在約15重量%至約25重量%之 ® 範圍内,且該烷醇胺:HF複合物之量在約5重量°/。直約 15重量%之範圍内。 I36561.doc 200934865 七、指定代表圖: r (一)本案指定代表圖為:(無) (二)本代表圖之元件符號簡單說明: 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式: (無)4. The removal composition of claim 2, wherein the fluoride source comprises a triethanolamine:HF complex. 5. The removal composition of claim 1, wherein the at least one organic solvent comprises a material selected from the group consisting of substituted aliphatic hydrocarbons, unsubstituted aliphatic alkanes, substituted cyclic alkanes Unsubstituted cyclic hydrocarbons, aromatics, alcohols, glycols, triols, functional alcohols, ethylene glycol mysteries, carbonic acid, decylamine, „bitenone, phthalate, B 6. The removal composition of any one of claims 1 to 4, wherein the at least one organic solvent comprises a substance selected from the group consisting of toluene, Phthalate, hexane (hexane, hexanes), octane, diphenylbenzene, odorless ore from fine (petroleum), mineral spirits (hydrotreated naphtha), phenoxy 2 propanol, propylbenzene Ketone, cyclohexane, perfluoro-1,2-dimethylcyclobutane, 1,2-dimethylcyclohexan, perfluoroadol, methanol, ethanol, A " internal alcohol, isopropanol , 1-butanol, 2-butanol, 3-mercapto-indolyl butanol, allyl alcohol, pentanol, glycol, triol, and ^^/yibu octafluoro-indole-pentanol ,, 1H, 1H, 9 H-perfluoro-1-nonanol, perfluoroheptanoic acid, 1 h, 1 h, 7 h T difluoro-136561.doc -2- 200934865 1-heptanol, perfluoropentanoic acid, 111,111,811,811-ten Difluoro-1,8-octanediol, 2,2,3,3,4,4,5,5-octafluoro-1,6-hexanediol, 5H-perfluoropentanoic acid, heptafluorobutyric acid Butyl ester, 3-chloro-1,2-propanediol, 3-gas-1-propanethiol, 1-chloro-2-propanol, 2-a-1-1-propanol, 3-a-1-1-propanol, 3-bromo-1,2-propanediol, 1-bromo-2-propanol, 3-bromo-1-propanol, 3-iodo-1-propanol, 4-ox-1-butanol, 2-gas ethanol , tetrahydrofuran (THF), N-decylpyrrolidone (NMP), N-octylpyrrolidone, N-phenylpyrrolidone, methyl formate, ethyl decanoate, propyl formate, butyl formate , 2-butanone, 3-pentanone, dimethylformamide (DMF), dimethylene; 13⁄4 (DMSO), methyl sulfide, ethanethiol, tetramethylene sulfone, sulfolane, dimethyl Stone wind, diethylene stone, bis(2-hydroxyethyl) sulfone, decyl cyclobutyl hydrazine, ethyl sulfolane, diethyl ether, lactic acid ethyl acetate, ethyl acetate, propylene acetate, isobutyl acetonate, butyric acid Methyl ester, ethyl butyrate, ethyl benzoate, acetonitrile, methyl isobutyl Ketone, mercaptoethyl ketone, methyl propyl ketone, propylene glycol, ethylene glycol, propylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, Triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, Diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol oxime ether, dipropylene glycol dimethyl sulfonate, dipropylene glycol diethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether ( DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, diterpene, butyrolactone, butylene carbonate, ethylene carbonate, carbonic acid Propylene diester, acetic acid, trifluoroacetic acid, and combinations thereof. 136561.doc 200934865 The removal composition of any of the items 1 to 4 wherein the organic solvent comprises 3-ethylene glycol monomethyl ether and sulfolane. The removal composition of any of claims 4, wherein the composition is substantially free of added water. 9. A composition for removal according to π, i, comprising diethylene glycol monomethyl shim, cyclobutyl milling and triethanolamine: HF complex. 10. The removal composition of claim 1, further comprising a polymeric material residue. 11. The removal composition of claim 1, wherein the polymeric material residue comprises a material selected from the group consisting of: a silk alkaloid, an aminoalkyl alkoxy decane, an anilino group Alkyl alkoxy decane, phenyl oxime, diphenyl sinter, 3-[N-anilino]propyltrimethoxy sulphide, n-dimethoxyxanthyl] propylethylenediamine, benzene An acetamyl polymer, an acrylonitrile monomer, a monomer comprising a functional group reactive with an amine, and combinations thereof. 12. A removal composition comprising two organic solvents and at least one residual agent ’ source' wherein the removal composition is adapted to remove the material from a microelectronic device having a polymeric material thereon. 13. The removal composition of claim 12, wherein the composition is substantially free of added water. 14. The removal composition of claim 12 which consists of diethylene glycol monomethoate and triethanolamine:HF complex. 15. A removal composition comprising two organic solvents, a source to a sputum smear agent, and a residue of a polymeric material, wherein the removal composition is adapted to be removed from a microelectronic device having a polymeric material thereon The material. 136561.doc 200934865 16. The removal composition of claim 15 wherein the composition is substantially free of added water. 17. The removal composition of claim 15 which consists of diethylene glycol monomethyl shim, cyclobinder wind, diethanolamine:HF complex and polymeric material residue. 18. The removal composition of any one of claims 15-17, wherein the polymeric material residue comprises a material selected from the group consisting of: an amino alkoxylate, an amine alkyl alkoxy Base decane, anilinoalkyl alkoxy fluorene, phenyl decane, diphenyl decane, 3-[1^anilino]propyltrimethoxy decane, N-[3-trimethoxyoxyalkylene] Propyl ethylenediamine, a styrene polymer, an acrylonitrile monomer, a monomer comprising a functional group reactive with an amine, and combinations thereof. 19. A method of removing a material from a microelectronic device having a polymeric material thereon, the method comprising contacting the germanium electronic device with a removal composition under conditions sufficient to at least partially remove the material from the microelectronic device a time sufficient to at least partially remove the material from the microelectronic device, wherein the removal composition comprises at least one organic solvent and at least one etchant. 20. The composition of about 20 ° C to about the method of claim 19, Wherein the contact comprises a condition selected from the group consisting of: a time of from about 1 minute to about 6 minutes; a temperature in the range of 150 C; and combinations thereof. Wherein the source of etchant comprises at least one fluorine. 21. The source of the method of claim 19. 22. The method of claim 21, wherein the following components are comprised of the at least one fluoride source comprising a selected group of: hydrogen fluoride, fluorite 136561.doc 200934865 (H2SiF6), fluoroboric acid, tetrafluoroborate tetrabutylate Ammonium (TBA-BF4), ammonium fluoroantimonate ((NH4)2SiF6), tetramethylammonium hexafluorophosphate, ammonium fluoride, tetraalkylammonium fluoride, alkyl hydrogen fluoride, ammonium hydrogen difluoride (NH5F2), hydrogen fluoride Dialkyl sulphide, hydrogen trifluoride, fluorinated trihydrogen tricarbide, bite _ HF complex, lutidine-HF complex, 2-ethylpyridine-HF complex, 2-oxo Pyridine-HF complex, 2-methylpyridine-HF complex, β-bite derivative-HF complex, piet-HF complex, Pyrene-HF complex, triethylamine-HF complex, III Ethanolamine-HF complex, PMDETA-HF complex, diglycolamine-HF complex, monoethanolamine-HF complex, pyrrole-HF complex, isoxazole-HF complex, 1,2,4-triazole -HF complex, two alpha ratio bite-HF complex, mouth bite-HF complex, ° 嗓-HF complex, chlorazine-HF complex, 啥琳-HF complex, isoquino-HF complex吲哚-HF complex, imidazole-HF complex, ethylamine-HF complex, guanamine-HF complex, isobutylamine-HF complex, third butylamine-HF complex, tributylamine-HF complex , dipropylamine-HF complex, diamine-HF complex, 1-methylimidazole-HF complex, diisopropylamine-HF complex, diisobutylamine-HF complex, aniline-HF complex, Aniline derivative-HF complex, Ν-methylmorpholine-Ν-oxide (NMMO)-HF complex, tridecylamine-N-oxide-HF complex, triethylamine-N-oxide-HF Complex, pyridine-N-oxide-HF complex, N-ethylmorpholine-N-oxide-HF complex, N-decylpyrrolidinium-N-oxide-HF complex, N-ethyl a pyrrolidine-N-oxide-HF complex, xenon difluoride (XeF2), and combinations thereof; and wherein the at least one organic solvent comprises a substance selected from the group consisting of 136561.doc 200934865: alcohol, binary Alcohol, trihydric alcohol, glycol ether, carbonic acid vinegar, decylamine, pyrrolidone, formate, acetate, ketone, ethylene glycol, and combinations thereof. 23. The method of claim 19, further comprising Rinse the rinse composition Microelectronic device. 24. The method of claim 23, wherein the rinse composition comprises water. 25. The method of claim 23, further comprising drying the microelectronic device after contact with the rinsing composition. The method of claim 23, wherein the removing composition further comprises a polymeric material residue. 27. The method of claim 26, wherein the polymeric material residue comprises a material selected from the group consisting of: amino alkoxydecane, aminoalkyl alkoxy decane, anilinoalkyl alkoxy Base decane, phenyl decane, diphenyl decane, 3-[indolyl-anilino]propyltrimethoxydecane, ruthenium [3-trimethoxydecyl]propylethylenediamine, styrene polymer, propylene Nitrile monomer, monomer comprising a functional group reactive with an amine, and combinations thereof. 28. A removal composition consisting of a diethylene glycol single-chamber (IV), a compound and an alkanolamine:HF complex, wherein the alkyl group is.丨·. a group, and wherein the removal composition is adapted to remove the material from a device having a polymeric material thereon. The removal composition of claim 28, wherein the (tetra)amine comprises a substance selected from the group consisting of monoethanolamine, triethanolamine, aminoethanolamine, guanidine-methylamine oxime ethanol, amine Ethyl ethoxyethanol, dimethylamine ethoxyethanol, diethanolamine, decyl monoethanolamine, hydrazine-amino group 2_ 136561.doc 200934865 'Alcohol and isobutanolamine. 3. The removal composition of claim 28 or 29, wherein the hard compound comprises a substance selected from the group consisting of cyclobutanil, dimethyl sulphate, diethyl sulfoxide, bis (2-hydroxyl) Ethyl) hydrazine, methylcyclobutyl hydrazine, ethylcyclobutyl hydrazine and combinations thereof. The removal composition of claim 28, wherein the amount of the diethylene glycol monoalkyl ether is in the range of from about 60% by weight to about 80% by weight, based on the total weight of the composition, The amount of the sulfone compound ranges from about 15% by weight to about 25% by weight, and the amount of the alkanolamine:HF complex is about 5 weight%. Straight to about 15% by weight. I36561.doc 200934865 VII. Designated representative map: r (1) The representative representative figure of this case is: (none) (2) The symbolic symbol of the representative figure is simple: 8. If there is a chemical formula in this case, please reveal the characteristics that can best display the invention. Chemical formula: (none) 136561.doc136561.doc
TW97146506A 2007-11-30 2008-11-28 Formulations for cleaning memory device structures TW200934865A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US99126207P 2007-11-30 2007-11-30

Publications (1)

Publication Number Publication Date
TW200934865A true TW200934865A (en) 2009-08-16

Family

ID=40718472

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97146506A TW200934865A (en) 2007-11-30 2008-11-28 Formulations for cleaning memory device structures

Country Status (2)

Country Link
TW (1) TW200934865A (en)
WO (1) WO2009073596A2 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2593964A4 (en) 2010-07-16 2017-12-06 Entegris Inc. Aqueous cleaner for the removal of post-etch residues
EP2606158A4 (en) 2010-08-20 2017-04-26 Entegris Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
CN105304485B (en) 2010-10-06 2019-02-12 恩特格里斯公司 The composition and method of selective etch metal nitride
WO2012174518A2 (en) * 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
JP6329909B2 (en) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド Compositions and methods for selectively etching titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
KR102294726B1 (en) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (en) 2013-07-31 2021-03-05 恩特格里斯公司 Aqueous formulations with Cu/W compatibility for removal of metal hardmask and post-etch residues
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (en) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US20230136591A1 (en) * 2021-10-29 2023-05-04 Korea Institute Of Science And Technology Mxene with excellent mechanical strength and fast and high-yield anhydrous synthesis method thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
US7316844B2 (en) * 2004-01-16 2008-01-08 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
WO2006110645A2 (en) * 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices

Also Published As

Publication number Publication date
WO2009073596A3 (en) 2009-08-27
WO2009073596A2 (en) 2009-06-11

Similar Documents

Publication Publication Date Title
TW200934865A (en) Formulations for cleaning memory device structures
JP4741315B2 (en) Polymer removal composition
CN102732393B (en) Cleaning formulations and method of using the cleaning formulations
JP5886946B2 (en) Semi-water soluble polymer removal composition with enhanced compatibility for copper, tungsten and porous low-κ dielectrics
CN107068540A (en) The cleaning method of chip
TW201107464A (en) Non-fluoride containing composition for the removal of polymers and other organic materials from a surface
JP5941594B2 (en) Quaternary ammonium hydroxide
WO2009046637A1 (en) Cleaning composition for removing resist
TW200819925A (en) Dynamic multi-purpose composition for the removal of photoresists and method for its use
WO2005103831A1 (en) Rinsing fluid for lithography
TWI752528B (en) Cleaning composition for semiconductor substrates
WO2008071077A1 (en) Cleaning compound for removing photoresist
CN106062637A (en) Stripping composition for removing photoresist and a method, for peeling photoresist, using same
JP2007003617A (en) Stripper composition
TW201938622A (en) Composition for semiconductor process and semiconductor process
WO2009155782A1 (en) Detergent for removing photoresist
TW201936884A (en) Method for imparting water repellency to substrate, surface treatment agent, and method for suppressing collapse of organic pattern or inorganic pattern in cleaning substrate surface with cleaning liquid
CN103809394B (en) Cleaning solution for removing photoresist etching residues
WO2014071689A1 (en) Cleaning solution for removing photoresist residue
TW201635052A (en) Solutions and processes for removing substances from substrates
CN103773626B (en) A kind of cleaning fluid of the removal photoresistance etch residues of low etching
TW201500865A (en) Cleaning composition for photoresist residue removal
KR101670239B1 (en) Stripper composition for removing post-etch residues and photoresist etch polymer
TWI438584B (en) Stripper for removing thick film photo resist
TWI537378B (en) Residue cleaning solution after plasma etching and ashing