KR102352475B1 - 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도 - Google Patents

이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도 Download PDF

Info

Publication number
KR102352475B1
KR102352475B1 KR1020167019206A KR20167019206A KR102352475B1 KR 102352475 B1 KR102352475 B1 KR 102352475B1 KR 1020167019206 A KR1020167019206 A KR 1020167019206A KR 20167019206 A KR20167019206 A KR 20167019206A KR 102352475 B1 KR102352475 B1 KR 102352475B1
Authority
KR
South Korea
Prior art keywords
acid
resist
composition
delete delete
microelectronic device
Prior art date
Application number
KR1020167019206A
Other languages
English (en)
Other versions
KR20160098462A (ko
Inventor
스티븐 빌로듀
엠마누엘 아이 쿠퍼
재석 이
원래 김
제프리 에이 반즈
Original Assignee
엔테그리스, 아이엔씨.
인테그리스코리아 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨., 인테그리스코리아 주식회사 filed Critical 엔테그리스, 아이엔씨.
Publication of KR20160098462A publication Critical patent/KR20160098462A/ko
Application granted granted Critical
Publication of KR102352475B1 publication Critical patent/KR102352475B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

벌크 및/또는 이온-주입된 포토레지스트 물질을 마이크로전자 장치에서 제거하기 위한 방법과 조성물이 개발되었다. 상기 조성물은 하부의 규소-함유 또는 게르마늄-함유 물질에 손상을 가하지 않으면서 이온-주입된 포토레지스트 물질을 효과적으로 제거할 수 있다.

Description

이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도{USE OF NON-OXIDIZING STRONG ACIDS FOR THE REMOVAL OF ION-IMPLANTED RESIST}
본 발명은 일반적으로, 레지스트, 구체적으로 이온-주입된 레지스트를 이를 포함하는 마이크로전자 장치로부터 제거하는 조성물 및 방법에 관한 것이다. 개시된 조성물 및 방법은 게르마늄-함유 물질에 비해 상기 레지스트를 선택적으로 제거한다.
관련 출원에 대한 상호 참조
본원은, 2013년 12월 20일자로 출원된 미국 가출원 제 61/919,177 호(스티븐 빌로도 등의 명의로 "이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도"라는 발명의 명칭으로 출원됨), 2014년 9월 5일자로 출원된 미국 가출원 제 62/046,495 호(스티븐 빌로도 등의 명의로 "이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도"라는 발명의 명칭으로 출원됨), 및 2014년 9월 4일자로 출원된 미국 가출원 제 62/045,946 호(스티븐 빌로도 등의 명의로 "게르마늄과 상용성인 조성물을 사용한 이온-주입된 레지스트의 스트립핑"이라는 발명의 명칭으로 출원됨)을 우선권으로 주장하며, 이들 각각을 그 전체로 본원에 참고로 인용한다.
포토레지스트를 비롯한 레지스트는 반도체 소자 제조공정 중 기재(예를 들어, 반도체 웨이퍼(wafer))에 패턴층을 형성하는데 사용되는 복사선 감응성(예를 들어, 광 복사선 감응성) 물질이다. 레지스트로 코팅된 기재의 일부가 복사선에 노출된 후, 레지스트의 노출된 부분(포지형 레지스트의 경우) 또는 노출되지 않은 부분(네가형 레지스트의 경우) 중 어느 하나는 기재의 하부 표면이 드러나도록 제거되고, 기재의 나머지 표면은 코팅되고 레지스트로 코팅되고 보호된 상태로 남겨진다. 레지스트는 더 일반적으로는 마스킹 물질로 일컬어 질 수 있다. 이온-주입, 에칭 또는 침착과 같은 다른 제작공정이, 코팅되지 않은 기재 표면과 잔여 레지스트에 대해 행해진다. 이와 같은 다른 제작공정이 수행된 후, 잔여 레지스트는 스트립핑 조작으로 제거된다.
이온-주입시, 도판트 이온(dopant ion)(예를 들어, 붕소, 이불화 붕소, 비소, 인듐, 갈륨, 인, 게르마늄, 안티몬, 제논 또는 비스무트)가, 주입될 기재를 향해 가속화된다. 상기 이온은 노출된 기재뿐 아니라 잔여 레지스트에도 주입된다. 예를 들면, 이온-주입은 트랜지스터의 채널영역(channel region)과 소스(source)와 드레인(drain) 영역과 같은 기재 내 주입 영역을 형성하기 위해 사용될 수 있다. 이온-주입은 또한 저농도로 도핑된 드레인(lightly doped drain)과 이중 확산된 드레인(double diffused drain) 영역을 형성하는데 사용될 수 있다. 그러나, 레지스트에 고농도로 이온을 주입하는 것은 레지스트의 표면에서 수소를 고갈시켜 레지스트가 바깥층 또는 크러스트(crust)를 형성하게 하는데, 이들은 레지스트 층의 하부 부분(즉, 레지스트 층의 벌크 부분(bulk portion))보다 더 강도가 큰 탄화된 층 일 수 있다. 바깥층과 벌크 부분은 다른 열팽창 속도를 가지며 다른 속도로 스트립핑 공정에 반응한다.
레지스트 스트립핑의 중요한 양태는, 레지스트 스트립핑에 의해 야기될 수 있는 기재의 손상, 또는 기재의 일부의 원치 않는 제거와 관련된다. 그러한 손상은, 기재 안 또는 위에 형성된 구조물 및 장치(예를 들어, 반도체 웨이퍼나 규소 웨이퍼 안 또는 위에 형성된 트랜지스터 또는 전자 장치)를 기능하지 못하게 하거나 불충분하게 기능하게 하기 때문에 바람직하지 않다. 상기 손상은 용해(에칭), 산화물과 같은 다른 고상(solid phase)으로의 전환 또는 이들 두 가지의 복합현상을 수반할 수 있다. 예를 들어, 주입된 레지스트를 제거하는 전형적인 방법은 강산화성 매질을 기상(산화성 플라즈마)으로 또는 액상(예를 들면 황산 + 과산화물 또는 "SPM")으로 이용한다. 이들 방법은 게르마늄-함유 기재에 대해서는 잘 작용하지 못하는데, 그 이유는, 상기 기재는 규소보다 산화에 역학적으로 더 민감하고, 부분적으로는 산화 게르마늄이 (SiO2에 비해) 더 가용성이고 상기 표면에 대해 불량한 보호자이기 때문이다.
따라서, 이온-주입된 레지스트를 효과적이고 효율적으로 제거하고 강한 산화제를 사용하지 않으며 단일 웨이퍼 공구에 사용되기에 충분히 빠르고 최소의 게르마늄 손실을 야기하고, 적어도 전형적인 fab 대기 시간을 통해 산화에 안정적인 평활한 게르마늄 표면을 남기는, 새로운 조성물 및 방법이 요구된다. 또한, 다른 기재, 예를 들면 규소, 산화 규소 및 질화 규소에 대한 높은 선택성이 요망된다.
본 발명은 일반적으로, 레지스트, 구체적으로 이온-주입된 레지스트를 이를 포함하는 마이크로전자 장치로부터 제거하는 조성물 및 방법에 관한 것이다. 개시된 조성물 및 방법은, 규소-함유 물질 및 게르마늄-함유 물질과 같은, 장치 표면에 존재하는 다른 물질에 실질적으로 손상을 입히지 않으면서, 상기 레지스트를 효과적으로 제거한다.
하나의 양태에서, 표면에 레지스트를 갖는 마이크로전자 장치로부터 레지스트를 제거하는 방법으로서, 마이크로전자 장치 표면으로부터 레지스트를 실질적으로 제거하는데 필요한 조건 하에서 마이크로전자 장치 표면에 조성물을 접촉시키는 단계를 포함하며, 이때 상기 조성물은 하나 이상의 비산화성 산 및 하나 이상의 플루오라이드 및/또는 브로마이드 화합물을 포함하고, 단 상기 조성물은 실질적으로 산화제가 없는 것인, 방법이 기술된다.
또 하나의 양태에서, 표면에 레지스트를 갖는 마이크로전자 장치로부터 레지스트를 제거하는 방법으로서, 마이크로전자 장치 표면으로부터 레지스트를 실질적으로 제거하는데 필요한 조건 하에서 마이크로전자 장치 표면에 조성물을 접촉시키는 단계를 포함하며, 이때 상기 조성물은 황-함유 산, HCl 및 임의적으로 하나 이상의 플루오라이드 및/또는 브로마이드 화합물을 포함하고, 상기 HCl은 용해된 기체로서 첨가된 HCl(염화수소)을 사용하거나 HCl-발생 화합물을 사용하여 생성되는, 방법이 기술된다.
또 다른 하나의 양태에서, 레지스트, 구체적으로 이온-주입된 레지스트를 이를 포함하는 마이크로전자 장치로부터 스트립핑시키는 조성물로서, 하나 이상의 비산화성 산; 플루오라이드 종 및/또는 브로마이드 화합물 중 하나 이상; 및 조성물의 융점을 낮추는 하나 이상의 화합물을 포함하고, 단 실질적으로 산화제가 없는 조성물이 기술된다.
또 다른 하나의 양태에서, 레지스트, 구체적으로 이온-주입된 레지스트를 이를 포함하는 마이크로전자 장치로부터 스트립핑시키는 조성물로서, 하나 이상의 비산화성 산; 플루오라이드 종 및/또는 브로마이드 화합물 중 하나 이상; 및 조성물의 융점을 낮추는 하나 이상의 화합물을 포함하고, 단 실질적으로 산화제가 없는 조성물이 기술된다.
본 발명의 다른 양태, 특징 및 이점은 이어지는 명세서 내용과 첨부된 청구의 범위로부터 보다 충분히 자명하게 될 것이다.
도 1 은 제형 A 내지 J를 사용한 레지스트 제거 후의 RMS 조도를 예시한다.
도 2는 20℃에서 10분 동안 DMSO를 사용한 레지스트 제거 후의 쿠폰을 예시한다. 도 2a는 제거 후의 단면적 분석 결과를 보여주고, 도 2b는 제거 후의 AFM 스캔 결과를 보여준다.
도 3은 20℃에서 10분 동안 제형 H를 사용한 레지스트 제거 후의 쿠폰을 예시한다. 도 3a는 제거 후의 단면적 분석 결과를 보여주고, 도 3b는 제거 후의 AFM 스캔 결과를 보여준다.
도 4는 60℃에서 10분 동안 제형 H를 사용한 레지스트 제거 후의 쿠폰을 예시한다. 도 4a는 제거 후의 단면적 분석 결과를 보여주고, 도 4b는 제거 후의 AFM 스캔 결과를 보여준다.
도 5는 25℃ 및 60℃에서 제형 H에 침지시킨 후의 SiO2, SiNx 및 Ge의 에칭률을 예시한다.
도 6은 실온에서 10분 동안 제형 N에 침지시킨 후의 위치 1(도 6a) 및 위치 2(도 6b)에서의 AFM 현미경 사진을 포함한다.
도 7은 실온에서 10분 동안 제형 U에 침지시킨 후의 위치 1(도 7a) 및 위치 2(도 7b)에서의 AFM 현미경 사진을 포함한다.
도 8은, 실온에서 2분 동안 제형 AA에 침지시킨 후의, 위치 1 및 위치 2에서의 AFM 현미경 사진과 평균 프로파일을 포함한다.
도 9는, 실온에서 10분 동안 제형 AA에 침지시킨 후의, 위치 1 및 위치 2에서의 AFM 현미경 사진과 평균 프로파일을 포함한다.
도 10은, 실온에서 2.5분 동안 제형 BB에 침지시킨 후의, 위치 1 및 위치 2에서의 AFM 현미경 사진과 평균 프로파일을 포함한다.
도 11은, 실온에서 2.5분 동안 제형 CC에 침지시킨 후의, 위치 1 및 위치 2에서의 AFM 현미경 사진과 평균 프로파일을 포함한다.
도 12는, 실온에서 2.5분 동안 제형 DD에 침지시킨 후의, 위치 1 및 위치 2에서의 AFM 현미경 사진과 평균 프로파일을 포함한다.
도 13은, 실온에서 1분 동안 제형 EE에 침지시킨 다음 30초 수세, 송풍 건조 및 실온에서 상기 조성물을 이용한 제2의 1분 침지 후의, 위치 1 및 위치 2에서의 AFM 현미경 사진과 평균 프로파일을 포함한다.
본 발명은 일반적으로, 레지스트를 포함하는 마이크로전자 장치로부터 레지스트, 구체적으로 이온-주입된 레지스트를 제거하는 조성물 및 방법에 관한 것이다. 개시한 조성물 및 방법은, 장치 표면에 존재하는 다른 물질, 예를 들면 규소-함유 물질 및 게르마늄-함유 물질에 실질적으로 손상을 입히지 않으면서, 상기 레지스트를 효과적으로 제거한다.
참조의 용이성을 위해, "마이크로전자 장치"는 마이크로전자공학, 집적 회로, 에너지 수집 또는 컴퓨터칩 용도에 사용하기 위해 제작된, 반도체 기판, 평판 디스플레이, 상변환 기억 장치, 태양 전지판, 및 태양전지 장치, 광전지 및 미세전자기계 시스템(MEMS)을 포함한 다른 제품들에 상응한다. "마이크로전자 장치", "마이크로전자 기판" 및 "마이크로전자 장치 물질"이라는 용어들은 어떠한 방식으로든 제한적임을 의미하지 않으며 결국은 마이크로전자 장치 또는 마이크로전자 장치 조립체로 되는 임의의 기판 또는 구조를 포함함을 이해하여야 한다.
"이온-주입"은 도판트 물질의 이온이 일반적으로 고체인 대상물질에 주입될 수 있는 공정이다. 이온-주입은 반도체 제조공정, 예를 들어, 집적 회로 및 규소 반도체 장치의 제조공정에서 사용된다. 주입된 이온은, 타겟의 화학적 변화(이는, 상기 이온이 타겟과 다른 원소인 것으로 인함) 및/또는 구조적 변화(즉, 타겟이 이온-주입공정에 의해 변형, 손상, 또는 심지어는 파괴되기까지 함)를 야기할 수 있다. 예를 들면 반도체 제조공정에서 주입되는 종으로 전형적으로 사용되는 원소는 붕소, 이불화 붕소, 비소, 인듐, 갈륨, 게르마늄, 비스무트, 제논, 인, 안티몬을 포함한다. 붕소는 규소에 정공(hole)(즉, 전자 공동)을 제공하거나 야기하기 때문에 규소에서 p-유형의 도판트이다. 비소는 규소에 여분의 전자를 제공하거나 야기하기 때문에 n-유형의 도판트이다. 붕소 및 비소와 같이 본래의 규소에 주입된 도판트들은 본래의 규소를 반도체로서 전도성으로 만든다. 하나 또는 그 이상의 도판트 물질이 타겟 물질로 주입될 수 있다. 이온-주입은 통상적으로 용량이나 에너지를 특징으로 한다. 용량은 타겟 물질의 단위 면적당 주입되는 이온의 수이다. 에너지는 주입되는 이온의 에너지이다. 더 개선된 반도체 공정 또는 제조 기술은 일반적으로, 더 오래된 기술보다 더 높은 용량 및/또는 더 높은 에너지를 사용한다.
"포토레지스트"를 비롯한 "레지스트"는, 예를 들어, 기재 또는 타겟의 표면 상에 패턴화된 코팅을 형성하는데 사용되는 복사선 감응성 물질이다. 레지스트는 반도체 장치, 예를 들어, 집적 회로나 규소 반도체의 제조 공정에 사용된다. 반도체 장치 제조공정에서 레지스트의 한 가지 용도는, 반도체 기재 내로 선택적인 도판트 이온-주입을 하기 위한 마스크이다. 레지스트의 층은 반도체 기재의 표면에 도포되거나, 반도체 층 위의 절연체 층과 같은, 기재 위 또는 안의 층의 표면에 도포된다. 레지스트의 일부는 복사선에 노출되는데, 상기 레지스트의 일부는 주입될 반도체 영역(포지형 레지스트) 또는 주입되지 않을 반도체 영역(네가형 레지스트) 중 어느 것에 상응한다. 이어서, 레지스트는 레지스트의 일부의 제거를 돕는 현상액(developer)에 노출되어 원하는 레지스트 부분만 남게 된다. "포지형 레지스트"는, 복사선에 노출된 레지스트 부분이 레지스트 현상액에 가용성화되어 제거되는 유형의 레지스트이다. 노출되지 않은 레지스트 부분은 레지스트 현상액에 불용성으로 제거되지 않고 남는다. "네가형 레지스트"는, 복사선에 노출된 레지스트 부분이 현상액에 불용성으로 되어 현상액에 의해 제거되지 않는 레지스트 유형이다. 복사선에 노출되지 않은 레지스트 부분은 레지스트 현상액에 가용성화되어 제거된다. 레지스트의 가용성 부분은 레지스트 현상액에 용해된다. 레지스트가 복사선에 노출됨으로써 패턴화되고 현상액에 의해 현상화된 후에 이온-주입이 일어난다. 레지스트의 남겨진 부분은 주입되는 이온이 반도체, 또는 레지스트 하부의 다른 물질에 도달하는 것을 차단한다. 레지스트에 의해 차단된 이온은 하부의 기재 대신 레지스트로 주입된다. 레지스트에 의해 커버되지 않은 반도체 부분에 이온이 주입된다.
레지스트에 의해 차단된 비교적 높은 용량 및/또는 높은 에너지의 주입된 이온들 때문에, 상기 레지스트는, 이온이 충돌하여 흡수되는 레지스트의 바깥 부분이나 레지스트의 바깥 면에 크러스트 또는 경질 쉘(shell)을 형성한다. 레지스트의 강화는 탄화, 중합 또는 중합체 교차결합으로부터 야기되거나 또는 이들을 의미한다. 특히, 레지스트의 바깥 영역 내로 침투되는 이온은 레지스트의 바깥 영역(예를 들어, 레지스트의 위와 옆면)이 크러스트가 되게 만들고, 바깥 영역에 인접한 레지스트의 안쪽 영역 내의 화학결합이 교차결합되게 한다. 크러스트는 레지스트의 스트립핑(stripping) 공정 중에는 제거하기 어렵다고 알려져 있다(예를 들어, 크러스트는 스트립핑에 사용되는 일부 공지된 용매에 불용성이다). 이온들은 레지스트 물질 내로 단지 제한된 거리만 침투할 수 있기 때문에, 크러스트는 주로 레지스트의 바깥 부분 상에만 형성된다. 레지스트의 바닥면은 주입된 물질이나 기재로 덮혀있기 때문에, 크러스트는 레지스트의 바닥 부분 상이나 내부 부분에는 형성되지 않고 위나 옆면에 형성된다. 전형적인 레지스트의 경우, 이온이 통상적으로 주로 아래쪽 입사 방향으로 주입되기 때문에, 윗면의 크러스트가 옆면의 크러스트보다 더 두껍다. 레지스트 크러스트의 두께는 주입된 이온의 용량과 이온-주입 에너지에 좌우된다. 크러스트 안 또는 아래에 있는 레지스트 물질, 즉 일반적으로 이온에 의해 영향을 받지 않는 레지스트 부분은 벌크 레지스트 또는 벌크 레지스트 물질이라고 지칭된다. 레지스트의 강화 및 크러스트화는, 예를 들어, 레지스트의 바깥 부분을 물이나 다른 수용성 용매에 불용성 또는 덜 용해성이 되도록 한다(다른 모든 수용성 또는 유기 용매에서 반드시 그런 것은 아니다).
규소는 Si, 다결정성 Si, 및 단결정성 Si 뿐 아니라 규소 산화물, 열적 산화물(thermal oxide), SiOH 및 SiCOH 와 같은 다른 규소-함유 물질을 포함하는 것으로 정의될 수 있다. 예를 들어, FET 및 집적 회로 같은 전자 장치를 위한 기재 또는 기재의 일부로 사용될 수 있는 절연체상 규소(silicon-on-insulator(SOI)) 웨이퍼에 규소가 포함된다. 다른 유형의 웨이퍼 역시 규소를 포함할 수 있다.
본원에 사용되는 "규소-함유 물질"은 규소; 게이트 산화물(예를 들어, 열적으로 또는 화학적으로 성장된 SiO2) 및 TEOS를 비롯한 규소 산화물; 규소 질화물; 및 저-k 유전체 물질을 포함한다. 본원에 정의된 바와 같이, "저-k 유전체 물질"은 적층된 마이크로전자 장치 중에 유전체 물질로서 사용되는 임의의 물질에 상응하며, 여기에서 상기 물질은 약 3.5 미만의 유전 상수를 가진다. 바람직하게는, 저-k 유전체 물질은 저-극성 물질, 예를 들어 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기실리케이트 유리(OSG), TEOS, 플루오르화된 실리케이트 유리(FSG), 이산화 규소, 및 탄소-도핑된 산화물(CDO) 유리를 포함한다. 저-k 유전체 물질은 다양한 밀도와 다양한 다공성을 가질 수 있음을 알아야 한다.
본원에서 정의된 바와 같이, "실질적으로 없는"은 언급한 조성물의 총 중량을 기준으로, 조성물의 약 2 중량% 미만, 더 바람직하게는 1 중량% 미만, 및 가장 바람직하게는 0.1 중량% 미만에 상응한다. "없는"은 조성물의 0중량%에 상응한다.
본원에 사용된 "플루오라이드" 종은 이온성 플루오라이드(F-) 또는 가수분해가능한 공유 결합된 불소를 포함하는 종들에 상응한다. 상기 플루오라이드 종은 플루오라이드 종으로서 포함되거나 또는 동일 반응 계에서 생성될 수도 있음을 알아야 한다.
본원에 정의된 바와 같이, "게르마늄-함유 물질"은 벌크 게르마늄 웨이퍼, 절연체상 게르마늄(GOI) 웨이퍼(이 경우, 층은 기재의 상부의 유전체 층 상에 형성된 게르마늄 층이다), 기재 상의 게르마늄 층, Ge-Sn 합금, 또는 SiGe 물질(Si:Ge의 %와 무관함)일 수 있다. 상기 게르마늄-함유 물질은, 기재 위에 적어도 부분적으로 연장되는 연속 층이거나 별도의 영역으로 나뉘어질 수 있다.
본원에 정의된 바와 같이, "비산화성 산"은, 표준 수소 전극에 대해 약 +0.25 V 미만의 표준 산화/환원 전위를 가진 산에 상응한다. 비-산화성 산의 예는 황산, 인산 및 포스폰산, 및 대부분의 유기 산(예를 들면 카복실산)을 포함하나 질산 또는 임의의 "할레이트" 산(즉, 할로겐+산소, 예를 들면 요오데이트, 퍼클로레이트, 하이포클로라이트 등)을 포함하지 않는다.
본원에 정의된 바와 같이, "산화제"는, 비제한적으로, 과산화 수소, FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 옥손 (2KHSO5·KHSO4·K2SO4), 과요오드산, 요오드산, 바나듐(V) 산화물, 바나듐(IV,V) 산화물, 암모늄 바나데이트, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트, 암모늄 클로레이트, 암모늄 요오데이트, 암모늄 니트레이트, 암모늄 퍼보레이트, 암모늄 퍼클로레이트, 암모늄 퍼요오데이트, 암모늄 퍼설페이트, 암모늄 하이포클로라이트, 암모늄 하이포브로마이트, 암모늄 텅스테이트, 나트륨 퍼설페이트, 나트륨 하이포클로라이트, 나트륨 퍼보레이트, 나트륨 하이포브로마이트, 칼륨 요오데이트, 칼륨 퍼망가네이트, 칼륨 퍼설페이트, 질산, 칼륨 퍼설페이트, 칼륨 하이포클로라이트, 테트라메틸암모늄 클로라이트, 테트라메틸암모늄 클로레이트, 테트라메틸암모늄 요오데이트, 테트라메틸암모늄 퍼보레이트, 테트라메틸암모늄 퍼클로레이트, 테트라메틸암모늄 퍼요오데이트, 테트라메틸암모늄 퍼설페이트, 테트라메틸암모늄 퍼옥소모노설페이트, 퍼옥소모노황산, 질산 제2철, 우레아, 과산화수소, 퍼아세트산, 1,4-벤조퀴논, 톨루퀴논, 다이메틸-1,4-벤조퀴논, 다이클로로-1,4-벤조퀴논, 클로라닐, 알록산, N-메틸모르폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 세륨 암모늄 니트레이트, 세릭 니트레이트, 세릭 암모늄 설페이트, 세릭 설페이트, 세릭 바이설페이트, 세릭 퍼클로레이트, 세릭 메탄설포네이트, 세릭 트라이플루오로메탄설포네이트, 세릭 클로라이드, 세릭 하이드록사이드, 세릭 카복실레이트, 세릭 β-다이케톤, 세릭 트라이플루오로아세테이트 및 세릭 아세테이트, 및 이들의 조합물을 포함한다.
레지스트 스트립핑의 중요한 4가지 양태는 (i) 상대적으로 낮은 온도에서의 스트립핑; (ii) 수용가능한 웨이퍼 처리량을 가능하게 하는, 레지스트 스트립핑의 상대적으로 짧은 시간; (iii) 마이크로전자 장치 표면으로부터의 레지스트의 실질적으로 완전한 제거; 및 (iv) 레지스트 스트립핑으로부터 야기될 수 있는, 마이크로전자 장치 표면으로부터의 다른 물질(예를 들어, 규소-함유 물질, 게르마늄-함유 물질, 또는 둘다)의 손상 또는 원치 않는 제거의 최소화 또는 실질적인 배제이다. 손상은, 예를 들어, 용해(에칭), 산화물과 같은 다른 고체상으로의 전환, 또는 두 가지의 조합을 수반할 수 있다.
제1 양태로, 마이크로전자 장치로부터 레지스트, 구체적으로 이온-주입 레지스트를 스트립핑하기 위한 조성물을 설명한다. 하나의 실시양태에서, 레지스트 스트립핑을 위한 조성물은 하나 이상의 비산화성 산 및 하나 이상의 플루오라이드 및/또는 브로마이드 화합물을 포함하거나 이들로 이루어지거나, 또는 본질적으로 이들로 이루어지며, 단 상기 조성물은 실질적으로 산화제가 없다. 또 하나의 실시양태에서, 레지스트 스트립핑을 위한 조성물은 하나 이상의 비산화성 산, 하나 이상의 플루오라이드 및/또는 브로마이드 화합물, 및 하나 이상의 내산성 계면활성제를 포함하거나 이들로 이루어지거나, 또는 본질적으로 이들로 이루어지며, 단 상기 조성물은 실질적으로 산화제가 없다. 또 하나의 실시양태에서, 레지스트 스트립핑을 위한 조성물은 하나 이상의 비산화성 산 및 하나 이상의 내산성 계면활성제를 포함하거나 이들로 이루어지거나, 또는 본질적으로 이들로 이루어지며, 단 상기 조성물은 실질적으로 산화제가 없다. 일반적으로, 서로에 대한 성분들의 특정 비율 및 양은, 레지스트용 조성물 및/또는 가공 장비의 원하는 제거 작용을 제공하도록 적절히 변경될 수 있으며, 이는 과도한 노력 없이도 당업자들이 쉽게 결정할 수 있다.
상기 제1 양태의 조성물은 첨가된 물 및 유기 용매가 실질적으로 없다. 일부 상업적인 성분은 소량의 물을 포함하는 것으로 이해되지만, 바람직하게는 본원에 기술된 조성물에 추가의 물이 첨가되지 않는다. 따라서, 제1 양태의 조성물은, 조성물의 총 중량을 기준으로 약 5 중량% 미만, 더 바람직하게는 3 중량% 미만, 더 더욱 바람직하게는 2 중량% 미만, 및 가장 바람직하게는 1 중량% 미만의 추가된 물을 포함한다. 상업적으로 구매한 성분(예를 들면 불화 수소)에 존재하는 물은 "추가된 물"로 간주되지 않는다. 또한, 제1 양태의 조성물은 또한, 화학기계적 연마제 물질, 강 염기(예를 들면 알칼리 및 알칼리성 금속 수산화물 및 4급 암모늄 수산화물) 및 아민 중 하나 이상이 실질적으로 없다. 본원에 정의된 바와 같이, "아민" 종은, 하나 이상의 1급, 2급, 및 3급 아민을 포함하며, 단 (i) 카복실산 기 및 아민 기 둘다를 포함하는 종, (ii) 아민기를 포함하는 계면활성제, 및 (iii) 아민기가 치환체(예를 들면 아릴 또는 헤테로환형 잔기에 부착됨)인 종은 이 정의에 따른 "아민"으로 간주되지 않는다. 아민의 화학식은 NR1R2R3로 나타내어질 수 있으며, 여기서 R1, R2 및 R3은 서로 동일하거나 상이하고, 수소, 직쇄 또는 분지형 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C6-C10 아릴(예를 들면 벤질), 직쇄 또는 분지형 C1-C6 알칸올(예를 들면, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 및 이들의 조합 중에서 선택되고, 단 R1, R2 및 R3은 모두 수소일 수는 없다.
비산화성 산은 원칙적으로 포토레지스트에 양성자를 가하고 이를 용해하기 위해 존재한다. 본원에서 고려되는 상기 비산화성 산은 본원에서 비제한적으로, 메탄설폰산, 옥살산, 시트르산, 타타르산, 피콜린산, 석신산, 아세트산, 락트산, 설포석신산, 벤조산, 프로피온산, 포름산, 피루브산, 옥살산, 말레산, 말론산, 푸마르산, 말산, 아스코르브산, 만델산, 헵타노산, 부티르산, 발레르산, 글루타르산, 프탈산, 차아인산, 살리실산, 5-설포살리실산, 에탄설폰산, 부탄설폰산, p-톨루엔설폰산, 다이클로로아세트산, 다이플루오로아세트산, 모노클로로아세트산, 모노플루오로아세트산, 염산, 트라이클로로아세트산, 트라이플루오로아세트산, 브롬화수소산(62 중량%), 황산, 및 이들의 조합물을 포함한다. 바람직하게는, 상기 비산화성 산은 메탄설폰산(99%), 염산(진한), 트라이플루오로아세트산, 브롬화수소산(62%), 황산(95-98%) 또는 이들의 조합물을 포함한다. 조성물 중의 비산화성 산의 양은 바람직하게는 90 중량% 초과, 더 바람직하게는 95 중량% 초과, 및 가장 바람직하게는 98 중량% 초과이다.
상기 하나 이상의 플루오라이드 및/또는 브로마이드 화합물은, 레지스트-피복된 영역(즉, "레일")의 모서리에서 덮인 레지스트 물질의 제거를 개선하는 것으로 생각된다. 고려되는 플루오라이드 화합물은 불화수소산을 포함한다. 대안적으로 HF와 다른 플루오라이드 화합물이, 규소-함유 물질과 상용성을 유지하는데 유리할 수 있으며, 비제한적으로, 테트라플루오로붕산, 헥사플루오로티탄산, 헥사플루오로규산, 헥사플루오로지르콘산, 테트라플루오로붕산, 테트라부틸 암모늄 트라이플루오로메탄 설포네이트, 테트라알킬암모늄 테트라플루오로보레이트(NR1R2R3R4BF4)(예를 들면 테트라부틸암모늄 테트라플루오로보레이트), 테트라알킬암모늄 헥사플루오로포스페이트(NR1R2R3R4PF6), 테트라알킬암모늄 플루오라이드(NR1R2R3R4F)(이의 무수물 또는 수화물)(예를 들면 테트라메틸암모늄 플루오라이드), 암모늄 바이플루오라이드, 암모늄 플루오라이드를 포함하며, 여기서, R1, R2 및 R3은 서로 동일하거나 상이하고, 수소, 직쇄 또는 분지형 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C1-C6 알콕시 기(예를 들면, 하이드록시에틸, 하이드록시프로필), 치환된 또는 비치환된 아릴 기(예를 들면, 벤질)로 이루어진 군 중에서 선택된다. 바람직하게는, 상기 플루오라이드 종은 불화수소산을 포함한다. 고려되는 브로마이드 화합물은 브롬화수소산, 및 저 pH에서 용액 중에 주로 HBr을 생성하는 임의의 다른 화합물을 포함한다. 존재시, 불화수소산의 양은 약 0.01 내지 약 1 중량%, 더 바람직하게는 약 0.1 내지 약 0.4 중량% 범위이다. 존재시, HF 이외의 플루오라이드 화합물 및/또는 브로마이드 화합물(들)의 양은 약 0.01 내지 약 8 중량% 범위이다. 플루오라이드 및 브로마이드 화합물의 조합물도 본원에서 고려된다.
상기 레지스트는 용해되기보다는 들뜨는 것에 의해 부분적으로 제거되기 때문에, 웨이퍼 상에 입자가 재침착되는 것이 방지될 필요가 있다. 이를 위해 내산성 계면활성제가 임의적으로 이 목적을 위해 첨가될 수 있으며, 이 또한 상기 들떠서 제거되는 공정에 도움을 줄 수 있다. 예를 들면, 도데실벤젠 설폰산(0.02-0.15%)가 MSA에 첨가되거나 또는 노벡(Novec) 4300(0.005-0.02%)가 진한 염산에 첨가될 수 있다. 고려되는 다른 내산성 계면활성제는, 벤즈알코늄 클로라이드, 다우팩스(DOWFAX) 계면활성제(다우 케미칼), 및 더 일반적으로는 장쇄 4급 암모늄 양이온성 계면활성제, 장쇄 설폰산 음이온성 계면활성제, 및 불소화된 에터-계 비이온성 계면활성제를 포함하며, 이들은 존재시 약 0.002 내지 약 2%의 농도로 사용된다.
상기 제1 양태의 조성물은 추가로 하나 이상의 분산제, 예를 들면 비제한적으로, 헤테로환, 예를 들면 피리딘, 이미다졸, 벤즈이미다졸 및 피페리딘 뿐 아니라, 예를 들면 C1-C10 알킬, 페닐, 벤질, 펜에틸, 3-페닐프로필, 벤질옥시, 카복실, 클로로, 브로모, 메톡시, 니트로 및 시아노 기 중 하나 이상을 포함하는 헤테로환의 유도체, 예를 들면 비제한적으로, 4-벤질피리딘, 4-벤질피페리딘, 2-벤질피리딘, 4-(4-니트로벤질)피리딘, 4-(5-노닐)피리딘, 4-(3-페닐프로필)피리딘, 4-(3-페닐프로필)피리딘-N-옥사이드, 1-옥틸이미다졸, 1-옥틸벤즈이미다졸, 폴리(2-비닐 피리딘), 폴리(4-비닐 피리딘), 및 상기 마지막 두 성분 중 하나 또는 둘다를 구성성분으로 포함하는 공중합체를 포함한다. 당분야 숙련가들에게 자명하듯이, 상기 분산제들의 대부분이 고려되고 계면활성제로 작용할 수 있다.
제2 양태에서는, 레지스트, 구체적으로 이온-주입된 레지스트를 이를 포함하는 마이크로전자 장치로부터 스트립핑하는 추가의 조성물이 기술된다. 상기 레지스트 스트립핑용 조성물은, 하나 이상의 비산화성 산; 하나 이상의 플루오라이드 및/또는 브로마이드 화합물; 및 조성물의 융점을 낮추는 하나 이상의 화합물을 포함하거나 이들로 이루어지거나 본질적으로 이들로 이루어지며, 단 상기 조성물은 실질적으로 산화제가 없다. 또 하나의 실시양태에서, 상기 레지스트 스트립핑용 조성물은, 하나 이상의 비산화성 산; 하나 이상의 플루오라이드 및/또는 브로마이드 화합물; 하나 이상의 내산성 계면활성제; 및 조성물의 융점을 낮추는 하나 이상의 화합물을 포함하거나 이들로 이루어지거나 본질적으로 이들로 이루어지며, 단 상기 조성물은 실질적으로 산화제가 없다. 또 하나의 실시양태에서, 상기 레지스트 스트립핑용 조성물은, 하나 이상의 비산화성 산; 하나 이상의 내산성 계면활성제; 및 조성물의 융점을 낮추는 하나 이상의 화합물을 포함하거나 이들로 이루어지거나 본질적으로 이들로 이루어지며, 단 상기 조성물은 실질적으로 산화제가 없다. 일반적으로, 서로에 대한 성분들의 특정 비율 및 양은, 레지스트용 조성물 및/또는 가공 장비의 원하는 제거 작용을 제공하도록 적절히 변경될 수 있으며, 이는 과도한 노력 없이도 당업자들이 쉽게 결정할 수 있다.
상기 제2 양태의 조성물은 첨가된 물이 실질적으로 없다. 일부 상업적인 성분은 소량의 물을 포함하는 것으로 이해되지만, 바람직하게는 본원에 기술된 제2 양태의 조성물에 추가의 물이 첨가되지 않는다. 따라서, 제2 양태의 조성물은, 조성물의 총 중량을 기준으로 약 5 중량% 미만, 더 바람직하게는 3 중량% 미만, 더 더욱 바람직하게는 2 중량% 미만, 및 가장 바람직하게는 1 중량% 미만의 추가된 물을 포함한다. 상업적으로 구매한 성분(예를 들면 불화 수소)에 존재하는 물은 "추가된 물"로 간주되지 않는다. 또한, 제2 양태의 조성물은 또한, 화학기계적 연마제 물질, 강 염기(예를 들면 알칼리 및 알칼리성 금속 수산화물 및 4급 암모늄 수산화물) 및 아민 중 하나 이상이 실질적으로 없다.
비산화성 산은 원칙적으로 포토레지스트에 양성자를 가하고 이를 용해시키기 위해 존재한다. 상기 제2 양태의 조성물에서 고려되는 비산화성 산은 상기 제1 양태에서 열거된 것들을 포함한다. 바람직하게는, 상기 비산화성 산은 메탄설폰산(99%), 염산(진한), 트라이플루오로아세트산, 브롬화수소산(62%), 황산(95-98%) 또는 이들의 조합물을 포함한다. 상기 제2 양태의 조성물 중의 비산화성 산의 양은 바람직하게는 90 중량% 초과, 더 바람직하게는 95 중량% 초과, 및 가장 바람직하게는 98 중량% 초과이다.
상기 하나 이상의 플루오라이드 및/또는 브로마이드 화합물은, 레지스트-피복된 영역(즉, "레일")의 모서리에서 덮인 레지스트 물질의 제거를 개선하는 것으로 생각된다. 상기 제2 양태의 조성물을 위해 고려되는 플루오라이드 및 브로마이드 화합물은 상기 제1 양태에서 열거된 것들을 포함한다. 바람직하게는, 상기 플루오라이드 화합물은 불화수소산을 포함한다. 존재시, 불화수소산의 양은 약 0.01 내지 약 1 중량%, 더 바람직하게는 약 0.1 내지 약 0.4 중량% 범위이다. 존재시, HF 이외의 플루오라이드 화합물 및/또는 브로마이드 화합물(들)의 양은 약 0.01 내지 약 8 중량% 범위이다. 플루오라이드 및 브로마이드 화합물의 조합물도 본원에서 고려된다.
상기 내산성 계면활성제는 존재시, 상기 제1 양태와 관련하여 상술한 바와 같다.
놀랍게도, 상기 하나 이상의 비산화성 산 및 상기 하나 이상의 플루오라이드 및/또는 브로마이드 화합물의 일부 조합물이, 비교적 높은 융점을 갖고 수송 시에 냉동될 수 있는 조성물을 낳음을 발견하였다. 따라서, 상기 하나 이상의 비산화성 산 및 상기 하나 이상의 플루오라이드 및/또는 브로마이드 화합물에, 상기 조성물의 융점을 낮추는 하나 이상의 화합물을 첨가할 수 있으며, 이는 당업자들이 용이하게 결정할 수 있다. 중요하게는, 상기 조성물의 융점을 낮추는 하나 이상의 화합물은, 레지스트 제거시의 조성물의 능력 및 마이크로전자 장치 상의 하부 규소-함유 및 게르마늄-함유 물질 및 금속 게이트 물질에 대한 조성물의 상용성을 저하시킬 수 없다. 본 발명자들은 이미, 2014년 3월 14일자로 출원된 미국 특허 출원 제 14/211,528 호(발명의 명칭: "주변 비양성자성 극성 용매로서의 설폴란 혼합물")(이 특허 출원을 그 전체로 본원에 참고로 인용한다)에 기술된 바와 같이, 조성물에 하나 이상의 다이알킬 설폰을 혼입시키는 것이 그 조성물의 융점을 낮춤을 발견하였으며, 상기 하나 이상의 다이알킬 설폰은 화학식 R-(SO2)-R'을 가지며, 이때 R 및 R'는 서로 동일하거나 상이하고 임의의 C1-C6 알킬 기일 수 있다. 따라서, 상기 조성물의 융점을 낮추는 하나 이상의 화합물은, 비제한적으로, 하나 이상의 다이알킬 설폰이며, 상기 하나 이상의 다이알킬 설폰은 화학식 R-(SO2)-R'을 가지며, 이때 R 및 R'는 서로 동일하거나 상이하고 임의의 C1-C6 알킬 기일 수 있고, 예로는 다이메틸설폰(메틸 설포닐 메탄, MSM, DMSO2으로도 불리움), 에틸 메틸 설폰, 다이프로필 설폰, 에틸 프로필 설폰, 다이에틸 설폰, 다이부틸 설폰, 및 이들의 조합물이 있다. 상기에 추가로 또는 대안적으로, 상기 조성물의 융점을 낮추는 하나 이상의 화합물은, 황산 및 설폴란을 포함할 수 있다. 바람직하게는, 상기 조성물의 융점을 낮추는 하나 이상의 화합물은, 다이메틸 설폰, 설폴란 또는 황산을 포함한다. 다이메틸 설폰은, 설폰란과 유사한 용매 특성을 가질 뿐 아니라 유리하게도 높은 비점, 높은 인화점, 무독성 및 고 안정성을 갖는다.
제2 양태의 조성물은 추가로 하나 이상의 분산제, 예를 들면 비제한적으로, 헤테로환, 예를 들면 피리딘, 이미다졸, 벤즈이미다졸 및 피페리딘 뿐 아니라, 예를 들면 C1-C10 알킬, 페닐, 벤질, 펜에틸, 3-페닐프로필, 벤질옥시, 카복실, 클로로, 브로모, 메톡시, 니트로 및 시아노 기 중 하나 이상을 포함하는 헤테로환의 유도체, 예를 들면 비제한적으로, 4-벤질피리딘, 4-벤질피페리딘, 2-벤질피리딘, 4-(4-니트로벤질)피리딘, 4-(5-노닐)피리딘, 4-(3-페닐프로필)피리딘, 4-(3-페닐프로필)피리딘-N-옥사이드, 1-옥틸이미다졸, 1-옥틸벤즈이미다졸, 폴리(2-비닐 피리딘), 폴리(4-비닐 피리딘), 및 상기 마지막 두 성분 중 하나 또는 둘다를 구성성분으로 포함하는 공중합체를 포함한다.
제1 및 제2 양태의 조성물들은 약 2 미만의 pH, 더 바람직하게는 약 1 미만의 pH를 갖는다. 제1 및 제2 양태의 조성물의 pH는 사용된 성분들 및 이들의 양에 따라 0 미만일 수도 있거나 심지어는 통상의 장비로 측정이 불가능할 수 있음을 알아야 한다.
또 하나의 실시양태에서, 제1 및 제2 양태의 조성물은 추가로 벌크 및 강화된 레지스트 물질을 포함하는데, 여기에서 벌크 및 강화된 레지스트 물질은 붕소, 비소, 이불화 붕소, 인듐, 안티몬, 게르마늄, 탄소 및/또는 인 이온을 포함할 수 있다. 예를 들어, 제1 및 제2 양태의 조성물은 하나 이상의 비산화성 산, 상기 하나 이상의 플루오라이드 및/또는 브로마이드 화합물, 및 벌크 및 강화된 레지스트 물질을 포함할 수 있으며, 단 상기 조성물은 산화제가 실질적으로 없다. 상기 레지스트 물질 및 주입 이온은 제1 및 제2 양태의 조성물에 용해 및/또는 현탁될 수 있다.
제1 및 제2 양태의 조성물은, 마이크로전자 장치 상에서 하부 규소-함유 및 게르마늄-함유 물질 및 금속 게이트 물질과 상용성이다.
제1 및 제2 양태의 조성물은 단일-패키지 제형 또는 사용 시점에서 및/또는 사용 시점 전에 혼합되는 다중-부분 제형으로서 용이하게 제형화될 수 있으며, 예를 들어, 다중-부분 제형의 각 부분은 공구(tool)에서, 공구 상류의 저장 탱크에서, 또는 혼합된 제형이 공구로 직접 전달되는 수송(shipping) 패키지에서 혼합될 수 있다. 예를 들어, 단일 수송 패키지는 둘 이상의 별도의 용기 또는 블래더(bladder)를 포함할 수 있으며, 이들은 제조공장에서 사용자에 의해 함께 혼합되어 혼합된 제형이 공구로 직접 전달될 수 있다. 수송 패키지 및 상기 패키지의 내부 용기 또는 블래더는 조성물 성분들의 저장 및 수송에 적합하여야 하며, 예를 들면, 어드밴스드 테크놀러지 머티리얼즈 인코포레이티드(Advanced Technology Materials, Inc.)(미국 코네디컷주 댄버리 소재)에서 제공하는 패키지가 있다.
대안적으로, 제1 및 제2 양태의 조성물의 농축물이, 사용하기 전 및/또는 사용하는 도중에, 운송 및 현장의 성분들과의 혼합를 위해 하나의 용기 내에서 제형화되고 패키징될 수 있다(이때 사용 방법은 본원에 기술되어 있다). 예를 들어, 상기 농축물은, 사용 이전 및/또는 사용하는 도중에, 추가적인 비산화성 산의 첨가를 위해 제형화될 수 있다.
제3 양태에서, 레지스트, 구체적으로 이온-주입된 레지스트를 이를 포함하는 마이크로전자 장치로부터 스트립핑하는 또 하나의 조성물이 기술된다. 하나의 실시양태에서, 황-함유 산 및 HCl을 포함하거나 이로 이루어지거나 본질적으로 이로 이루어진 조성물이 기술되며, 이때 상기 HCl은 용해된 기체로서 첨가된 HCl(염화수소)을 사용하거나 HCl-발생 화합물을 사용하여 생성된다. 상기 조성물 중의 상기 HCl-발생 화합물의 양은 바람직하게는 약 0.1 내지 약 10 중량%, 더 바람직하게는 약 0.5 내지 약 5 중량% 범위이다. 상기 조성물의 나머지는 황-함유 산이다. 이 실시양태의 조성물은 첨가된 플루오라이드 종 및 과산화 수소 및 기타 산화제가 실질적으로 없다. 예를 들면, 상기 황-함유 산은 황산일 수 있고, 상기 HCl-발생 화합물은 전형적으로 1 내지 5% 농도의 티오닐 클로라이드(SOCl2)일 수 있으며, 여기서 SOCl2는 황산 내에 존재하는 물과 반응하여 HCl과 SO2 를 생성한다. 이 예에서, 상기 HCl의 대부분은 증발하지만 용액은 HCl이 포화된 상태로 실질적인 함량의 SO2 기체와 함께 남는다. 또 하나의 예에서 상기 황-함유 산은 황산일 수 있고, 상기 HCl-발생 화합물은 전형적으로 0.5 내지 5% 농도의 클로로설폰산일 수 있으며, 여기서 클로로설폰산은 황산 내에 존재하는 물과 반응하여 HCl과 H2SO4 를 생성하며, 이때 상기 조성물은 HCl로 포화된다. 이론에 의해 얽매이고자 하는 것은 아니지만, 염화성 환경이 레지스트 잔류물이 게르마늄-함유 표면에서 "박리" 제거되는 것을 도우며, 소수성 표면(아마도 염화물로 피복된 것)을 뒤에 남긴다. 처리된 게르마늄-함유 표면의 소수성은 실제적으로, 기재에 대한 유의적인 손상없이 표면의 수계 세정을 허용한다.
또 하나의 실시양태에서, 상기 제3 양태의 조성물은 황-함유 산, HCl 및 하나 이상의 플루오라이드 및/또는 브로마이드 화합물을 포함하거나 이로 이루어지거나 본질적으로 이로 이루어진 조성물이 기술되며, 이때 상기 HCl은 용해된 기체로서 첨가된 HCl(염화수소)을 사용하거나 HCl-발생 화합물을 사용하여 생성된다. 상기 조성물 중의 상기 HCl-발생 화합물의 양은 바람직하게는 약 0.1 내지 약 10 중량%, 더 바람직하게는 약 0.5 내지 약 5 중량% 범위이다. 상기 플루오라이드 및/또는 브로마이드 화합물의 양은 바람직하게는 약 0.1 내지 약 5 중량% 범위이다. 상기 조성물의 나머지는 황-함유 산이다. 이 실시양태의 조성물은 첨가된 물 및 과산화 수소 및 기타 산화제가 실질적으로 없다.
상기 황-함유 산은 비제한적으로 진한 황산, 100% 황산, "발연(fuming)" 황산(과량의 SO3 함유), 클로로설폰산(ClSO3H), 클로로설폰산과 황산의 혼합물, 트라이플루오로메탄설폰산(CF3SO3H) 및 플루오로설폰산(FSO3H)을 포함한다.
HCl-발생 화합물은, 비제한적으로, 티오닐 클로라이드(SOCl2), 포스포릴 트라이클로라이드(POCl3), 설푸릴 클로라이드(SO2Cl2), 붕소 트라이클로라이드(BCl3), 게르마늄 테트라클로라이드(GeCl4), 클로로설폰산, 및 이들의 조합물을 포함한다. 또한, HCl은, 황-함유 산을 통해 HCl 기체를 버블링함으로써 직접 첨가될 수 있거나, 또는 진한(35-38%) 염산을 직접 황-함유 산에 첨가할 수 있다. 클로라이드 염, 예를 들면 암모늄 클로라이드 또는 에틸 암모늄 하이드로클로라이드 또한 HCl의 공급원으로서 첨가될 수 있다. 공급원에 상관없이 상기 제3 양태의 조성물은 바람직하게는 HCl이 포화된다.
존재 시에 상기 하나 이상의 플루오라이드 화합물은, 상기 제1 양태의 조성물에 대해 열거한 플루오라이드 화합물 중 하나일 수 있고, 바람직하게는 HF이다. 존재 시에, 상기 하나 이상의 브로마이드 종은 HBR, 또는 매우 낮은 pH에서 용액에 주로 HBr을 생성하는 임의의 다른 브로마이드 공급원을 포함한다.
상기 제3 양태의 하나의 실시양태에서, 상기 조성물은 황산 및 SOCl2를 포함한다. SOCl2와 진한(95-98%) 황산 중의 잔류수와의 반응은 교반 조건하에 매우 빨라서, 실온에서 거의 10 내지 30분 후에 용해가 완결된다 (HCl 버블링의 사라짐으로 입증됨).
SOCl2 + H2O → SO2 + 2 HCl
H2SO4와 SOCl2의 경우, 진한 황산 중의 SOCl2의 용해도가 1%보다 훨씬 적어서 반응 시간의 대부분 동안 계가 상-분리되어 있으므로, 격렬한 교반이 필요하다. 원한다면, 계면활성제, 예를 들면 4급 암모늄 클로라이드, 예를 들면 도데실트라이메틸암모늄 클로라이드(DTAC)의 첨가에 의해 또는 진한 황산에 가용성이고 안정한 유기 용매, 예를 들면 설폴란, 다이메틸설폰 또는 이들 둘의 혼합물의 첨가에 의해, 상기 두 상의 융화 속도를 증가시킬 수 있다. 상기 가수분해 반응에 의해 생성된 SO2의 대부분은 황산에 용해된 채로 남지만, 염화 수소의 대부분은 상기 반응 중에 증발에 의해 소실되며 일부는 상기 용액이 공기 중에 노출된다면 나중에 소실된다. 전형적인 경우, SOCl2-처리된 황산의 HCl 함량은, 새 용액 중의 초기 0.185%에서 개방 자(jar) 중에서 26시간 후에 0.131%로 감소된다.
예를 들면, 100% H2SO4 + 5% SOCl2로 탁월한 결과가 수득되며, 이는, 100% H2SO4는 우수한 스트립핑 작용을 하지만 Ge 기재의 피팅(pitting)을 야기하기 때문에, 놀라운 것이다. 이론에 의해 얽매이고자 하는 것은 아니지만 H2SO4 + SOCl2 혼합물 중의 S(IV)의 존재가, 상기 혼합물이 게르마늄-함유 기재에 대한 산화제로 작용하는 것을 방지하는 것으로 생각된다. 유리하게도, H2SO4 + SOCl2를 포함하는 조성물의 경우, SiOx, SiNx 및 Ge의 에칭률은 40℃에서 모두 0.1 Å/분 이하였다.
상기 언급된 바와 같이, 하나 이상의 계면활성제 및/또는 하나 이상의 유기 용매는, 상기 황-함유 화합물 중의 상기 HCl-발생 화합물의 용해도를 보조하기 위해 첨가될 수 있다. 따라서, 상기 제3 양태의 조성물의 또 하나의 실시양태에서, 상기 조성물은 황-함유 화합물, HCl-발생 화합물 및 하나 이상의 계면활성제 및/또는 하나 이상의 유기 용매를 포함하거나 이들로 이루어지거나 본질적으로 이들로 이루어진다.
상기 하나 이상의 계면활성제는 C10-C16 알킬 쇄를 가진 양이온성 4급 계면활성제일 수 있으며, 예를 들면, 비제한적으로, 벤즈알코늄 클로라이드, 벤질다이메틸도데실암모늄 클로라이드, 미리스틸트라이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 클로라이드(DTAC), 데실트라이메틸암모늄 클로라이드, 다이알릴다이메틸암모늄 클로라이드, 트라이메틸테트라데실 암모늄 클로라이드, 테트라데실다이메틸벤질 암모늄 클로라이드, 도데실(2-하이드록시에틸)다이메틸 암모늄 클로라이드, 헥사데실(2-하이드록시에틸)다이메틸 암모늄 클로라이드, 도데실(2-하이드록시에틸)다이메틸 암모늄 브로마이드, 헥사데실(2-하이드록시에틸)다이메틸 암모늄 브로마이드, 헥사데실피리미디늄 클로라이드, 앨리쿼트(Aliquat) 336(코그니스(Cognis)), 벤질다이메틸페닐 암모늄 클로라이드, 크로다쿼트(Crodaquat) TES (크로다 인코포레이티드), 레워쿼트(Rewoquat) CPEM (위트코(Witco)), 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 헥사데실트라이메틸암모늄 하이드록사이드, 1-메틸-1'-테트라데실-4,4'-바이피리듐 다이클로라이드, 알킬트라이메틸암모늄 브로마이드, 암프롤륨 하이드로클로라이드, 벤즈에토늄 하이드록사이드, 벤즈에토늄 클로라이드, 벤질다이메틸헥사데실암모늄 클로라이드, 벤질다이메틸테트라데실암모늄 클로라이드, 벤질도데실다이메틸암모늄 브로마이드, 벤질도데실다이메틸암모늄 클로라이드, 세틸피리디늄 클로라이드, 콜린 p-톨루엔설포네이트 염, 다이메틸다이옥타데실암모늄 브로마이드, 도데실에틸다이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 클로라이드, 에틸헥사데실다이메틸암모늄 브로마이드, 지라드(Girard's) 시약, 헥사데실(2-하이드록시에틸)다이메틸 암모늄 다이하이드로겐 포스페이트, 덱사데실피리디늄 브로마이드, 헥사데실트라이메틸암모늄 브로마이드, 헥사데실트라이메틸암모늄 클로라이드, 메틸벤즈에토늄 클로라이드, 하이아민(Hyamine)(상품명) 1622, 루비쿼트(Luviquat)(상품명), N,N',N'-폴리옥시에틸렌(10)-N-탈로우-1,3-다이아미노프로판 액체, 옥시페노늄 브로마이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, 톤조늄(thonzonium) 브로마이드, 트라이도데실암모늄 클로라이드, 트라이메틸옥타데실암모늄 브로마이드, 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 클로라이드, 트라이도데실메틸암모늄 브로마이드, 다이메틸다이스테아릴암모늄 클로라이드, 및 헥사메토늄 클로라이드를 포함한다. DTAC와 같은 클로라이드 계면활성제가 사용되면, 이는 고체로서 또는 진한 용액으로서 (격렬한 교반과 함께) 직접 첨가되어 용해될 수 있다 (예를 들면 25% DTAC 는 1:1(w/w) 황산 중에서 제조되어 최종 혼합물로의 수첨가를 최소화할 수 있다).
고려되는 유기 용매는 비제한적으로, 설폰, 예를 들면 설폴란, 다이메틸 설폰; 무수물, 예를 들면 아세트산 무수물; 산 클로라이드, 예를 들면 메탄설포닐 클로라이드, p-톨루엔설포닐 클로라이드; 및 이들의 조합물을 포함한다.
상기 제3 양태의 조성물은 추가로 하나 이상의 분산제, 예를 들면 비제한적으로, 헤테로환, 예를 들면 피리딘, 이미다졸, 벤즈이미다졸 및 피페리딘 뿐 아니라, 예를 들면 C1-C10 알킬, 페닐, 벤질, 펜에틸, 3-페닐프로필, 벤질옥시, 카복실, 클로로, 브로모, 메톡시, 니트로 및 시아노 기 중 하나 이상을 포함하는 헤테로환의 유도체, 예를 들면 비제한적으로, 4-벤질피리딘, 4-벤질피페리딘, 2-벤질피리딘, 4-(4-니트로벤질)피리딘, 4-(5-노닐)피리딘, 4-(3-페닐프로필)피리딘, 4-(3-페닐프로필)피리딘-N-옥사이드, 1-옥틸이미다졸, 1-옥틸벤즈이미다졸, 폴리(2-비닐 피리딘), 폴리(4-비닐 피리딘), 및 상기 마지막 두 성분 중 하나 또는 둘다를 구성성분으로 포함하는 공중합체를 포함할 수 있다.
상기 제3 양태의 조성물은 첨가된 물이 실질적으로 없다. 일부 상업적인 성분은 소량의 물을 포함하는 것으로 이해되지만, 바람직하게는 본원에 기술된 제3 양태의 조성물에 추가의 물이 첨가되지 않는다. 따라서, 제3 양태의 조성물은, 조성물의 총 중량을 기준으로 약 5 중량% 미만, 더 바람직하게는 3 중량% 미만, 더 더욱 바람직하게는 2 중량% 미만, 및 가장 바람직하게는 1 중량% 미만의 추가된 물을 포함한다. 상업적으로 구매한 성분(예를 들면 불화 수소)에 존재하는 물은 "추가된 물"로 간주되지 않는다.
또 하나의 실시양태에서, 제3 양태의 조성물은 추가로 벌크 및 강화된 레지스트 물질을 포함하는데, 여기에서 벌크 및 강화된 레지스트 물질은 붕소, 비소, 이불화 붕소, 인듐, 안티몬, 게르마늄, 탄소 및/또는 인 이온을 포함할 수 있다. 예를 들어, 제3 양태의 조성물은 황-함유 산, HCl 및 벌크 및 강화된 레지스트 물질을 포함할 수 있으며, 이때 상기 HCl은 용해된 기체로서 첨가된 HCl(염화수소)을 사용하거나 HCl-발생 화합물을 사용하여 생성되고, 단 상기 조성물은 플루오라이드 종 및 산화제가 실질적으로 없다. 달리, 제3 양태의 조성물은 황-함유 산, HCl, 하나 이상의 플루오라이드 및/또는 브로마이드 화합물 및 벌크 및 강화된 레지스트 물질을 포함할 수 있으며, 이때 상기 HCl은 용해된 기체로서 첨가된 HCl(염화수소)을 사용하거나 HCl-발생 화합물을 사용하여 생성된다. 상기 레지스트 물질 및 주입 이온은 상기 제3 양태의 조성물에 용해 및/또는 현탁될 수 있다.
제3 양태의 조성물은, 마이크로전자 장치 상에서 하부 규소-함유 및 게르마늄-함유 물질 및 금속 게이트 물질과 상용성이다.
제3 양태의 조성물은, 바람직하게는, 사용 시점 전에 혼합되는 다중-부분 제형이다. 예를 들면 상기 다중-부분 제형의 개별적인 부분들은 공구의 상류에서 저장 탱크에서 혼합될 수 있다. 교반이 필요하고 HCl이 반응계 내에서 생성하기 때문에, 상기 조성물은, 즉시 사용되거나, 열화를 최소화하도록 밀폐된 용기에서 단기간 동안 저장되어야 한다.
상기 제3 양태의 한 실시양태에서, HCl을 포함하는 제3 양태의 조성물은 보충되는데, 그 이유는 HCl의 농도가 시간 경과에 따라 증발로 인해 감소되기 때문이다. 구체적으로, 제3 양태의 조성물에 HCl을 보충하는 방법은,
물과 설폰란을 배합하여 제1 혼합물을 제조하는 단계,
상기 제1 혼합물에 클로로설폰산을 소정량 가하여 설폴란 중에 HCl을 발생시켜 제2 혼합물을 수득하되, 이때 클로로설폰산의 양은 상기 제1 혼합물 내의 물의 양과 등몰량인, 단계, 및
상기 제2 혼합물을 상기 제3 양태의 조성물에 첨가함으로써 제3 양태의 조성물에 HCl을 보충하되, 이때 첨가되는 제2 혼합물의 양은, 제3 양태의 조성물로부터 사전에 증발된 HCl을 적어도 부분적으로 대체하는데 필요한 양인, 단계
를 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진다.
제4 양태에서, 본원에서 기술되는 조성물들은 마이크로전자 장치의 표면에서 벌크 및 강화된 레지스트를 세정하는데 유용하게 사용된다. 제4 양태의 마이크로전자 장치는, 전자 장치가 위나 내부에 형성된 웨이퍼, 예를 들면, 반도체 웨이퍼이다. 레지스트는 웨이퍼의 표면에 부착될 수 있다. 레지스트는 웨이퍼의 이온-주입 중에 이온-주입된 것일 수 있다. 레지스트의 이온-주입은 레지스트에서 강화된, 크러스트화된, 중합된 및/또는 탄화된 외층이 형성되게 할 수 있다. 상기 조성물은 우선적으로는, 장치 표면 상에 있는 규소-함유 물질 또는 게르마늄-함유 물질에 손상을 가하지 않도록 제형화된다. 바람직하게는, 본원에 기술된 조성물들은 레지스트 제거 이전에 장치 상에 존재하는 벌크 및 강화된 레지스트의 적어도 85%를 제거하며, 더 바람직하게는 적어도 90%, 심지어 더 바람직하게는 적어도 95%, 및 가장 바람직하게는 적어도 99%를 제거한다.
제거 용도에 있어서, 상기 조성물은 레지스트 물질을 상부에 가지는 마이크로전자 장치에 임의의 적절한 방법으로 도포될 수 있는데, 예를 들어, 장치의 표면에 조성물을 분사함으로써, 레지스트 물질을 포함하는 장치를(소정 부피의 조성물에) 침지함으로써, 장치를 다른 물질 예를 들어 상기 조성물로 포화되어 있는 패드 또는 섬유질의 흡수성 도포기 부재(fibrous sorbent applicator element)와 접촉시킴으로써, 레지스트 물질이 포함된 장치와 순환 조성물을 접촉시킴으로써, 또는 조성물이 마이크로전자 장치 상의 레지스트 물질과 접촉되도록 하는 임의의 다른 적절한 수단, 방법 또는 기술에 의해서 도포될 수 있다. 상기 도포는 동적 또는 정적 세정을 위해 배취식 또는 단일 웨이퍼 장치에서 수행할 수 있다.
마이크로전자 장치에서 벌크 및 강화된 레지스트를 제거하기 위한 본원에 기술된 조성물 사용시에, 상기 조성물은 전형적으로 약 10초 내지 약 60분, 바람직하게는 1분 내지 20분의 시간 동안 약 20℃ 내지 약 200℃, 바람직하게는 약 20℃ 내지 약 80℃ 범위의 온도에서 상기 장치와 접촉된다. 이러한 접촉시간과 온도는 예시적인 것이며, 장치로부터 벌크 및 강화된 레지스트를 적어도 부분적으로라도 세정하는데 효과가 있다면 임의의 다른 적절한 시간 및 온도 조건이 사용될 수 있다. "적어도 부분적인 세정" 및 "실질적인 제거"란 모두, 레지스트 제거 이전에 장치 위에 존재하는 벌크 및 강화된 레지스트의 적어도 85%의 제거에 상응하며, 더 바람직하게는 적어도 90%, 심지어는 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99%에 상응한다.
제4 양태의 하나의 실시양태에서, 상부에 레지스트를 갖는 마이크로전자 장치 표면으로부터 레지스트를 제거하는 방법은, 마이크로전자 장치 표면으로부터 레지스트를 실질적으로 제거하는데 필요한 조건 하에서 마이크로전자 장치 표면에 본원에 기술된 조성물을 접촉시키는 단계를 포함한다. 바람직하게는, 상기 레지스트는 벌크 및 이온-주입된 레지스트 물질을 포함하고, 상기 조건은 상술한 시간 및 온도를 포함한다.
제4 양태의 또 하나의 실시양태에서, 상부에 레지스트를 갖는 마이크로전자 장치 표면으로부터 레지스트를 제거하는 방법은,
마이크로전자 장치 표면으로부터 레지스트를 적어도 부분적으로 제거하는데 필요한 제1 시간 동안 제1 온도에서 마이크로전자 장치 표면에 상기 제1 또는 제2 또는 제3 양태의 조성물을 접촉시키는 단계, 및
상기 조성물의 온도를 제2 온도로 증가시켜, 상기 마이크로전자 장치 표면으로부터 잔류 레지스트를 제거하는 단계
를 포함하며, 이때 상기 제2 온도는 상기 제1 온도보다 높다. 바람직하게는, 상기 레지스트는 벌크 및 이온-주입된 레지스트 물질을 포함하고, 상기 잔류 레지스트는 레지스트-피복된 영역(즉, "레일")의 모서리에서 덮인 레지스트 물질 또는 상기 제1 온도에서 제거되지 않은 다른 레지스트를 포함한다.
제4 양태의 또 하나의 실시양태에서, 상부에 레지스트를 갖는 마이크로전자 장치 표면으로부터 레지스트를 제거하는 방법은,
마이크로전자 장치 표면으로부터 레지스트를 적어도 부분적으로 제거하는데 필요한 제1 시간 동안 제1 온도에서 마이크로전자 장치 표면을 제1 조성물과 접촉시키는 단계, 및
상기 마이크로전자 장치 표면을, 마이크로전자 장치 표면으로부터 잔류 레지스트를 제거하는데 필요한 제2 시간 동안 제2 온도에서 제2 조성물과 접촉시키는 단계
를 포함하며, 이때 상기 제1 시간은 상기 제2 시간과 동일하거나 상이할 수 있고, 상기 제2 온도는 제1 온도와 같거나 그보다 낮을 수 있고, 상기 제1 조성물은, 플루오라이드 종을 갖지 않은 제1 또는 제2 또는 제3 양태의 조성물이거나 또는 상기 제2 조성물 중의 플루오라이드 종의 농도보다 낮은 플루오라이드 종의 농도를 가진 제1 또는 제2 또는 제3 양태의 조성물이다.
상기 제2 조성물은, 하나 이상의 플루오라이드 종을 가진 제1 또는 제2 또는 제3 양태의 조성물이다. 바람직하게는, 상기 레지스트는 벌크 및 이온-주입된 레지스트 물질을 포함하고, 상기 잔류 레지스트는 레지스트-피복된 영역(즉, "레일")의 모서리에서 덮인 레지스트 물질 또는 상기 제1 온도에서 제거되지 않은 다른 레지스트를 포함한다.
원하는 제거 작용을 달성한 다음에는, 본원에 기술된 조성물은 이전에 도포된 장치로부터 용이하게 제거할 수 있는데, 이는 본원에서 서술된 조성물의 주어진최종 용도에 바람직하고 효과적일 수 있다. 상기 세정 용액은, 다이메틸 설폭사이드(DMSO), 이소프로판올, N-메틸피롤리돈(NMP), 다이메틸아세트아미드, 설폴란 및 다른 설폰, 메탄올, 및 감마-부티로락톤 중 하나 이상을 포함할 수 있다. 상기 조성물이 HCl-발생 성분, 예를 들면 클로로설폰산을 포함하는 경우, 상기 세정 용액은, 상술한 세정 성분 이외에, 추가로 물을 포함할 수 있다. 하나의 실시양태에서, 상기 세정 공정은 DMSO 세정에 이어 이소프로판올 세정을 포함한다. 또 하나의 실시양태에서, 상기 세정 공정은 이소프로판올 세정을 포함한다. 또 하나의 실시양태에서, 상기 세정 공정은 수세에 이어 이소프로판올 세정을 포함한다. 또 다른 하나의 실시양태에서, 상기 세정 공정은 수성 계면활성제 용액에 의한 세정에 이어 탈 이온수 세정에 이어 이소프로판올 세정을 포함한다. 그 후, 상기 장치는 질소 또는 스핀-건조 사이클을 이용하여 건조될 수 있다.
또 다른 양태는 본원에서 기재된 방법에 따라 제조된 개선된 마이크로전자 장치 및 그러한 마이크로전자 장치를 포함한 제품과 관련이 있다.
또 다른 양태는 재사용되는 제1 또는 제2 양태의 조성물과 관련이 있는데, 상기 조성물은 포토레지스트의 성분의 적재량이 상기 조성물이 수용할 수 있는 최대량에 도달할 때까지 재사용되며, 이는 당해 분야의 숙련자에 의해 용이하게 결정될 수 있다. 당해 분야의 숙련자들은 여과 및/또는 펌핑 시스템이 재사용 공정에 필요할 수 있고, 예를 들면 전술된 방법에 의한, HCl 또는 HCl-발생 성분의 보충이 필요할 수 있음을 알 것이다.
추가적인 양태는 마이크로전자 장치를 포함하는 제품을 제조하는 방법과 관련이 있으며, 상기 방법은, 본원에 기재한 조성물을 사용하여, 레지스트를 상부에 가진 마이크로전자 장치로부터 벌크 및 강화된 레지스트를 세정하기에 충분한 시간 동안 상기 마이크로전자 장치를 본원에 기재한 조성물과 접촉시키고, 상기 마이크로전자 장치를 상기 제품 내로 혼입시킴을 포함한다.
추가적인 양태는, 상부에 레지스트를 갖는 마이크로전자 장치 표면으로부터 레지스트를 제거하는 방법과 관련이 있으며, 상기 방법은,
마이크로전자 장치 표면으로부터 레지스트를 적어도 부분적으로 제거하는데 필요한 제1 시간 동안 제1 온도에서 마이크로전자 장치 표면을 조성물과 접촉시키는 단계, 및
마이크로전자 장치 표면으로부터 잔류 레지스트를 제거하기 위해 상기 조성물의 온도를 제2 온도로 증가시키는 단계
를 포함하며, 이때 상기 제2 온도는 상기 제1 온도보다 높다.
또 다른 양태는, 이온-주입된 레지스트를 상기 레지스트를 포함하는 마이크로전자 장치의 표면으로부터 제거하는 방법과 관련이 있으며, 상기 방법은
레지스트의 적어도 부분적인 제거를 수행하는데 필요한 시간과 온도에서 마이크로전자 장치와 상기 제1 또는 제2 양태의 조성물을 접촉시키는 단계로서, 이때 상기 조성물은 하나 이상의 비산화성 산 및 하나 이상의 플루오라이드 및/또는 브로마이드 화합물을 포함하고, 단 상기 조성물은 실질적으로 산화제가 없는 것인, 단계;
레지스트 잔류물 및 상기 조성물의 제거를 수행하기 위해 마이크로전자 장치를 DMSO로 세정하는 단계; 및
상기 장치로부터의 DMSO의 제거를 수행하기 위해 마이크로전자 장치를 이소프로판올로 세정하는 단계
를 포함한다.
추가의 또 다른 양태는, 이온-주입된 레지스트를 상기 레지스트를 포함하는 마이크로전자 장치의 표면으로부터 제거하는 방법과 관련이 있으며, 상기 방법은
레지스트의 적어도 부분적인 제거를 수행하는데 필요한 제1 시간 동안 제1 온도에서 마이크로전자 장치와 상기 제1 또는 제2 양태의 조성물을 접촉시키는 단계로서, 이때 상기 조성물은 하나 이상의 비산화성 산 및 하나 이상의 플루오라이드 및/또는 브로마이드 화합물을 포함하고, 단 상기 조성물은 실질적으로 산화제가 없는 것인, 단계;
상기 조성물의 온도를 제2 온도를 올리고, 상기 표면으로부터의 레지스트의 실질적인 제거를 수행하기 위해 상기 마이크로전자 장치를 제2 시간 동안 계속 접촉시키는 단계로서, 이때 상기 제2 온도는 상기 제1 온도보다 높은, 단계;
레지스트 잔류물 및 상기 조성물의 제거를 수행하기 위해 마이크로전자 장치를 DMSO로 세정하는 단계; 및
상기 장치로부터의 DMSO의 제거를 수행하기 위해 마이크로전자 장치를 이소프로판올로 세정하는 단계
를 포함한다.
추가의 또 다른 양태는, 이온-주입된 레지스트를 상기 레지스트를 포함하는 마이크로전자 장치의 표면으로부터 제거하는 방법과 관련이 있으며, 상기 방법은
적어도 황-함유 산 및 HCl을 포함하는 조성물을 생성하는데 필요한 시간 동안 황-함유 산을 용해된 기체로서 첨가된 HCl(염화수소) 또는 HCl-발생 화합물과 배합하는 단계;
레지스트의 적어도 부분적인 제거를 수행하는데 필요한 시간 및 온도에서 상기 마이크로전자 장치와 상기 조성물을 접촉시키는 단계;
레지스트 잔류물 및 상기 조성물의 제거를 수행하기 위해 마이크로전자 장치를, 물, DMSO, 또는 메탄올을 포함하는 제1 세정액으로 세정하는 단계; 및
임의적으로, 상기 장치로부터의 상기 제1 세정액의 제거를 수행하기 위해 마이크로전자 장치를 이소프로판올로 세정하는 단계
를 포함한다.
본 발명의 상기 특징과 이점들을 하기에 논의되는 예시적인 실시예들에 의해 보다 충분히 설명된다.
실시예 1
다음의 제형을 제조하여, 쿠폰으로부터 벌크 및 강화된 레지스트를 제거하기 위한 본원에 기술된 공정에 사용하였다.
Figure 112016068663145-pct00001
상기 주입 레지스트 스트립핑 공정은, 쿠폰을 각각의 제형 A 내지 J에 실온에서 10분 동안 침지시킨 다음 DMSO 세정에 이어 이소프로판올 세정하고 이어서 N2 건조하는 것을 포함하였다. 블랑켓된 웨이퍼의 에칭률 측정은, SiO2(Si 상의 100 nm 열 산화물) 및 PECVD SiN(Si 상의 400 nm PECVD SiNx) 쿠폰의 초기 두께를 측정하고, 쿠폰을 400 cm3의 제형 A 내지 J에 20℃에서 20분 동안 침지시키고 나서 1분 동안 오버플로우 세정시킨 후 약 10초 동안 스트림에서 세정하고 이어서 N2 건조를 수행하고 마지막으로 SiO2 및 PECVD SiN의 최종 두께를 측정하는 것을 포함하였다. 초기 및 처리후 두께는 분광광도법 엘립소메트리를 이용하여 측정하였다. 그 결과는 하기 표 1에 나타내었다.
표 1:
Figure 112016068663145-pct00002
HF 농도를 저하시키는 것(제형 H 내지 J)은 SiN 및 SiO2 에칭률 둘다를 선형적으로 감소시킴을 알 수 있다. 플루오라이드 종인 테트라부틸암모늄 테트라플루오로보레이트, 테트라부틸암모늄 트라이플루오로메탄설포네이트, 및 플루오로붕산을 포함하는 제형은 실제적으로 HF보다 낮은 SiN 및 SiO2 에칭률을 나타내었다.
레지스트 제거와 관련하여, 도 1을 참조하면, 레지스트의 제거는, 어떤 플루오라이드 종이 선택되는 지에 크게 의존하지 않았다(테트라부틸암모늄 테트라플루오로보레이트는 제외됨).
주목할만한 것은, HF의 농도의 감소는 더 낮은 SiN 및 SiO2 에칭률로 필적할만한 레지스트 제거율을 제공하였다.
실시예 2
제형 H를 이용하여 추가의 연구를 수행하였다. 제1 실험에서는, Ge 상에 중간 용량의 고에너지 주입을 가진 패턴화된 웨이퍼 쿠폰을 교반없이 DMSO에 20℃에서 10분 동안 침지시켰다. 상기 쿠폰을 꺼내어 이소프로판올로 세정하였다. 도 2a 및 2b를 참조하면(도 2b에서는 AFM 스캔을 보여주고, 도 2a에서는 단면적 분석을 보여줌), 크러스트의 대부분과 "레일"의 모두가 여전히 존재함을 알 수 있다.
제2 실험에서는, Ge 상에 중간 용량의 고에너지 주입을 가진 패턴화된 웨이퍼 쿠폰을 교반없이 제형 H에 20℃에서 10분 동안 침지시켰다. 상기 쿠폰을 꺼내어 DMSO로 세정한 후 이소프로판올로 2차 세정하였다. 도 3a 및 3b를 참조하면(도 3b에서는 AFM 스캔을 보여주고, 도 3a에서는 단면적 분석을 보여줌), 소량의 "레일"이 여전히 존재함을 알 수 있다. 이론에 의해 얽매이고자 하는 것은 아니지만, 데브리스(debris)는 재침착된 크러스트 및 레일 입자라고 생각된다.
제3 실험에서는, Ge 상에 중간 용량의 고에너지 주입을 가진 패턴화된 웨이퍼 쿠폰을 교반없이 제형 H에 60℃에서 10분 동안 침지시켰다. 상기 쿠폰을 꺼내어 DMSO로 세정한 후 이소프로판올로 2차 세정하였다. 도 4a 및 4b를 참조하면(도 4b에서는 AFM 스캔을 보여주고, 도 4a에서는 단면적 분석을 보여줌), 레지스트 및 크러스트가 실질적으로 제거되었음을 알 수 있다.
블랑켓된 웨이퍼의 에칭률을, SiO2(Si 상의 100 nm 열 산화물), PECVD SiN(Si 상의 400 nm PECVD SiNx) 및 Ge(Si 상의 120 nm Ge) 쿠폰을 제형 H에 25℃ 및 60℃에서 10분 동안 교반없이 침지시킴으로써 결정하였다. 도 5를 참조하면, 규소-함유 층의 에칭률은 온도 의존적인 반면, Ge의 에칭률은 온도와 상관없이 0.5 Åmin-1 미만이었음을 알 수 있다.
HF가 존재하는 경우조차도, 제형 H에서의 Ge 및 SiO2의 에칭률은 특히 실온에서 보통이었다. 레지스트 제거는 실온에서 거의 완전하였지만, 더 높은 온도(예를 들면, 60℃)가 도움이 된다.
실시예 3
용액 K 내지 U를 표 2에 기재된 바와 같이 제조하였다. 게르마늄 쿠폰을, 엘립소메트리를 이용하여 미리 측정하고, 실온에서 10분 동안 18 시간 지난 용액 K 내지 U로 처리하였다. 상기 쿠폰을 DMSO로 60초 동안 세정하고 이어서 이소프로판올로 30초간 세정한 후 질소 기체로 건조하였다. 상기 쿠폰에 대해 다시 Ge 손실 및 GeO2 손실을 측정하였다.
Figure 112016068663145-pct00003
도 6 및 7 (각각 제형 N 및 U에 침지된 게르마늄 쿠폰의 AFM 현미경 사진)을 참조하면, 제형 N은 레일을 위치 1에서 완전히 제거하고 위치 2에서는 주로 제거하였음을 알 수 있다. 제형 U는 둘다의 위치에서 레일을 제거하였다.
실시예 4
95 중량%의 진한 H2SO4 (96%) 및 5 중량%의 SOCl2을 배합함으로써 조성물 AA를 제조하였다. 상기 조성물을 블렌딩한지 24시간 후에 사용하였다. 주입 레지스트 스트립핑 공정은, 쿠폰을 상기 AA 조성물에 실온에서 2분 동안 침지한 다음 60초의 DMSO 세정에 이어 30초의 이소프로판올 세정 및 질소 송풍 건조함을 포함하였다.
상기 세정 후, 상기 쿠폰을, 원자력 현미경법(AFM)을 사용하여, 평행선의 군 (250 및 500 nm 폭)으로 패턴화된 2군데의 위치(지금까지 각각 위치 1 및 위치 2로 기재됨)에서 이미지 측정하였다. "수평" (x축에 대해 평행함) 스캔으로부터 생성된 상기 이미지는, x축 변위(레일 및 다른 나머지 전체적인 레지스트 특징부의 측정을 용이하게 하기 위함)의 함수로서 모든 수평 스캔을 평균함으로써 얻어진 평균 프로파일과 함께 도 8에 도시되어 있다. 레일이 관찰되지 않았고, 가장 높은 입자가 53 nm 높이였다.
실시예 5
실시예 5의 주입 레지스트 스트립핑 공정은, 쿠폰을 상기 AA 조성물에 실온에서 10분 동안 침지한 다음 60초의 DMSO 세정에 이어 30초의 이소프로판올 세정 및 질소 송풍 건조함을 포함하였다.
상기 세정 후, 상기 쿠폰을, 원자력 현미경법(AFM)을 사용하여, 위치 1 및 위치 2에서 이미지 측정하였다. 상기 이미지는 도 9에 평균 프로파일과 함께 도시되어 있다. 레일이 관찰되지 않았고, 가장 높은 입자가 20 nm 미만의 높이임을 알 수 있다. 주목할만하게도, 새로 블렌딩된 용액(블렌딩한지 100분 및 특히 10분 후에 사용됨)은 레지스트를 제거하지 못했을 뿐아니라 시효된 용액은 훨씬 더 많은 레일을 남김이 관찰되었다.
실시예 6
98.9 중량%의 진한 H2SO4 (96%), 1 중량%의 SOCl2 및 0.1 중량%의 DTAC를 배합함으로써 조성물 BB를 제조하였다. 상기 조성물을 블렌딩한지 68시간 후에 사용하였다. 주입 레지스트 스트립핑 공정은, 쿠폰을 상기 BB 조성물에 실온에서 2.5분 동안 침지한 다음 60초의 DMSO 세정에 이어 30초의 이소프로판올 세정 및 질소 송풍 건조함을 포함하였다.
상기 세정 후, 상기 쿠폰을, 원자력 현미경법(AFM)을 사용하여, 위치 1 및 위치 2에서 이미지 측정하였다. 상기 이미지는 도 10에 평균 프로파일과 함께 도시되어 있다. 더 낮은 SOCl2 함량은 더 많은 레일을 남기는 것으로 보이지만 DTAC의 존재는 입자의 존재를 감소시킴을 알 수 있다.
실시예 7
95 중량%의 H2SO4 (100%, 약한 발연성) 및 5 중량%의 SOCl2를 배합함으로써 조성물 CC를 제조하였다. 상기 조성물을 블렌딩한지 4일 후에 사용하였다. 주입 레지스트 스트립핑 공정은, 쿠폰을 상기 CC 조성물에 실온에서 2.5분 동안 침지한 다음 60초의 DMSO 세정에 이어 30초의 이소프로판올 세정 및 질소 송풍 건조함을 포함하였다.
상기 세정 후, 상기 쿠폰을, 원자력 현미경법(AFM)을 사용하여, 위치 1 및 위치 2에서 이미지 측정하였다. 상기 이미지는 도 11에 평균 프로파일과 함께 도시되어 있다.
실시예 8
94.9 중량%의 H2SO4 (100%, 약한 발연성), 5 중량%의 SOCl2 및 0.1 중량%의 DTAC를 배합함으로써 조성물 DD를 제조하였다. 상기 조성물을 블렌딩한지 4일 후에 사용하였다. 주입 레지스트 스트립핑 공정은, 쿠폰을 상기 DD 조성물에 실온에서 2.5분 동안 침지한 다음 60초의 DMSO 세정에 이어 30초의 이소프로판올 세정 및 질소 송풍 건조함을 포함하였다.
상기 세정 후, 상기 쿠폰을, 원자력 현미경법(AFM)을 사용하여, 위치 1 및 위치 2에서 이미지 측정하였다. 상기 이미지는 도 12에 평균 프로파일과 함께 도시되어 있다. 실시예 5의 결과를 실시예 4의 결과와 비교하면, 위치 1이 계면활성제의 존재로부터 이점을 얻을 수 있음을 알 수 있다.
실시예 9
98.9 중량%의 H2SO4 (100%, 약한 발연성), 1 중량%의 SOCl2 및 0.1 중량%의 DTAC를 배합함으로써 조성물 EE를 제조하였다. 상기 조성물을 블렌딩한지 21일 후에 사용하였다. 주입 레지스트 스트립핑 공정은, 쿠폰을 상기 EE 조성물에 실온에서 1분 동안 침지한 다음 30초의 수세에 이어 송풍건조하고, 상기 EE 조성물에 실온에서 1분 동안 2차 침지한 다음 30초의 수세에 이어 30초의 이소프로판올 세정 및 질소 송풍 건조함을 포함하였다.
상기 세정 후, 상기 쿠폰을, 원자력 현미경법(AFM)을 사용하여, 위치 1 및 위치 2에서 이미지 측정하였다. 상기 이미지는 도 13에 평균 프로파일과 함께 도시되어 있다.
본 발명이 예시적인 실시 양태 및 특징들을 참조로써 다양하게 개시되었지만 앞에서 기술한 실시 양태 및 특징들은 본 발명을 제한하는 것이 아니며, 다른 변화, 변형 및 또 다른 실시 양태들이 본 발명의 명세서를 기초로 본 발명 분야의 통상적인 숙련가들에게 제시될 것임을 알 것이다. 그러므로 본 발명은 이후에 기재되는 청구범위의 진의 및 범주 내에서 그러한 모든 상기 변화, 변형 및 또 다른 실시양태들을 포괄하는 것으로서 광범위하게 간주된다.

Claims (33)

  1. 레지스트를 갖는 마이크로전자 장치 표면으로부터 레지스트를 제거하는 방법으로서,
    마이크로전자 장치 표면으로부터 레지스트를 실질적으로 제거하는데 필요한 조건 하에서 마이크로전자 장치 표면에 조성물을 접촉시키는 단계
    를 포함하고,
    조성물은
    (a) 조성물은 실질적으로 산화제가 없다는 단서하에서, 80 중량% 초과의 양으로 존재하는 하나 이상의 비산화성 산, 플루오라이드 종 및/또는 브로마이드 화합물 중 하나 이상, 및 조성물의 융점을 낮추는 하나 이상의 화합물 - 조성물의 pH가 2 미만임 - 또는
    (b) 90 중량% 초과의 양으로 존재하는 황-함유 산, HCl, 및 임의적으로 하나 이상의 플루오라이드 및/또는 하나 이상의 브로마이드 화합물
    을 포함하고,
    마이크로전자 장치 표면과 접촉할 때 조성물은 마이크로전자 장치 표면에 존재하는 규소-함유 물질 및 게르마늄-함유 물질에 실질적으로 손상을 입히지 않으면서 마이크로전자 장치 표면으로부터 이온-주입된 레지스트 물질을 제거하는, 방법.
  2. 제1항에 있어서,
    상기 레지스트가 벌크 레지스트, 이온-주입된 레지스트, 또는 벌크 레지스트와 이온-주입된 레지스트 둘 다를 포함하는, 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 조건이 20℃ 내지 200℃ 범위의 온도에서 10초 내지 60분 범위의 시간을 포함하는, 방법.
  4. 제1항 또는 제2항에 있어서,
    물, 다이메틸 설폭사이드(DMSO), 이소프로판올, N-메틸피롤리돈(NMP), 다이메틸아세트아미드, 설폴란 및 다른 설폰, 메탄올, 계면활성제, 및 감마-부티로락톤으로 이루어진 군 중에서 선택된 하나 이상의 용매로 상기 표면을 세정하는 단계를 추가로 포함하는 방법.
  5. 삭제
  6. 제1항에 있어서,
    하나 이상의 비산화성 산이 메탄설폰산, 옥살산, 시트르산, 타타르산, 피콜린산, 석신산, 아세트산, 락트산, 설포석신산, 벤조산, 프로피온산, 포름산, 피루브산, 옥살산, 말레산, 말론산, 푸마르산, 말산, 아스코르브산, 만델산, 헵타노산, 부티르산, 발레르산, 글루타르산, 프탈산, 차아인산, 살리실산, 5-설포살리실산, 에탄설폰산, 부탄설폰산, p-톨루엔설폰산, 다이클로로아세트산, 다이플루오로아세트산, 모노클로로아세트산, 모노플루오로아세트산, 염산, 트라이클로로아세트산, 트라이플루오로아세트산, 브롬화수소산(62 중량%), 황산, 및 이들의 조합물로 이루어진 군 중에서 선택된 종을 포함하는, 방법.
  7. 제1항에 있어서,
    하나 이상의 플루오라이드 종이 불화수소산, 테트라플루오로붕산, 헥사플루오로티탄산, 헥사플루오로규산, 헥사플루오로지르콘산, 테트라플루오로붕산, 테트라부틸 암모늄 트라이플루오로메탄 설포네이트, 테트라알킬암모늄 테트라플루오로보레이트(NR1R2R3R4BF4), 테트라알킬암모늄 헥사플루오로포스페이트(NR1R2R3R4PF6), 테트라알킬암모늄 플루오라이드(NR1R2R3R4F), 암모늄 바이플루오라이드, 암모늄 플루오라이드, 및 이들의 조합물(이때 R1, R2, R3, 및 R4는 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 기, C1-C6 알콕시 기, 및 치환된 또는 비치환된 아릴 기, 및 이들의 조합으로 이루어진 군 중에서 선택됨)로 이루어진 군 중에서 선택된 종을 포함하는, 방법.
  8. 제1항에 있어서,
    조성물의 융점을 낮추는 하나 이상의 화합물이 하나 이상의 다이알킬 설폰, 황산 또는 설폴란을 포함하는, 방법.
  9. 제1항 또는 제2항에 있어서,
    HCl은 용해된 기체로서 첨가된 HCl(염화 수소)을 사용하거나 HCl-발생 화합물을 사용하여 생성되는, 방법.
  10. 제1항에 있어서,
    황-함유 산이 진한 황산, 100% 황산, "발연(fuming)" 황산(과량의 SO3 함유), 클로로설폰산(ClSO3H), 클로로설폰산과 황산의 혼합물, 트라이플루오로메탄설폰산(CF3SO3H) 및 플루오로설폰산(FSO3H)으로 이루어진 군 중에서 선택된 종을 포함하는, 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
KR1020167019206A 2013-12-20 2014-12-19 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도 KR102352475B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361919177P 2013-12-20 2013-12-20
US61/919,177 2013-12-20
US201462045946P 2014-09-04 2014-09-04
US62/045,946 2014-09-04
US201462046495P 2014-09-05 2014-09-05
US62/046,495 2014-09-05
PCT/US2014/071540 WO2015095726A1 (en) 2013-12-20 2014-12-19 Use of non-oxidizing strong acids for the removal of ion-implanted resist

Publications (2)

Publication Number Publication Date
KR20160098462A KR20160098462A (ko) 2016-08-18
KR102352475B1 true KR102352475B1 (ko) 2022-01-18

Family

ID=53403749

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167019206A KR102352475B1 (ko) 2013-12-20 2014-12-19 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도

Country Status (8)

Country Link
US (2) US20160322232A1 (ko)
EP (1) EP3084809A4 (ko)
JP (1) JP6776125B2 (ko)
KR (1) KR102352475B1 (ko)
CN (1) CN105960699B (ko)
SG (2) SG10201805234YA (ko)
TW (1) TWI662379B (ko)
WO (1) WO2015095726A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI803551B (zh) * 2017-12-27 2023-06-01 日商東京應化工業股份有限公司 去除基板上之有機系硬化膜之方法,及酸性洗淨液
JP7150433B2 (ja) * 2017-12-28 2022-10-11 東京応化工業株式会社 リワーク方法、及び酸性洗浄液
KR102069345B1 (ko) * 2018-03-06 2020-01-22 에스케이씨 주식회사 반도체 공정용 조성물 및 반도체 공정
JP7196177B2 (ja) * 2018-07-20 2022-12-26 富士フイルム株式会社 処理液および処理方法
KR102609919B1 (ko) * 2019-07-11 2023-12-04 메르크 파텐트 게엠베하 포토레지스트 리무버 조성물

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5952157A (en) 1997-06-24 1999-09-14 Canon Sales Co., Inc. Method for removal of resist film and method for production of semiconductor device
JP2008084883A (ja) 2006-09-25 2008-04-10 Tosoh Corp 半導体製造装置洗浄用組成物及びそれを用いた洗浄方法

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2651596A (en) * 1952-04-04 1953-09-08 Standard Oil Co Refining of distillates with sulfuric acid and so2cl2
US4064284A (en) * 1975-07-22 1977-12-20 Cpc International Inc. Process for the debranning of wheat
US4187191A (en) * 1978-07-26 1980-02-05 General Motors Corporation Photoresist stripper with dodecylsulfonic acid and chlorinated solvents
US5008515A (en) * 1990-05-10 1991-04-16 Mccormack William C Body temperature responsive transport warming blanket
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5429764A (en) * 1993-08-24 1995-07-04 Eftichios Van Vlahakis Liquid drain opener compositions based on sulfuric acid
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100764888B1 (ko) * 2000-07-10 2007-10-09 이케이씨 테크놀로지, 인코포레이티드 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
JP4434950B2 (ja) * 2002-08-22 2010-03-17 ダイキン工業株式会社 剥離液
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
KR20060024775A (ko) 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
CN100555580C (zh) * 2005-04-04 2009-10-28 马林克罗特贝克公司 前段工序中用于清洁离子注入的光致抗蚀剂的组合物
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
EP1882055A1 (en) 2005-04-15 2008-01-30 Advanced Technology Materials, Inc. Apparatus and method for supercritical fluid removal or deposition processes
WO2006113621A2 (en) 2005-04-15 2006-10-26 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006124201A2 (en) * 2005-05-13 2006-11-23 Sachem, Inc. Selective wet etching of oxides
KR20080025697A (ko) 2005-05-26 2008-03-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법
KR101332302B1 (ko) 2005-06-06 2013-11-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 단일 플래튼 처리를 위한 방법 및 일체형 화학적 기계적연마 조성물
SG10201504423QA (en) 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
WO2006138235A2 (en) 2005-06-13 2006-12-28 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
US20090192065A1 (en) 2005-06-16 2009-07-30 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating
EP1929512A2 (en) 2005-08-05 2008-06-11 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR20080059442A (ko) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
AU2006340825A1 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
JP4839968B2 (ja) * 2006-06-08 2011-12-21 東ソー株式会社 レジスト除去用組成物及びレジストの除去方法
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
EP2108039A2 (en) 2006-12-21 2009-10-14 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI562234B (en) 2006-12-21 2016-12-11 Entegris Inc Compositions and methods for the selective removal of silicon nitride
TW200916564A (en) 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110039747A1 (en) 2007-08-20 2011-02-17 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
CN101785087A (zh) 2007-08-22 2010-07-21 大金工业株式会社 半导体干式工艺后的残渣除去液和使用该残渣除去液的残渣除去方法
US8178585B2 (en) 2007-11-14 2012-05-15 Advanced Technology Materials, Inc. Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
SG188848A1 (en) 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
TWI494710B (zh) 2008-05-01 2015-08-01 Entegris Inc 用於移除高密度經摻雜光阻之低pH混合物
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
JP2012504871A (ja) 2008-10-02 2012-02-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高度な金属負荷及びシリコン基板の表面パッシベーションのための界面活性剤/消泡剤混合物の使用
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG2014005136A (en) 2009-01-28 2014-03-28 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
TWI513815B (zh) 2010-01-29 2015-12-21 Entegris Inc 供附有金屬佈線之半導體用清洗劑
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
TWI568859B (zh) 2010-04-15 2017-02-01 恩特葛瑞斯股份有限公司 廢棄印刷電路板之回收利用方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
BR112013003854A2 (pt) 2010-08-20 2016-06-07 Advanced Tech Materials processo sustentável para reivindicação de metais preciosos e metais de base oriundo de resíduo e
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
TWI619800B (zh) 2010-10-06 2018-04-01 恩特葛瑞斯股份有限公司 選擇性蝕刻金屬氮化物之組成物及方法
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
JP6453077B2 (ja) 2011-06-21 2019-01-16 ワーナー バブコック インスティチュート フォア グリーン ケミストリー リミテッド ライアビリティー カンパニー リチウムイオン電池からの酸化リチウムコバルトの回収方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
EP2768920A4 (en) 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
SG10201604733WA (en) 2011-12-15 2016-08-30 Entegris Inc Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
KR20140138902A (ko) 2012-03-12 2014-12-04 인티그리스, 인코포레이티드 애싱된 스핀-온 유리의 선택적 제거 방법
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
CN104334706A (zh) 2012-03-18 2015-02-04 安格斯公司 具有改进的阻挡层相容性和清洁性能的cpm后配制物
US20150050199A1 (en) 2012-04-06 2015-02-19 Entegris, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
US20150162213A1 (en) 2012-05-11 2015-06-11 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
EP2850651A4 (en) 2012-05-18 2016-03-09 Entegris Inc AQUEOUS CLEANING SOLUTION HAVING LOW COPPER ATTACK SPEED FOR MORE EFFICIENT REMOVAL OF ORGANIC RESIDUES
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US8709277B2 (en) * 2012-09-10 2014-04-29 Fujifilm Corporation Etching composition
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN103414963A (zh) 2013-08-01 2013-11-27 浙江生辉照明有限公司 一种音箱led灯
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
US9520617B2 (en) 2013-03-14 2016-12-13 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
KR20150143676A (ko) 2013-04-22 2015-12-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리 세정 및 보호 제형
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US9656933B2 (en) 2013-08-30 2017-05-23 Shell Oil Company Process for the conversion of saccharide-containing feedstock
WO2015031620A1 (en) 2013-08-30 2015-03-05 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
WO2015116679A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015130607A1 (en) 2014-02-25 2015-09-03 Advanced Technology Materials, Inc. Wet based formulations for the selective removal of noble metals

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5952157A (en) 1997-06-24 1999-09-14 Canon Sales Co., Inc. Method for removal of resist film and method for production of semiconductor device
JP2008084883A (ja) 2006-09-25 2008-04-10 Tosoh Corp 半導体製造装置洗浄用組成物及びそれを用いた洗浄方法

Also Published As

Publication number Publication date
US20160322232A1 (en) 2016-11-03
US20180240680A1 (en) 2018-08-23
JP6776125B2 (ja) 2020-10-28
SG10201805234YA (en) 2018-08-30
TW201546577A (zh) 2015-12-16
EP3084809A4 (en) 2017-08-23
TWI662379B (zh) 2019-06-11
KR20160098462A (ko) 2016-08-18
US10347504B2 (en) 2019-07-09
SG11201605003WA (en) 2016-07-28
WO2015095726A1 (en) 2015-06-25
EP3084809A1 (en) 2016-10-26
CN105960699A (zh) 2016-09-21
CN105960699B (zh) 2019-11-01
JP2017508187A (ja) 2017-03-23

Similar Documents

Publication Publication Date Title
KR102352475B1 (ko) 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
KR102285003B1 (ko) TiN 하드 마스크 제거 및 에칭 잔류물 세정용 조성물
US8114220B2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
US10138117B2 (en) Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
TWI693305B (zh) 於製造一半導體裝置時用於從矽-鍺/矽堆疊同時移除矽及矽-鍺合金的蝕刻溶液
TWI548738B (zh) 用於移除蝕刻後殘餘物之水性清潔劑
TWI754163B (zh) 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物
KR20150126637A (ko) 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
KR102283745B1 (ko) 반도체 소자의 제조 동안 질화티탄에 비해 질화탄탈을 선택적으로 제거하기 위한 에칭액
JP2022519329A (ja) セリア除去用組成物
TW202212540A (zh) 氮化物蝕刻劑組合物及方法
KR102173490B1 (ko) 비-수성 텅스텐 상용성 금속 질화물 선택적 에칭제 및 세정제
TW201546330A (zh) 與NiGe及Ge相容之Ni選擇性蝕刻組成物

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant