KR20080025697A - 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법 - Google Patents

구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법 Download PDF

Info

Publication number
KR20080025697A
KR20080025697A KR1020077030418A KR20077030418A KR20080025697A KR 20080025697 A KR20080025697 A KR 20080025697A KR 1020077030418 A KR1020077030418 A KR 1020077030418A KR 20077030418 A KR20077030418 A KR 20077030418A KR 20080025697 A KR20080025697 A KR 20080025697A
Authority
KR
South Korea
Prior art keywords
formulation
ascorbic acid
deionized water
mea
tmah
Prior art date
Application number
KR1020077030418A
Other languages
English (en)
Inventor
제프리 바네스
엘리자베스 월커
대릴 더블유. 페터스
킬 바르토쉬
에바 올다크
케빈 피. 얀더스
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20080025697A publication Critical patent/KR20080025697A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Abstract

화학적 기계적 연마(CMP)후 잔사, 에칭후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사, 에칭후 잔사 및/또는 오염물을 제거하기 위한 알칼리 수성 세정 조성물 및 방법이 개시된다. 상기 알칼리 수성 세정 조성물은 아민, 부동태화제 및 물을 포함한다. 조성물은 금속 상호연결 물질을 부동태화하는 동시에 마이크로일렉트로닉 디바이스로부터 잔사 및 오염물을 효율적으로 제거한다.
구리 부동태화, CMP후 잔사 및 오염물을 제거하는 세정 조성물 및 세정 방법

Description

구리를 부동태화하는 CMP후 세정 조성물 및 이용 방법{COPPER PASSIVATING POST-CHEMICAL MECHANICAL POLISHING CLEANING COMPOSITION AND METHOD OF USE}
발명의 분야
본 발명은 마이크로일렉트로닉 디바이스로부터 화학적 기계적 연마(CMP)후 잔사, 에칭후 잔사 및/또는 오염물을 세정하기 위한 알칼리 수성 조성물에 관한 것으로서, 이 알칼리 수성 조성물은 마이크로일렉트로닉 디바이스상의 저-k 유전체 물질에 손상을 주지 않으면서 금속성 상호연결 물질(metallic interconnect material)을 부동태화하고 CMP후 잔사, 에칭후 잔사를 효율적으로 제거한다.
관련 기술의 설명
반도체 디바이스의 기하구조가 0.18 μm 미만으로 축소됨에 따라, 저항-커패시턴스(RC) 지연을 최소화하도록 상호연결 구조를 개량하는 것이 점점 더 중요해 지고 있다. 상호연결 지연을 최소화하기 위한 전략은 상호연결 금속의 전도성을 향상시키고, 유전층의 유전 상수(k) 값을 감소시키는 것을 포함한다. 예를 들어, 구리는 진보된 디바이스의 상호연결 금속으로서 종래 알루미늄에 대한 대체물로 판명되었다. 구리는 알루미늄보다 전도성이 크고(이에 따라 저항-커패시턴스 시간 지연 을 감소시키게 됨), 또한 통상적인 Al 금속화에 비해 전기이동성에 영향을 덜 받게 된다.
DSM(deep submicron) 반도체 제조에 있어서, 구리 다마신(damascene) 공정은 저-k 유전층에 전도성 구리 라인을 형성하기 위해 사용되고 있다. 다마신 공정의 중요한 한 단계는 유전층 표면위의 과다한 구리를 제거하기 위한 구리 화학적 기계적 연마(CMP: chemical mechanical polishing)이다.
CMP 공정은 CMP 슬러리의 존재하에 압력 및 온도를 제어하면서 반도체 디바이스의 평탄한 박막 기판을 습윤 연마 패드에 대해 유지하고 회전시키는 것을 포함한다. 슬러리는 특수 CMP 공정 및 조건에 따라 연마성 물질 및 화학 첨가제를 함유한다. CMP 공정후, 연마 슬러리로부터의 입자, 슬러리에 첨가된 화학물질 및 연마 슬러리의 반응 부산물로 구성된 오염물이 웨이퍼 표면상에 남게 된다. 또한, 실리콘 웨이퍼상의 구리/저 유전상수 물질의 연마는 웨이퍼 표면상에 침착되는 탄소 농후 입자를 발생하기도 한다. 모든 오염물은 디바이스에 결함이 도입되어 디바이스의 신뢰성이 떨어지는 것을 방지하기 위하여 마이크로일렉트로닉 디바이스 제작 공정중 임의의 추가 단계전에 제거되어야 한다. 이들 오염물의 입자가 0.3 μm 보다 작은 경우가 빈번하다.
통상적인 습식 기술은 오염물을 제거하기 위하여 웨이퍼 표면상에 유체 유동을 이용하며, 그로서 이들의 효율은 유체 유동으로 형성된 경계층의 두께로 제한된다. 예를 들어 0.3 μm 이하와 같이 경계층보다 작은 입자는 유체의 물리적 항력을 받지 않아 웨이퍼 표면상에 잔류하게 된다. 화학 및 수소 결합에 의한 추가의 접착 은 습식 세정 기술의 세정능을 보다 복잡하게 만들며, 보다 소형의 오염물을 제거하기 위한 이들 공정 효율을 현저히 떨어뜨린다.
전형적으로, 습식 기술은 세정 수용액, 예를 들어 수산화암모늄을 기초로 한 알칼리 용액을 브러싱, 제팅(jetting) 또는 초음파의 일부 형태와 병용하여 사용된다. 세정 수용액은 웨이퍼 표면을 공격하거나, 웨이퍼로부터 축출된 오염물이 제거되기 전에 오염물과 반응함으로써 오염물을 제거한다. 불리하게도, 일부 오염물은 수용액중의 화학 성분에 화학적으로 불활성일 수 있다. 예를 들어, 웨이퍼에 부착된 화학 반응 부산물 또는 탄소 농후 입자는 세정 수용액중의 화학물질에 의해 용이하게 제거되지 않을 수도 있다.
경계층 두께를 현저히 감소시키기 위하여 이들 통상적인 습식 기술에 메가소닉(megasonics)을 함께 이용할 수 있다. 그러나, 이도 또한 웨이퍼 표면으로부터 0.3 μm 이하 크기의 입자를 효율적으로 제거하는데 충분치 않다.
이중 다마신 집적화(dual damascene integration)에 있어서 탄소-도핑된 산화물 또는 유기 필름과 같은 저-k 유전 필름의 사용은 수성-기제 화학만을 이용하는 CMP후 세정에 또 다른 과제를 부과한다. 이들 필름뿐 아니라 CMP 중단층, 예컨대 실리콘 카바이드, 질화규소 및 실리콘 옥시니트라이드는 매우 소수성이어서 수계 세정 용액으로 세정하는 것이 곤란하다. 또한, 대부분의 중성-내지-산성 슬러리 타입에서 탄소 원자는 구리 표면과 반대인 경향이 크기 때문에, 탄소 농후 입자가 구리 표면에 부착하여 추가의 표면 결함을 생성하게 될 것이다.
마이크로일렉트로닉 디바이스 제작에 있어서 공통적인 또 다른 잔사 생성 공 정은 현상된 포토레지스트 코팅 패턴을 하드마스크, 층간 유전체(ILD: interlevel dielectric) 및 에칭 중단층(etch stop layer)으로 구성될 수 있는 하부층으로 전사하기 위한 가스상 플라즈마 에칭을 포함한다. 플라즈마 가스내 및 기판상에 존재하는 화학적 원소들을 포함할 수 있는 가스상 플라즈마 에칭후 잔사는 전형적으로 BEOL(back end of line) 구조물상에 침착될 수 있으며, 제거되지 않으면 후속 실리사이드화(silicidation) 또는 접촉 형성을 방해할 수 있다. 통상적인 세정 화학은 종종 ILD에 손상을 입혀 ILD 기공속으로 흡수됨에 따라 유전 상수를 증가시키고/시키거나 금속 구조물을 부식시키게 된다.
미국 특허 제 6,558,879호(Peters 등)는 반도체 및 마이크로회로 제조시에 금속 또는 유전체 표면으로부터 잔사를 제거하기 위한 스트리핑 및 세정 조성물에 관한 것이다. 조성물은 물, 유기 공용매 및 부식 억제제를 포함한다. 불리하게도, 바람직한 용매인 N,N'-디메틸아세트아미드(DMAC)는 초 저-k 유전체 물질로부터 탄소를 추출하여 상기 유전체 물질의 유전 상수를 증가시키기 때문에 반도체 산업에서 용인가능성이 낮다.
마이크로일렉트로닉 디바이스 제작에 있어서 또 다른 공통적인 문제는 CMP 공정후 반도체 디바이스 기판상에 잔류하는 필름과 같은 잔사이다. 이러한 잔사는 벤조트리아졸(BTA)과 같은 부동태화제(passivator) 화합물을 포함할 수 있다. 이들 잔사는 제거되지 않으면 구리 라인에 손상을 야기할 수 있거나, 구리 금속화를 상당히 거칠게 할 뿐 아니라 CMP후 제거 공정에 후속하여 적용되는 층에 좋지 않은 부착성을 초래할 수 있다. 구리 금속화의 상당한 거칠음이 특히 문제가 되는데, 이 는 몹시 거친 구리가 반도체 디바이스 제품의 전기적 성능을 떨어지게 할 수 있기 때문이다.
마이크로일렉트로닉 디바이스의 표면으로부터 CMP 잔사, 에칭후 잔사 및/또는 오염물을 결함 및 스크래치 없이 제거하기 위해, 마이크로일렉트로닉 디바이스의 수성의 CMP후 및/또는 에칭후 세정 조성물을 제공하는 것은 기술을 진 일보시킨 것이다. 바람직하게, 상기 수성 조성물은 저-k 유전체 물질의 손상 없이 디바이스 표면으로부터 실질적인 잔사 및 오염물의 제거 및 노출된 상호연결 물질, 예를 들어 구리의 부동태화를 이루었다.
발명의 개요
본 발명은 일반적으로 CMP후 잔사, 에칭후 잔사 및/또는 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및/또는 오염물을 세정하는 동시에, 마이크로일렉트로닉 디바이스 표면상의 금속성 상호연결 물질을 부동태화하기 위한 알칼리 수성 조성물 및 방법에 관한 것이다.
따라서, 바람직한 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제(passivating agent) 및 잔량 물을 포함한다. 다른 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 환원제 및 잔량 물을 포함한다. 또 다른 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 계면활성제, 임의로 적어도 하나의 환원제 및 잔량 물을 포함한다. 또 다른 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 잔량 물을 포함한다. 또 다른 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 적어도 하나의 환원제, 임의로 적어도 하나의 계면활성제 및 잔량 물을 포함한다. 그밖의 다른 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 임의로 적어도 하나의 착화제(complexing agent) 및 잔량 물을 포함한다. 그밖의 또 다른 구체예로, 본 발명의 제제는 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 계면활성제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 착화제, 임의로 적어도 하나의 환원제 및 잔량 물을 포함한다.
특히 바람직한 구체예로, 아민(들) 대 부동태화제(들)의 비율 범위는 (2 25):(0.05-15)이며; 아민(들) 대 착화제(들)(존재할 경우)의 비율 범위는 (2-25):(0.001-25)이고; 아민(들) 대 환원제(들)(존재할 경우)의 비율 범위는 (2-25):(0.001-25)이며; 아민(들) 대 사급 염기(들)(존재할 경우)의 비율 범위는 (2-25):(0.001-10)이고; 아민(들) 대 계면활성제(들)(존재할 경우)의 비율 범위는 (2-25):(0.001-1)이며; 잔량은 물이다.
본 발명의 일 측면은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 물을 포함하는 알칼리 수성 세정 조성물에 관한 것으로, 상기 알칼리 수성 세정 조성물은 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 세정하기에 적합하다. 임의로, 알칼리 수성 세정 조성물은 적어도 하나의 계면활성제를 추가로 포함할 수 있다. 바람직하게, 알칼리 수성 세정 조성물은 적어도 하나의 환원제를 포함하며, 상기 환원제는 아스코르브산을 포함한다.
또 다른 측면으로, 본 발명은 하나 이상의 용기에 알칼리 수성 세정 조성물을 형성하기 위한 하나 이상의 시약을 포함하는 키트에 관한 것으로, 상기 하나 이상의 시약은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 임의로 물로 구성된 군 중에서 선택되고, 상기 키트는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사, 가스상 플라즈마 에칭후 잔사 및 오염물로 구성된 군 중에서 선택되는 물질을 세정하기에 적합한 알칼리 수성 세정 조성물을 형성하도록 적합하게 되어 있다. 임의로, 알칼리 수성 세정 조성물은 적어도 하나의 계면활성제를 추가로 포함할 수 있다. 바람직하게, 알칼리 수성 세정 조성물은 적어도 하나의 환원제를 포함하며, 이 환원제는 아스코르브산을 포함한다.
또 다른 측면으로, 본 발명은 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 세정하는 방법에 관한 것으로, 상기 방법은 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로일렉트로닉 디바이스를 알칼리 수성 세정 조성물과 접촉시키는 단계를 포함하며, 여기서 알칼리 수성 세정 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 물을 포함한다. 임의로, 알칼리 수성 세정 조성물은 적어도 하나의 계면활성제를 추가로 포함할 수 있다. 바람직하게, 알칼리 수성 세정 조성물은 적어도 하나의 환원제를 포함하며, 이 환원제는 아스코르브산을 포함한다.
또 다른 측면으로, 본 발명은 마이크로일렉트로닉 디바이스의 제조 방법에 관한 것으로, 상기 방법은 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로일렉트로닉 디바이스를 알칼리 수성 세정 조성물과 접촉시키는 단계를 포함하며, 여기서 알칼리 수성 세정 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 환원제, 임의로 적어도 하나의 계면활성제, 임의로 적어도 하나의 사급 염기 및 물을 포함한다. 바람직하게, 알칼리 수성 세정 조성물은 적어도 하나의 환원제를 포함하며, 이 환원제는 아스코르브산을 포함한다.
본 발명의 다른 측면은 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 잔사 및 오염물을 세정하는 단계를 포함하는 본 발명의 방법을 이용하고, 본 원에 개시된 방법 및/또는 조성물을 이용하며, 그리고 임의로 마이크로일렉트로닉 디바이스를 제품에 내장하여 제조한 개선된 마이크로일렉트로닉 디바이스 및 그가 내장된 제품에 관한 것이다.
본 발명의 다른 측면, 일면 및 이점은 개시내용 및 청구범위로부터 보다 충분히 이해할 수 있을 것이다.
도면의 간단한 설명
도 1은 마이크로일렉트로닉 디바이스의 표면으로부터 CMP후 잔사 및 오염물을 제거하기 위한 제안된 언더커팅(undercutting) 및 리프트-오프(lifting-off) 메카니즘을 나타낸다.
도 2는 제제 AA-AF에 침지후 블랭킷된(blanketed) 구리 웨이퍼의 두께를 시간의 함수로서 나타낸 것이다.
도 3a는 제제 AC에 침지하기 전 대조 웨이퍼의 전자현미경 사진이다.
도 3b는 제제 AC에 침지한 후 도 3a에 따른 대조 웨이퍼의 전자현미경 사진이다.
도 4a는 제제 AC에 침지하기 전 비어-배리어 브레이크스루 후(post via-barrier breakthrough) 웨이퍼의 전자현미경 사진이다.
도 4b는 제제 AC에 침지하기 전 절단된 비어-배리어 브레이크스루 후 웨이퍼의 전자현미경 사진이다.
도 5a는 제제 AC에 침지한 후 비어-배리어 브레이크스루 후 웨이퍼의 전자현미경 사진이다.
도 5b는 제제 AC에 침지한 후 비어-배리어 브레이크스루 후 웨이퍼의 전자현미경 사진이다.
도 6은 다양한 처리 및 비처리 구리 표면 상에서의 표면 에너지 및 탈이온수의 접촉각을 나타낸다.
도 7은 자연 pH에서 탈이온수에 침지된 수정 마이크로저울(QCM)의 구리 전극 질량을 시간의 함수로 나타낸 것이다.
도 8은 pH 5.8에서 0.058 중량% TAZ 용액에 침지된 QCM의 구리 전극 질량을 시간의 함수로 나타낸 것이다.
도 9는 pH 5.8에서 0.058 중량% TAZ 용액에 침지된 구리 전극에 대한 개방 회로 전위(OCP)를 시간의 함수로 나타낸 것이다.
도 10은 pH 11.5로 조정된 탈이온수에 침지된 QCM 구리 전극의 질량을 시간의 함수로 나타낸 것이다.
도 11은 pH 11.5에서 0.058 중량% TAZ 용액에 침지된 구리 전극에 대한 OCP를 시간의 함수로 나타낸 것이다.
도 12는 pH 11.5에서 0.1 중량% TAZ 용액에 침지된 BTA-처리 구리 전극에 대한 OCP를 시간의 함수로 나타낸 것이다.
도 13은 pH 11.5에서 0.1 중량% TAZ 용액에 침지된 BTA-처리 구리 전극에 대한 QCM의 구리 전극 질량을 시간의 함수로 나타낸 것이다.
도 14는 두 상이한 세정 방법에 따른 CMP후 세정뒤에 구리, TEOS, Coral 및 흑다이아몬드의 결함수를 나타낸 것이다.
도 15는 CMP 버프(buff) 단계동안 제제 BF를 탈이온수로 10:1 희석하여 세정-버프한 후, 4.7% TMAH, 20.6% TEA, 3.3% 갈산, 11.2% 아스코르브산 및 잔량 물을 포함하는 농축 조성물을 30:1로 희석하여 브러시 박스(brush box)에서 CMP후 세정한 다음의 블랭킷된 구리 웨이퍼의 결함수를 시간의 함수로 나타낸 것이다.
도 16a는 CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 16b는 CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드를 무작위로 선택하여 6,000 배 확대하여 나타낸 현미경사진이다.
도 17a는 제제 BH를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 17b는 제제 BH를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 17c는 제제 BH를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 17d는 제제 BH를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 18a는 제제 BI를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 18b는 제제 BI를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 18c는 제제 BI를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 18d는 제제 BI를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 19a는 제제 BJ를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 19b는 제제 BJ를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 19c는 제제 BJ를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 19d는 제제 BJ를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 20a는 제제 BK를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 20b는 제제 BK를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 20c는 제제 BK를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 20d는 제제 BK를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 21a는 제제 BL을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 21b는 제제 BL을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 21c는 제제 BL을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 21d는 제제 BL을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 22a는 제제 BM을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 22b는 제제 BM을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 22c는 제제 BM을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 22d는 제제 BM을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 23a는 제제 BF를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 23b는 제제 BF를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 23c는 제제 BF를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 23d는 제제 BF를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 24a는 제제 BN을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 24b는 제제 BN을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 24c는 제제 BN을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 24d는 제제 BN을 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 25a는 제제 BO를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 25b는 제제 BO를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 25c는 제제 BO를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 25d는 제제 BO를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 26a는 제제 BP를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 26b는 제제 BP를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 현미경사진이다.
도 26c는 제제 BP를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 무작위로 선택된 결합 패드를 6,000 배 확대하여 나타낸 현미경사진이다.
도 26d는 제제 BP를 탈이온수로 60:1 희석하여 22 ℃에서 60초 동안 스핀/스프레이 처리한 후, CMP 오염된 Sematech 854 대조 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 현미경사진이다.
도 27a는 유전체 표면상에서 희석 제제 BR의 접촉각 광 이미지이다.
도 27b 는 유전체 표면상에서 희석 제제 BS의 접촉각 광 이미지이다.
도 28은 본 발명의 제제 EC로 웨이퍼를 세정하기 전과 후에 대한 Sematech 854 웨이퍼의 원자력 현미경사진(AFM) 이미지이다.
도 29는 본 발명의 제제 EF로 웨이퍼를 세정하기 전과 후에 대한 Sematech 854 웨이퍼의 AFM 이미지이다.
도 30은 본 발명의 제제를 사용한 경우 질소 대 구리 비와, 구리 부동태화 필름의 상대 두께를 나타낸다.
발명의 상세한 설명 및 그의 바람직한 구체예
본 발명은 CMP후 잔사, 에칭후 잔사 및/또는 오염 물질(들)이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및/또는 오염물을 세정하는 알칼리 수성 조성물에 관한 것으로, 상기 조성물은 상기 잔사/오염 물질에 고 선택성인 동시에 마이크로일렉트로닉 디바이스상의 금속성 상호연결 물질, 예를 들어 구리 및 코발트를 부동태화한다.
용이한 참조를 위해, "마이크로일렉트로닉 디바이스"는 마이크로일렉트로닉, 집적회로 또는 컴퓨터 칩 적용에 사용하도록 제조된, 반도체 기판, 평판 디스플레이 및 미세전자기계 시스템(MEMS: microelectromechanical systems)에 상응한다. 용어 "마이크로일렉트로닉 디바이스(microelectronic device)"는 어떠한 방식으로도 제한하고자 하는 의미는 없고, 최종적으로 마이크로일렉트로닉 디바이스 또는 마이크로일렉트로닉 어셈블리가 되는 임의의 기판을 포함한다.
본 원에 사용된 "CMP후 잔사"는 연마 슬러리로부터의 입자, 탄소 농후 입자, 연마 패드 입자, 브러시 탈로딩(deloading) 입자, 구성 입자의 장비 물질, 구리, 산화구리 및 CMP 공정의 부산물인 임의의 다른 물질에 상응한다.
본 원에 사용된 "오염물"은 CMP 슬러리, 연마 슬러리의 반응 부산물 및 CMP 공정의 부산물인 임의의 다른 물질에 상응한다.
본 원에 정의된 "저-k 유전체 물질"은 적층 마이크로일렉트로닉 디바이스에서 유전체 물질로 사용된 유전 상수 약 3.5 미만의 임의의 물질에 상응한다. 바람직하게, 저-k 유전체 물질은 저극성 물질, 예컨대 실리콘-함유 유기 중합체, 실리콘-함유 하이브리드 유기/무기 물질, 유기실리케이트 글래스(OSG), TEOS, 불소화 실리케이트 글래스(FSG), 이산화규소 및 탄소-도핑된 산화물(CDO) 글래스이다. 저-k 유전체 물질의 밀도 및 다공성이 상이할 수 있음을 알고 있을 것이다.
본 원에 정의된 "에칭후 잔사"는 가스상 플라즈마 에칭 공정, 예를 들어 BEOL 이중 다마신 공정후 잔류하는 물질에 상응한다. 에칭후 잔사는 유기, 유기금속성, 유기규소성, 또는 사실상 무기, 예를 들어, 실리콘-함유 물질, 카본계 유기 물질 및 산소 및 불소를 포함하나 이들에 한정되지 않는 에칭 가스 잔사일 수 있다.
본 원에 사용된 "약"은 제시된 값의 ±5%에 상당하는 것으로 의도한다.
본 원에 사용된 바, 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 세정하기 위한 "적합성"은 마이크로일렉트로닉 디바이스로부터 상기 잔사/오염물을 적어도 부분적으로 제거하는 것에 상응한다. 바람직하게, 본 발명의 조성물을 사용함으로써 적어도 85%의 잔사/오염물이 마이크로일렉트로닉 디바이스로부터 제거되며, 보다 바람직하게는, 적어도 90%, 보다 더 바람직하게는 적어도 95% 및 가장 바람직하게는 적어도 99%의 잔사/오염물이 제거된다.
본 원에 정의된 "착화제(complexing agent)"는 당업자들이 착화제, 킬레이트제 및/또는 봉쇄제(sequestering agent)로 이해하고 있는 화합물을 포함한다. 착화제는 본 발명의 조성물을 사용하여 제거될 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 물리적으로 유지될 것이다.
중요하게도, 본 발명의 수성 조성물은 양호한 금속 상용성, 예를 들어 상호연결 금속 및/또는 상호연결체 금속 실리사이드 물질에 대한 에칭율이 낮아야 한다. 관심있는 금속은 구리, 텅스텐, 코발트, 알루미늄, 탄탈룸, 티탄 및 루테늄을 포함하나, 이들에 한하지 않는다.
본 발명의 조성물은 이하 보다 상세히 기술하는 바와 같이, 각종 특정 제제에 도입될 수 있다.
이러한 모든 조성물에 있어서, 조성물의 특정 성분이 0의 하한을 포함하여 중량 백분율로 논의된 경우, 이러한 성분들은 조성물의 다양한 특정 구체예에서 존재할 수 있거나 존재하지 않을 수 있는데, 이러한 성분들이 존재하는 경우, 이들은 이러한 성분들이 사용된 조성물의 총 중량을 기준으로 0.001 중량% 정도의 낮은 수준으로 존재할 수 있다.
에칭후 잔사 제거 조성물
일 측면으로, 본 발명은 노출된 금속 및 금속 합금 물질, 이를테면 구리 상호연결체를 가지는 마이크로일렉트로닉 디바이스로부터 에칭후 잔사를 제거하는데 유용한 수성의 에칭후 잔사 제거 조성물에 관한 것이다. 일 구체예에 따른 조성물은 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민 및 적어도 하나의 부동태화제 및 잔량 물을 포함한다.
성분 중량%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
잔량
다른 구체예로, 수성의 에칭후 잔사 제거 조성물은 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 임의로 적어도 하나의 착화제 및 잔량 물을 포함한다.
성분 중량%
사급 염기(들) 약 0.001% - 약 20%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
착화제(들) O - 약 10%
잔량
조성물이 착화제(들)를 포함하는 경우, 착화제(들)의 양은 조성물의 총 중량을 기준으로 약 0.001% - 10 중량%이다.
본 발명의 광범위 실시에 있어서, 수성의 에칭후 잔사 제거 조성물은 아민(들), 부동태화제(들), 임의의 사급 염기(들), 임의의 착화제(들) 및 물을 포함하거나, 이들로 구성되거나 또는 이들로 실질적으로 구성될 수 있다. 대안적으로, 본 발명의 광범위 실시에 있어서, 수성의 에칭후 잔사 제거 조성물은 사급 염기(들), 아민(들), 부동태화제(들), 임의의 착화제(들) 및 물을 포함하거나, 이들로 구성되거나 또는 이들로 실질적으로 구성될 수 있다. 물은 바람직하게는 탈이온수이다.
바람직하게, 농축된 수성의 에칭후 잔사 제거 조성물은 조성물의 총 중량을 기준으로 하기 범위로 존재한다: 약 0.01% - 약 10% 사급 염기(들)(존재할 경우), 약 1% - 약 15% 아민(들), 약 0.001% - 약 1% 부동태화제(들), 약 0.01% - 약 5% 착화제(들)(존재할 경우) 및 잔량의 탈이온수.
본 발명의 조성물은 에칭후 잔사 제거, 표면 가공, 도금후 세정 및 CMP후 잔사 제거를 포함하나 이들에 한하지 않는 응용에 적용될 수 있다.
중요한 것으로, 마이크로일렉트로닉 디바이스상의 OSG와 같은 저-k 유전체 물질을 비롯한 유전체 물질 및 노출 금속 및 금속 합금 물질, 예를 들어 구리, 코발트, 텅스텐, 알루미늄 등은 수성의 에칭후 잔사 제거 조성물에 의해 손상되지 않는다. 바람직하게, 구리 물질의 에칭율(etch rate)은 약 0.5 Å 분-1 내지 약 10 Å 분-1, 보다 바람직하게는 약 0.5 Å 분-1 내지 약 5 Å 분-1이다.
본 발명의 수성의 에칭후 잔사 제거 조성물은 바람직하게는 갈산 및 아스코르브산과 같은 산소 스캐빈저가 없다. 산화환원 반응에 민감한 환경에서 시간이 지남에 따라 산화되는 상기 산소 스캐빈저의 제거로 제제는 제거 성능에 변동성이 덜하면서 포트 수명이 연장된다. 구리 표면은 수성의 에칭후 잔사 제거 조성물내 부동태화제(들)에 의해 부동태화됨에 따라 구리 거칠음을 최소화할 수 있고, 구리 에칭율 및 동시에 구리 부식성을 감소시키게 된다. 본 발명의 수성의 에칭후 잔사 제거 조성물의 두 번째 이점은 BTA 층보다 제거가 용이한 구리 부동태화 층 뿐만 아니라 별도의 제거후 BTA 적용이 없어서 비용을 줄일 수 있다는 것이다.
본 발명의 광범위 실시에 있어서, 수성의 에칭후 잔사 제거 조성물의 pH 범위는 약 11 초과, 보다 바람직하게는 약 11 내지 약 15이다.
아민 화합물은 일차 또는 이차 아민일 수 있고, 모노에탄올아민(MEA), N-메틸에탄올아민(NMEA), 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디에탄올아민, 1-아미노-2-프로판올, 모노이소프로판올아민, 이소부탄올아민, C2-C8 알칸올아민, 트리에틸렌디아민 및 이들의 배합물로 구성된 군 중에서 선택된다. 바람직하게는, 아민 화합물은 모노에탄올아민을 포함한다.
본 원에 사용된 용어 부동태화제는 새로운 구리 표면 및/또는 산화 구리 박막과 반응하여 구리-함유 층을 부동태화하거나 보호하는 임의의 물질을 의미하고자 의도된다. 바람직하게, 본 발명의 수성의 에칭후 잔사 제거 조성물내 부동태화제는 예를 들어 트리아졸, 예컨대 1,2,4-트리아졸(TAZ), 또는 치환체, 예컨대 C1-C8 알킬, 아미노, 티올, 머캅토, 이미노, 카복시 및 니트로 기로 치환된 트리아졸, 예컨대 벤조트리아졸, 톨릴트리아졸, 5-페닐벤조트리아졸, 5-니트로벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 하이드록시벤조트리아졸, 2-(5-아미노펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올벤조트리아졸, 할로벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸 등, 및 티아졸, 테트라졸, 이미다졸, 포스페이트, 티올 및 아진, 예컨대 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 이미다졸, 인디아졸 등을 비롯한 하나 이상의 성분들을 포함할 수 있다. 수성의 에칭후 잔사 제거 조성물의 부동태화제로는 카복실산, 예컨대 벤조산 및 암모늄 벤조에이트가 추가로 고려된다. 바람직하게는, 부동태화제는 TAZ, MBI 및 이들의 배합물을 포함한다.
본 원에서 고려되는 임의의 사급 염기로는 (NR1R2R3R4)OH(여기에서, R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, 각각 독립적으로 수소, 직쇄 또는 분지형 C1-C10 알킬 기 및 치환 및 비치환 아릴 기로 구성된 군 중에서 선택됨)를 들 수 있으나, 이로만 한정되지 않는다. 사급 염기로는 콜린, 테트라부틸암모늄 하이드록사이드, 테트라에틸암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드 및 이들의 배합물이 고려된다. 바람직하게, 사급 염기는 테트라메틸암모늄 하이드록사이드(TMAH)를 포함한다.
본 원에서 고려되는 착화제는 고 용액 pH에서 금속 및/또는 금속 이온과 결합하여 노출된 유전 표면으로부터 상기 금속의 제거를 도울 수 있어야 한다. 본 원에서 착화제로는 아세트산, 아세톤 옥심, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 벤조산, 베타인, 디메틸 글리옥심, 푸마르산, 글루탐산, 글루타민, 글루타르산, 글리세롤, 글리신, 글리콜산, 글리옥실산, 히스타딘, 이미노디아세트산, 이소프탈산, 이타콘산, 락트산, 루신, 리신, 말레산, 말산, 말론산, 옥살산, 2,4-펜탄디온, 페닐아세트산, 페닐알라닌, 프탈산, 프롤린, 피로멜리트산, 퀴닌산, 세린, 소르비톨, 숙신산, 테레프탈산, 트리멜리트산, 트리메스산, 티로신, 발린, 크실리톨, 상기 언급된 아미노산의 유도체 및 이들의 배합물이 언급될 수 있으나, 단 착화제는 시트르산을 포함하지 않는다. 본 원에서 고려되는 다른 착화제로는 폴리에틸렌 에테르(PEG), 글리콜 에테르, 예컨대 디에틸렌 글리콜 모노메틸 에테르 (메틸 카비톨), 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르(부틸 카비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르(TPGME), 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르(DPGBE), 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르(페녹시-2-프로판올) 및 이들의 배합물을 들 수 있다. 바람직하게는, 착화제는 락트산을 포함한다.
본 발명의 수성의 에칭후 제거 조성물은 제제내에 계면활성제를 필요로 하지 않으나, 이러한 제제가 유익할 수 있는 특정 응용에 있어서는 본 발명의 제거 용액에 계면활성제를 사용하는 것도 배제하지 않는다. 예를 들어, 트리데실벤젠설폰산(TSA-99)이 본 발명의 수성의 에칭후 제거 조성물에 첨가될 수 있다.
또한, 수성의 CMP후 세정 조성물은 산화제(들), 공용매(들), 에칭제(들), 소포제(들), 추가의 pH 조정제(들) 등을 추가로 포함할 수 있다. 공용매가 유기 레지스트 제거를 촉진하기 위해 첨가될 수도 있다.
각종 구체예에 있어서, 수성의 에칭후 잔사 제거 조성물이 하기 제제 AA-AP로 제제화되며, 이들 중 일부는 바람직하고, 일부는 비교 목적으로 제조되는데, 여기서 모든 백분율은 제제의 총 중량을 기준으로 중량%이다:
제제 AA
암모늄 벤조에이트 0.10%, 락트산(85% 용액) 2%, MEA 9%, TMAH 5%, 탈이온수, 83.90%
제제 AB
BTA 0.10%, 락트산(85% 용액) 2%, MEA 9%, TMAH 5%, 탈이온수 83.90%
제제 AC
MBI 0.10%, 락트산(85% 용액) 2%, MEA 9%, TMAH 5%, 탈이온수 83.90%
제제 AD
TAZ 0.10%, 락트산(85% 용액) 2%, MEA 9%, TMAH 5%, 탈이온수 68.90%
제제 AE
암모늄 벤조에이트 0.10%, TAZ 0.10%, 락트산(85% 용액) 2%, MEA 9%, TMAH 5%, 탈이온수 83.80%
제제 AF
암모늄 벤조에이트 0.10%, MBI 0.10%, 락트산(85% 용액) 2%, MEA 9%, TMAH 5%, 탈이온수 83.80%
제제 AG
TAZ 0.5%, MEA 20%, TMAH 5%, 부틸 카비톨 10%, 탈이온수 64.50%
제제 AH
TAZ 0.5%, MEA 10%, TMAH 5%, 메틸 카비톨 20%, 탈이온수 64.50%
제제 AI
암모늄 벤조에이트 1%, MEA 9%, TMAH 5%, 락트산(85% 용액) 2%, 탈이온수 83%
제제 AJ
MBI 0.1%, MEA 20%, TMAH 5%, 락트산(85% 용액) 2%, 탈이온수 72.9%
제제 AK
MBI 0.1%, MEA 20%, DMSO 20%, TMAH 5%, 락트산(85% 용액) 2%, 탈이온수 52.9%
제제 AL
MBI 0.1%, MEA 20%, TMAH 5%, TSA-99 0.5%, 락트산(85% 용액) 2%, 탈이온수 72.4%
제제 AM
MBI 0.1%, MEA 20%, TMAH 5%, 디에틸렌 글리콜 헥실 에테르 0.5%, 락트산(85% 용액) 2%, 탈이온수 72.4%
제제 AN
MBI 0.1%, MEA 20%, DMSO 20%, TMAH 5%, TSA-99 0.5%, 락트산(85% 용액) 2%, 탈이온수 52.4%
제제 AO
MBI 0.1%, MEA 20%, TMAH 5%, 디에틸렌 글리콜 헥실 에테르 0.5%, 락트산(85% 용액) 2%, DMSO 20%, 탈이온수 52.4%
제제 AP
MBI 0.10%, 락트산 1.86%, MEA 9%, TMAH 5%, 탈이온수 84.04%.
바람직한 구체예로, 본 발명의 수성의 에칭후 잔사 제거 조성물은 모노에탄올아민, TMAH, 락트산 및 MBI를 포함한다.
또 다른 구체예에 있어서, 수성의 에칭후 잔사 제거 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, 에칭후 잔사, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 착화제, 임의로 적어도 하나의 계면활성제 및 잔량 물을 포함한다. 중요하게도, 에칭후 잔사는 본 발명의 제거 조성물에 용해 및/또는 현탁될 수 있다.
중요한 것으로, 본 발명의 수성의 에칭후 잔사 제거 조성물은 탈이온수에 비해 표면장력이 상당히 낮다. 이에 따라 본 발명의 수성 조성물이 디바이스 표면으로부터 에칭후 잔사 및 오염물을 세정하는 능력이 향상된다.
본 발명의 또 다른 구체예에 있어서, 제거 용액으로 사용하기 위해 희석될 수 있는 농축된 수성의 에칭후 잔사 제거 조성물이 제공된다. 농축된 조성물 또는 "농축물"은 유리하게는 사용자로 하여금 사용시에 농축물을 소정 강도 및 알칼리성으로 희석할 수 있게 해 준다. 더욱이, 생성물의 농축물은 저장 수명이 길고 선적 및 저장이 좀 더 용이하다. 농축 세정 조성물의 희석은 약 1:1 내지 약 200:1의 범위내에서 행해질 수 있으며, 세정 조성물은 툴에서 또는 툴 직전에 용매, 예를 들어 탈이온수로 희석된다. 바람직하게, 농축 세정 조성물은 약 5:1 내지 약 50:1의 범위로 희석된다.
본 발명의 수성의 에칭후 잔사 제거 조성물의 중요한 일면은 비수성 성분(물외의 성분)이 조성물에 소량, 바람직하게 약 30 중량% 미만으로 존재한다는 것이다. 이는 효과적인 제거 조성물이 보다 경제적으로 제제화될 수 있기 때문에 경제적으로 이점이 있으며, 에칭후 잔사 제거 조성물이 다량으로 사용되기 때문에 중요하다. 또한, 제거 조성물은 물을 기반으로 하기 때문에, 본 발명의 제거 조성물은 처분이 보다 용이하다.
본 발명의 수성의 에칭후 잔사 제거 조성물은 각 성분들을 단순히 첨가하고 균질한 조건으로 혼합함으로써 용이하게 제제화된다. 더구나, 수성의 에칭후 잔사 제거 조성물은 단일 패키지 제제 또는 사용시 또는 사용전에 혼합되는 다중 부분 제제로서 용이하게 제제화되며, 예를 들어 다중 부분 제제의 각 부분들은 툴에서 또는 툴의 저장 탱크 상류에서 혼합될 수 있다. 각 성분들의 농도는 수성의 에칭후 잔사 제거 조성물의 특정 배율로 광범위하게 달라질 수 있으며, 즉, 본 발명의 광범위 실시에 있어서 좀 더 희석되거나 좀 더 농축되고, 본 발명의 수성의 에칭후 잔사 제거 조성물이 다양하면서도 대안적으로 본 원의 설명과 일치하도록 임의 성분 배합물을 포함하거나, 이로 구성되거나 이로 실질적으로 구성될 수 있음을 인지할 것이다.
따라서, 본 발명의 다른 측면은 하나 이상의 용기에 본 발명의 조성물을 형성하기에 적합한 2 이상의 성분들을 포함하는 키트에 관한 것이다. 바람직하게, 키트는 하나 이상의 용기에 사용 지점 또는 팹(fab)에서 물과 배합하기 위한 적어도 하나의 아민 및 적어도 하나의 부동태화제를 포함한다. 또 다른 구체예로, 키트는 하나 이상의 용기에 사용 지점 또는 팹에서 물과 배합하기 위한 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 착화제 및 임의로 적어도 하나의 사급 염기를 포함한다. 당업자라면 다른 배합도 구상됨을 알 수 있을 것이다.
수용액 외에, 본 원에서는 또한 수성의 에칭후 잔사 제거 조성물이 포움, 포그(fog), 임계미만 또는 초임계 유체(즉, 용매는 물 대신 CO2 등임)로 제제화될 수 있는 것도 구상된다.
마이크로일렉트로닉 제작 공정에 적용되는 경우, 본 발명의 수성의 에칭후 잔사 제거 조성물은 금속성 상호연결 물질을 부동태화하는 동시에 마이크로일렉트로닉 디바이스 표면으로부터 에칭후 잔사를 세정하기 위해 유용하게 사용된다. 중요하게도, 본 발명의 제거 조성물은 디바이스 표면상의 저-k 유전체 물질에 손상을 주지 않고, 바람직하게는 잔사 제거전 디바이스상에 존재하는 잔사를 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99% 제거한다.
에칭후 제거 적용시에, 제거 조성물은 세정될 디바이스에 적합한 임의의 적합한 방식, 예를 들어 세정될 디바이스 표면상에 제거 조성물을 스프레이하거나, 세정될 디바이스를 디핑하거나(일정 부피의 제거 조성물내에), 세정될 디바이스를 다른 물질, 예를 들어 제거 조성물로 포화된 패드 또는 섬유성 흡착 어플리케이터 부재와 접촉시키거나, 또는 제거 조성물을 세정될 디바이스와 제거 접촉시키는 임의의 다른 적합한 수단, 방식 또는 기술에 의해 적용된다. 또한, 배치 또는 단일 웨이퍼 공정이 본 원에서 구상된다.
에칭후 잔사를 그 위에 가지는 마이크로일렉트로닉 디바이스로부터 에칭후 잔사를 세정하기 위해 본 발명의 조성물을 사용하는 경우, 수성의 에칭후 잔사 제거 조성물은 전형적으로 약 20 내지 약 5O ℃의 온도에서 디바이스와 약 30 초 내지 약 20 분, 바람직하게 약 2 분간 접촉된다. 이 접촉 시간 및 온도는 예시적인 것이며, 본 발명의 광범위 실시에 있어서는, 디바이스로부터 에칭후 잔사/오염물을 적어도 부분적으로 세정하기에 효과적인 어떠한 다른 적합한 시간 및 온도 조건도 이용될 수 있다. "적어도 부분적으로 세정한다"는 것은 잔사 제거전에 디바이스상에 존재하는 잔사를 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99% 제거하는 것에 해당한다.
마이크로일렉트로닉 제작 공정에 적용되는 경우, 본 발명의 수성의 에칭후 잔사 제거 조성물은 금속성 상호연결 물질을 부동태화하는 동시에 마이크로일렉트로닉 디바이스 표면으로부터 에칭후 잔사를 세정하기 위해 유용하게 사용된다. 중요하게도, 본 발명의 제거 조성물은 디바이스 표면상의 저-k 유전체 물질에 손상을 주지 않고, 바람직하게는 잔사 제거전 디바이스 상에 존재하는 잔사를 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99% 제거한다.
선택적인 CMP후 잔사 및 오염물 세정 응용에 있어서, 수성의 에칭후 잔사 제거 조성물은 Verteq 단일 웨이퍼 메가소닉 골드핑거(Goldfinger), OnTrak 시스템 DDS(이중면 스크러버), Laurell 스핀-스프레이 툴, SEZ 단일 웨이퍼 스프레이 세척, Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM 및 메가소닉 배치 습윤 벤치 시스템을 비롯하여 다양한 통상적인 세정 툴과 함께 이용될 수 있다.
CMP후 잔사 및 오염물을 위에 가지는 마이크로일렉트로닉 디바이스로부터 이들을 세정하는데 본 발명의 조성물을 사용하는 경우, 수성의 에칭후 잔사 제거 조성물은 전형적으로 약 2O ℃ 내지 약 5O ℃ 범위의 온도에서 디바이스와 약 5 초 내지 약 10 분, 바람직하게는 약 15 초 내지 5 분간 접촉된다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 본 발명의 광범위 실시에 있어서는, 디바이스로부터 CMP후 잔사/오염물을 적어도 부분적으로 세정하기에 효과적인 어떠한 다른 적합한 시간 및 온도 조건도 이용될 수 있다.
소정 세정 작용의 성취후, 수성의 에칭후 잔사 제거 조성물은, 본 발명의 조성물의 제시된 최종 용도 응용에 바람직하고 효과적일 수 있도록 앞서 적용된 디바이스로부터 용이하게 제거될 수 있다. 바람직하게, 세척 용액은 탈이온수를 포함한다. 세척 공정후, 디바이스는 질소 또는 스핀-건조 공정을 이용하여 건조될 수 있다.
본 발명의 또 다른 측면은 본 발명의 방법에 따라 제조된 개선된 마이크로일렉트로닉 디바이스 및 이러한 마이크로일렉트로닉 디바이스를 포함하는 제품에 관한 것이다.
본 발명의 또 다른 측면은 마이크로일렉트로닉 디바이스를 포함하는 물품의 제조 방법에 관한 것으로, 상기 방법은 에칭후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 에칭후 잔사를 세정하기에 충분한 시간 동안 마이크로일렉트로닉 디바이스를 수성 에칭후 잔사 제거 조성물과 접촉시키는 단계, 및 상기 마이크로일렉트로닉 디바이스를 상기 물품에 내장시키는 단계를 포함하며, 상기 수성 에칭후 잔사 제거 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 착화제 및 물을 포함한다.
본 발명의 다른 측면은 마이크로일렉트로닉 디바이스를 포함하는 물품의 제조 방법에 관한 것으로, 상기 방법은 에칭후 잔사가 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 에칭후 잔사를 세정하기에 충분한 시간 동안 마이크로일렉트로닉 디바이스를 수성 에칭후 잔사 제거 조성물과 접촉시키는 단계, 및 상기 마이크로일렉트로닉 디바이스를 상기 물품에 내장시키는 단계를 포함하며, 상기 수성 에칭후 잔사 제거 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 착화제, 임의로 적어도 하나의 사급 염기 및 물을 포함한다.
CMP후 세정 조성물
또 다른 측면으로, 본 발명은 CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 CMP후 잔사 및 오염물을 세정하기 위한 수성 CMP후 세정 조성물에 관한 것으로, 상기 조성물은 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민, 적어도 하나의 부동태화제 및 잔량 물을 포함한다.
성분 중량%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
잔량
다른 구체예로, 본 발명의 제제는 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 계면활성제, 임의로 적어도 하나의 환원제 및 잔량으로 물을 포함한다.
성분 중량%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
계면활성제 약 0.001% - 약 5%
환원제(들) O - 약 20%
잔량
다른 구체예로, 본 발명의 제제는 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 잔량으로 물을 포함한다.
성분 중량%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
사급 염기(들) 약 0.001% - 약 25%
환원제(들) O - 약 20%
잔량
다른 구체예로, 본 발명의 제제는 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 환원제, 임의로 적어도 하나의 계면활성제, 임의로 적어도 하나의 사급 염기 및 잔량으로 물을 포함한다.
성분 중량%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
환원제(들) 약 0.001% - 약 20%
계면활성제(들) 0 - 약 5%
사급 염기(들) O - 약 25%
잔량
또 다른 구체예로, 본 발명의 제제는 조성물의 총 중량을 기준으로 하기 범위로 존재하는 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 적어도 하나의 환원제, 임의로 적어도 하나의 계면활성제 및 잔량으로 물을 포함한다.
성분 중량%
아민(들) 약 0.001% - 약 25%
부동태화제(들) 약 0.001% - 약 5%
사급 염기(들) 약 0.001% - 약 25%
환원제(들) 약 0.001% - 약 20%
계면활성제(들) O - 약 5%
잔량
본 발명의 광범위 실시에 있어서, 수성의 CMP후 세정 조성물은 상술된 바와 같은 아민(들), 부동태화제(들), 임의의 환원제(들), 임의의 사급 염기(들), 임의의 계면활성제(들) 및 물을 포함하거나, 이들로 구성되거나 또는 이들로 실질적으로 구성될 수 있다. 물은 바람직하게는 탈이온수이다.
본 발명의 광범위 실시에 있어서, 수성의 CMP후 세정 조성물의 pH는 약 9 보다 크며, 가장 바람직하게는 약 10 내지 약 12 범위이다.
아민 화합물은 일차 또는 이차 아민일 수 있으며, 모노에탄올아민(MEA), N-메틸에탄올아민(NMEA), 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디에탄올아민, 1-아미노-2-프로판올, 모노이소프로판올아민, 이소부탄올아민, C2-C8 알칸올아민, 트리에틸렌디아민 및 이들의 배합물로 구성된 군 중에서 선택된다. 바람직하게, 아민 화합물은 모노에탄올아민 또는 모노이소프로판올아민을 포함한다. 본 원에서는 또한 일부 일차 및/또는 이차 아민이 존재하는 경우, 삼차 아민이 마찰 감소제로서 약 0.01 중량% - 약 20 중량%의 양으로 수성의 CMP후 세정 조성물에 첨가될 수 있다는 것도 구상된다. 본 원에서 고려되는 삼차 아민으로는 트리에탄올아민, 메틸디에탄올아민, 트리에틸아민, N,N-디메틸글리콜아민, N,N-디메틸디글리콜아민 및 펜타메틸디에틸렌트리아민이 언급될 수 있으나 이들로 한정되지 않는다.
본 원에 사용된 용어 부동태화제는 새로운 구리 표면 및/또는 산화 구리 박막과 반응하여 구리-함유 층을 부동화하거나 보호하는 임의의 물질을 의미하고자 의도된다. 바람직하게, 본 발명의 수성의 CMP후 세정 조성물내 부동태화제는 상기 나열된 하나 이상의 성분들을 포함할 수 있다. 보다 바람직하게, 부동태화제는 1,2,4-트리아졸이다.
수성의 CMP후 세정 조성물은 임의로 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, 갈산, 글리옥살 및 이들의 배합물로 구성된 군 중에서 선택된 환원제(들)를 포함할 수 있다.
수성의 CMP후 세정 조성물은 임의로 (NR1R2R3R4)OH(여기에서, R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있고, 각각 독립적으로 수소, 직쇄 또는 분지형 C1-C10 알킬 기 및 치환 및 비치환 아릴 기로 구성된 군 중에서 선택됨)를 들 수 있으나, 이로만 한정되지 않는 사급 염기를 포함할 수 있다. 바람직하게, 수성의 CMP후 세정 조성물은 적어도 하나의 사급 염기를 포함하며, 이 사급 염기는 바람직하게는 테트라메틸암모늄 하이드록사이드(TMAH)를 포함한다.
수성의 CMP후 세정 조성물은 임의로 계면활성제를 포함할 수 있다. 계면활성제(들)는 바람직하게 분산/현탁을 조장하여 액체 표면장력을 저하시키고, 고체와 액체간의 표면장력을 저하시키는 표면 활성제이다. 또한, 계면활성제(들)가 표면개질제로 작용하여 제제의 점도를 증가시킴으로써 웨이퍼상에 가해지는 전단응력을 감소시킬 것으로 생각된다. 본 원에서 고려되는 계면활성제(들)로는 비이온성 계면활성제, 예컨대 플루오로알킬 계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카복실산 염, 도데실벤젠설폰산(DDBSA) 또는 이들의 염, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 또는 변형 실리콘 중합체, 아세틸렌 디올 또는 변형 아세틸렌 디올 및 알킬암모늄 또는 변형 알킬암모늄 염, 하이드록시에틸셀룰로즈(500-35,000 MW), 하이드록시프로필셀룰로즈(500-35,000 MW), 메틸하이드록시프로필셀룰로즈(500-35,000 MW), NATROSOL® 250 하이드록시에틸셀룰로즈, KLUCEL® EF 하이드록시프로필셀룰로즈 및 상기 계면활성제중 적어도 하나를 포함하는 배합물을 들 수 있으나, 이들에 한정되지 않는다. 또한, 계면활성제는 음이온성 및 비이온성 계면활성제의 혼합물일 수도 있다. 바람직한 구체예로, 계면활성제는 도데실벤젠설폰산, NATROSOL® 및/또는 KLUCEL®이다.
또한, 수성의 CMP후 세정 조성물은 킬레이트제(들), 에칭제(들), 소포제(들), pH 조정제(들), 농후제(들), 예컨대 수용성 고분자량 화합물 등을 추가로 포함할 수 있다.
중요한 것으로, 마이크로일렉트로닉 디바이스상의 OSG와 같은 저-k 유전체 물질을 비롯한 유전체 물질 및 노출 금속 및 금속 합금 물질, 예를 들어 구리, 코발트, 텅스텐, 알루미늄 등은 수성의 에칭후 잔사 제거 조성물에 의해 손상되지 않는다. 바람직하게, 구리 물질의 에칭율은 약 0.5 Å 분-1 내지 약 10 Å 분-1, 보다 바람직하게는 약 0.5 Å 분-1 내지 약 5 Å 분-1이다.
바람직하게, 농축 세정 조성물내 성분들은 조성물의 총 중량을 기준으로 다음의 범위, 약 0.01% - 약 62% 아민(들), 약 0.005% - 약 25% 환원제(들), 약 0.005% - 약 14% 부동태화제(들), 약 0.001 - 약 25% 사급 염기(들) 및 잔량 물로 존재한다.
바람직한 구체예로, 본 발명의 수성의 CMP후 세정 조성물은 모노에탄올아민, 아스코르브산 및 TAZ를 포함한다.
특히 바람직한 구체예로, 본 발명의 수성의 CMP후 세정 조성물은 모노에탄올아민, 아스코르브산, 갈산, TMAH 및 TAZ를 포함한다.
다른 특히 바람직한 구체예로, 본 발명의 수성의 CMP후 세정 조성물은 모노에탄올아민, 갈산, TMAH 및 TAZ를 포함한다.
각종 구체예에 있어서, 수성의 CMP후 세정 조성물이 하기 제제 BA-CM로 제제화되며, 이들 중 일부는 바람직하고, 일부는 비교 목적으로 제조되는데, 여기서 모든 백분율은 제제의 총 중량을 기준으로 중량%이다:
제제 BA
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, EDTA 0.01%, 탈이온수 84.4%
제제 BB
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 1%, 갈산 2.8%, EDTA 0.01%, 탈이온수 83.4%
제제 BC
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 3%, 갈산 2.8%, EDTA 0.01%, 탈이온수 81.4%
제제 BD
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 5%, 갈산 2.8%, EDTA 0.01%, 탈이온수 74.4%, pH 10.3
제제 BE
MEA 8.8%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, 탈이온수 80.91%
제제 BF
MEA 4.5%, TMAH 2.5%, 아스코르브산 1.75%, TAZ 1%, 탈이온수 90.25%, pH 11.4
제제 BG
MEA 4.5%, TMAH 2.5%, 아스코르브산 1%, 갈산 1.75%, TAZ 1%, 탈이온수 89.25%, pH 10.8
제제 BH
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, BTA 1.6%, EDTA 0.01%, 탈이온수 82.8%
제제 BI
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, BTA 8.5%, EDTA 0.01%, 탈이온수 75.9%
제제 BJ
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, 카복시 BTA 2.2%, EDTA 0.01%, 탈이온수 82.8%
제제 BK
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, 카복시 BTA 11.8%, EDTA 0.01%, 탈이온수 72.6%
제제 BL
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, ATA 1.2%, EDTA 0.01%, 탈이온수 83.2%
제제 BM
MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, ATA 6.1%, EDTA 0.01%, 탈이온수 78.3%
제제 BN
MEA 9%, TMAH 2.5%, 아스코르브산 3.5%, TAZ 1.0%, 탈이온수 84.0%
제제 BO
MEA 4.5%, TMAH 2.5%, 아스코르브산 1.75%, TAZ 5.0%, 탈이온수 86.25%
제제 BO
MEA 9%, TMAH 2.5%, 아스코르브산 3.5%, TAZ 5.0%, 탈이온수 80.0%
제제 BO
MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2.0%, 탈이온수 81.5%, pH 11.6
제제 BR
MEA 9%, TMAH 5%, 아스코르브산 2%, 갈산 3.5%, TAZ 2%, DDBSA 0.11%, 탈이온수 78.39%
제제 BS
MEA 9%, TMAH 5%, 아스코르브산 2%, 갈산 3.5%, TAZ 2%, NATROSOL® 250 0.1%, 탈이온수 78.4%
제제 BT
MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2%, NATROSOL® 250 0.1%, 탈이온수 80.4%
제제 BU
MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2%, KLUCEL® EF 0.1%, 탈이온수 80.4%
제제 BV
MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, NATROSOL® 250 1.00%, 탈이온수 79.89%
제제 BW
MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, NATROSOL® 250 0.50%, 탈이온수 80.39%
제제 BX
MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, KLUCEL® EF 1.00%, 탈이온수 79.89%
제제 BY
MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, KLUCEL® EF 0.50%, 탈이온수 80.39%
제제 BZ
MEA 10.29%, TMAH 5.71%, 아스코르브산 4.00%, TAZ 2.29%, KLUCEL® EF 1.14%, 탈이온수 76.57%
제제 CA
MEA 9%, 아스코르브산 3.5%, TAZ 2%, 도데실벤젠설폰산 0.11%, 탈이온수 85.39%
제제 CB
MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, 도데실벤젠설폰산 0.11%, 탈이온수 80.78%, pH 12.0
제제 CC
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 아스코르브산, 82.5 중량% H2O
제제 CD
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 82.5 중량% H2O
제제 CE
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2% 1,2,4-트리아졸, 80.5 중량% H2O
제제 CF
11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 78.5 중량% H2O
제제 CG
11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 2% 1,2,4-트리아졸, 76.5 중량% H2O
제제 CH
7.2 중량% 모노에탄올아민, 4 중량% 테트라메틸암모늄 하이드록사이드, 2.8 중량% 갈산, 1.6 중량% 아스코르브산, 84.4 중량% H2O
제제 CI
7.2 중량% 모노에탄올아민, 4 중량% 테트라메틸암모늄 하이드록사이드, 2.8 중량% 갈산, 1.6 중량% 아스코르브산, 2% 1,2,4-트리아졸, 82.4 중량% H2O
제제 CJ
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 아스코르브산, 1% 벤조트리아졸, 81.5 중량% H2O
제제 CK
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 1% 벤조트리아졸, 81.5 중량% H2O
제제 CL
11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1% 벤조트리아졸, 77.5 중량% H2O
제제 CM
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1% 벤조트리아졸, 79.5 중량% H2O.
본 발명자들에 의해 부동태화제를 함유하는 알칼리 수성 조성물의 입자 제거 효율 및 유기 결함 제거능이 부동태화제를 함유하지 않는 상응하는 조성물에 비해 상당히 크다는 것이 발견되었다. 예를 들어, 본 원에서는 CMP후 세정 조성물내 부동태화제의 존재가 전형적으로 CMP 슬러리의 성분으로서 선행 CMP 공정중에 노출된 구리 표면상에 비균일 후막을 형성하는 경향이 있는 BTA의 제거를 촉진한다고 제시한다.
예를 들어, 본 발명의 배경에서 논의한 바와 같이, BTA는 많은 CMP 슬러리의 성분으로서, CMP 공정 완료후, BTA의 비균질 필름이 노출 구리 구조물상에 잔류한다. BTA-함유 슬러리에 의한 CMP후, 마이크로일렉트로닉 디바이스의 노출된 구리 표면은 BTA-Cu+ 필름뿐 아니라 슬러리로부터의 입자로 오염된다(도 1의 좌측 참조). 부동태화제를 함유하는 CMP후 세정 조성물의 도입후, BTA-Cu+ 층은 기판의 에칭없이 언더컷 및 리프트-오프되어 부동태화제-Cu+ 층을 남기게 된다(도 1의 우측 참조). 얻은 표면은 친수성이며, 습윤이 매우 용이하다.
중요하게도, 본 발명의 수성의 CMP후 세정 조성물은 탈이온수에 비해 표면장력이 상당히 낮다. 이에 따라 본 발명의 수성 조성물이 디바이스 표면으로부터 CMP후 잔사 및 오염물을 세정하는 능력이 향상된다.
또 다른 구체예로, CMP후 잔사 제거 수성 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, CMP후 잔사, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제, 임의로 적어도 하나의 착화제 및 잔량 물을 포함한다. 중요하게도, CMP후 잔사는 본 발명의 제거 조성물에 용해 및/또는 현탁될 수 있다.
또 다른 구체예로, 수성의 CMP후 제거 조성물은 적어도 하나의 아민, 아스코르브산, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 추가 환원제 및 물을 포함하며, 상기 알칼리 수성 세정 조성물은 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 세정하는데 적합하다. 바람직하게는, 수성 CMP후 제거 조성물은 플루오라이드 공급원, 산화제 및/또는 연마 물질을 갖지 않는다.
본 발명의 일 구체예로, 세정 용액으로 사용하기 위해 희석될 수 있는 농축 수성의 CMP후 세정 조성물이 제공된다. 농축 조성물 또는 "농축물"은 유리하게는 사용자, 예를 들어 CMP 처리 기사로 하여금 사용시에 농축물을 소정 강도 및 알칼리성으로 희석할 수 있게 해 준다. 더욱이, 생성물의 농축물은 저장 수명이 길고 선적 및 저장이 좀 더 용이하다. 농축 세정 조성물의 희석은 약 1:1 내지 약 200:1의 범위내에서 행해질 수 있으며, 세정 조성물은 툴에서 또는 툴 직전에 용매, 예를 들어 탈이온수로 희석된다. 바람직하게, 농축 세정 조성물은 약 5:1 내지 약 50:1의 범위로 희석된다.
본 발명의 수성의 CMP후 세정 조성물의 중요한 일면은 비수성 성분(물외의 성분)이 조성물에 소량, 바람직하게 약 30 중량% 미만으로 존재한다는 것이다. 이는 효과적인 제거 조성물이 보다 경제적으로 제제화될 수 있기 때문에 경제적으로 이점이 있으며, CMP후 세정 조성물이 다량으로 사용되기 때문에 중요하다. 또한, 세정 조성물은 수계이기 때문에, 본 발명의 제거 조성물은 처분이 보다 용이하다.
본 발명의 수성의 CMP후 세정 조성물은 각 성분들을 단순히 첨가하고 균질한 조건으로 혼합함으로써 용이하게 제제화된다. 더구나, 수성의 CMP후 세정 조성물은 단일 패키지 제제 또는 사용시 또는 사용전에 혼합되는 다중 부분 제제로서 용이하게 제제화되며, 예를 들어 다중 부분 제제의 각 부분들은 툴에서 또는 툴의 저장 탱크 상류에서 혼합될 수 있다. 각 성분들의 농도는 수성의 CMP후 세정 조성물의 특정 배율로 광범위하게 달라질 수 있으며, 즉, 본 발명의 광범위 실시에 있어서 좀 더 희석되거나 좀 더 농축되고, 본 발명의 수성의 CMP후 세정 조성물이 다양하면서도 대안적으로 본 원의 설명과 일치하도록 임의 성분 배합물을 포함하거나, 이로 구성되거나 이로 실질적으로 구성될 수 있음을 인지할 것이다.
따라서, 본 발명의 다른 측면은 하나 이상의 용기에 본 발명의 조성물을 형성하기에 적합한 2 이상의 성분들을 포함하는 키트에 관한 것이다. 바람직하게, 키트는 하나 이상의 용기에 사용 지점 또는 팹에서 물과 배합하기 위한 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 계면활성제, 임의로 적어도 하나의 환원제를 포함한다. 당업자라면 다른 배합도 구상됨을 알 수 있을 것이다.
수용액 외에, 본 원에서는 또한 수성의 CMP후 세정 조성물이 포움, 포그, 임계미만 또는 초임계 유체(즉, 용매는 물 대신 CO2 등임)로 제제화될 수 있는 것도 구상된다.
또 다른 구체예로, 본 발명의 수성의 CMP후 세정 조성물은 마이크로일렉트로닉 디바이스 제조 공정의 다른 측면에 이용될 수 있다. 예를 들어, 수성의 CMP후 세정 조성물은 코발트 세정후 소정 코발트 도금을 제거하지 않고 유전체 물질에 손상을 주지 않으면서 유전체 영역으로부터 코발트-함유 미립자를 제거하기 위해 사용될 수 있다. 또한, 본 발명의 수성의 CMP후 세정 조성물은 에칭후 및 에싱후(post-ash) 잔사를 제거하기 위해 사용할 수 있을 뿐만 아니라 CMP 연마 툴의 버프 공정 동안에도 사용될 수 있다.
CMP후 잔사 및 오염물 세정 적용시에, 수성의 CMP후 세정 조성물은 Verteq 단일 웨이퍼 메가소닉 골드핑거, OnTrak 시스템 DDS(이중면 스크러버), Laurell 스핀-스프레이 툴, SEZ 단일 웨이퍼 스프레이 세척, Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM 및 메가소닉 배치 습윤 벤치 시스템을 비롯하여 다양한 통상적인 세정 툴과 함께 이용될 수 있다.
마이크로일렉트로닉 제작 공정에 적용되는 경우, 본 발명의 수성의 CMP후 세정 조성물은 금속성 상호연결 물질을 부동태화하는 동시에 마이크로일렉트로닉 디바이스 표면으로부터 에칭후 잔사 및 오염물을 세정하기 위해 유용하게 사용된다. 중요하게도, 본 발명의 세정 조성물은 디바이스 표면상의 저-k 유전체 물질을 손상을 주지 않고, 바람직하게는 잔사 제거전에 디바이스 상에 존재하는 잔사를 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99% 제거한다.
CMP후 잔사 및 오열물을 그 위에 가지는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사 및 오염물을 세정하기 위해 본 발명의 조성물을 사용하는 경우, 수성의 CMP후 세정 조성물은 전형적으로 약 20 내지 약 5O ℃의 온도에서 디바이스와 약 5 초 내지 약 10 분, 바람직하게 약 15 초 내지 5 분간 접촉된다. 이 접촉 시간 및 온도는 예시적인 것이며, 본 발명의 광범위 실시에 있어서는, 디바이스로부터 CMP후 잔사/오염물을 적어도 부분적으로 세정하기에 효과적인 어떠한 다른 적합한 시간 및 온도 조건도 이용될 수 있다. "적어도 부분적으로 세정" 및 "실질적으로 제거" 한다는 것은 모두 잔사 제거전에 디바이스상에 존재하는 잔사를 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99% 제거하는 것에 상응한다.
또 다른 구체예로, 수성의 CMP후 세정 조성물은 버핑 공정 전 또는 그 중에, 즉, 플래튼 다운포스(downforce) 감소전 또는 감소동안 단계 II 플래튼에 도입되어 수성의 CMP후 세정 조성물이 단계 II CMP 슬러리를 치환하고 단계 II CMP 공정의 후위에서 마이크로일렉트로닉 디바이스 표면으로부터 단계 II CMP 슬러리의 제거를 촉진한다.
소정 세정 작용의 성취후, 수성의 CMP후 세정 조성물은, 본 발명의 조성물의 제시된 최종 용도 응용에 바람직하고 효과적일 수 있도록 앞서 적용된 디바이스로부터 용이하게 제거될 수 있다. 바람직하게, 세정 용액은 탈이온수를 포함한다.
본 발명의 또 다른 측면은 본 발명의 방법에 따라 제조된 개선된 마이크로일렉트로닉 디바이스 및 이러한 마이크로일렉트로닉 디바이스를 함유하는 제품에 관한 것이다.
본 발명의 또 다른 측면은 마이크로일렉트로닉 디바이스를 포함하는 물품의 제조 방법에 관한 것으로, 상기 방법은 CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 CMP후 잔사 및 오염물을 세정하기에 충분한 시간 동안 마이크로일렉트로닉 디바이스를 수성의 CMP후 세정 조성물과 접촉시키는 단계, 및 마이크로일렉트로닉 디바이스를 상기 물품에 내장시키는 단계를 포함하며, 상기 수성의 CMP후 세정 조성물은 (a) 적어도 하나의 아민, 적어도 하나의 부동태화제 및 잔량 물, (b) 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 환원제 및 잔량 물, (c) 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 계면활성제, 임의로 적어도 하나의 환원제 및 잔량 물, (d) 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 잔량 물 및 (e) 적어도 하나의 아민, 적어도 하나의 부동태화제, 적어도 하나의 사급 염기, 적어도 하나의 환원제, 임의로 적어도 하나의 계면활성제 및 잔량 물로 구성된 군 중에서 선택된 성분을 포함한다.
또 다른 측면으로, 본 발명은 전자 디바이스 웨이퍼의 구리를 보호하기 위해 이송 용액으로 사용되는 수성의 CMP후 세정 조성물에 관한 것이다. 예를 들어, 본 원에 개시된 CMP후 세정 조성물은 웨이퍼를 연마 플래튼에 이송하는 동안 및/또는 CMP후 잔사 제거 공정, 즉 브러시-스크러빙, 메가소닉 등의 공정중에 웨이퍼상에 스프레이될 수 있다. 바람직하게, 수성의 CMP후 세정 조성물은 웨이퍼상에 스프레이전에 물로 약 20:1 내지 약 1000:1의 범위로 희석된다.
본 발명의 일면 및 장점이 하기 한정적이지 않은 실시예로 좀 더 상세히 설명될 것이며, 여기에서 모든 부 및 백분율은 특별히 명기되지 않으면 중량에 의한다.
실시예 1
제제 AA-AF의 구리 부식 억제능(즉, 구리 에칭율 최소화)을 평가하였다. 디바이스는 블랭킷 구리 웨이퍼이다. 각 경우 웨이퍼를 5O ℃에서 각 조성물에 10 분동안 침지시킨 후, 탈이온수로 세척하고, 스핀 건조하였다. 침지전에, 샘플을 4-포인트 프로브(4-point probe) 측정 기술로 측정하여 기판의 두께를 저항 함수로 결 정하였다. 회귀곡선을 생성하고, 저항 함수로 결정된 구리 두께로 각 조성물내 구리의 에칭율을 유도하였다. 결과를 도 2에 나타내었다.
실시예 2
잔사가 위에 있는 패턴화 웨이퍼를 4O ℃에서 교반(250 rpm) 제제 AC의 비이커에 2 분간 침지시켰다. 패턴화 웨이퍼는 구리 표면상에 FSG 결합 패드를 포함하였다. 세정후, 웨이퍼를 탈이온수로 세척하고, 건조후 주사 전자현미경(SEM)으로 조사하였다. 구리의 에칭율은 1.4 Å 분-1로 결정되었다.
침지 전과 후의 대조 웨이퍼의 전자현미경 사진을 각각 도 3a 및 3b에 나타내었다. 이로부터 단지 2 분간의 세정으로 잔사가 효과적으로 제거되었음을 알 수 있다.
실시예 3
비어 에칭 배리어 브레이크스루 후 웨이퍼를 실온에서 교반(250 rpm) 제제 AC의 비이커에 2 분간 침지시켰다. 비어 에칭 공정동안, 웨이퍼를 50% 과다 에칭에 적용하여 다량의 측벽 중합체 잔사를 제공하였다. 세정후, 웨이퍼를 탈이온수로 세척하고, 건조후 SEM으로 조사하였다.
침지전 대조 비어 웨이퍼 및 절단된 대조 비어 웨이퍼의 전자 현미경사진을 각각 도 4a 및 4b에 나타내었다. 침지후 비어 웨이퍼 및 절단된 비어 웨이퍼의 전자 현미경사진을 각각 도 5a 및 5b에 나타내었다. 이로부터 비어 에칭 및 과다 에칭 공정후 잔류하는 잔사가 비어의 측벽으로부터 실질적으로 제거되었음을 알 수 있다(도 5b 참조).
실시예 4
처리 및 비처리 구리 표면상에서 탈이온수 접촉각을 결정하였다. 구리 표면은 비처리시 탈이온수 접촉각이 72.5±2.2인 전기화학적으로 증착된 구리 물질이다. ECD 구리를 하기 표 1에 예시된 각종 조성물에 1 분간 침지시키고, 탈이온수로 세척한 후, 질소로 건조시켜 탈이온수 접촉각을 결정하였다. 결과를 표 1에 나타내었다.
표 1:
처리 및 비처리 구리 표면상에서 탈이온수 접촉각
접촉각(o)
대조군 72.5±2.2
0.1 중량% BTA 49.8±1.5
0.01 중량% CBTA 33.4±3.2
0.2 중량% TAZ 12.5±1.7
0.1 중량% ATA 16.4±1.3
제제 BQ(10:1로 희석) 12.5±1.0
또한, ECD 구리를 부동태화제를 함유하지 않는 세정 조성물에 이어 0.1 중량% BTA로 처리한 후, 세척하고, 건조시켰다. 이렇게 처리된 구리 표면의 접촉각은 약 50-60°이었다. 처리된 상기 ECD 구리 표면를 제제 BQ(10:1으로 희석)에 추가로 침지하여 세척하고, 건조시킨 경우, 접촉각은 12.5±1.6으로 감소되었다.
0.1 중량% BTA를 포함하는 조성물로 처리된 구리의 접촉각은 약 50°- 60°이었으며, 이는 처리 표면이 비교적 소수성(즉, 비습윤성)임을 나타내는 것이다. 이에 반해, TAZ로 처리된 구리는 접촉각이 약 10°- 15°이었으며, 이는 처리 표면 이 친수성임을 나타낸다. 흥미롭게도, 상기 BTA-처리 구리 표면을 TAZ를 함유하는 제제 BQ에 침지시킨 경우, 탈이온수 접촉각은 약 10°- 15°로 감소(즉, TAZ-처리 표면에 대한 접촉각)되었으며, 이는 TAZ가 (i) BTA-Cu+ 표면상에 흡착되었거나, 또는 (ii) Cu 표면으로부터 BTA를 치환하여 TAZ-Cu+를 남겼음을 제시한다.
실시예 5
처리 및 비처리 구리 표면상에서 탈이온수에 대한 접촉각 및 상응하는 표면 에너지를 결정하고, 도 6에 나타내었다. 조사 표면은 다음과 같이 요약될 수 있다:
ECD Cu 전기화학적으로 증착된 구리(대조군)
Cu-BTA 자연 pH에서 0.1% BTA 용액에 침지된 ECD Cu
Cu-2MBI 자연 pH에서 0.01% MBI 용액에 침지된 ECD Cu
Cu-4302 0.1%의 독점 변형된 트리아졸 조성물에 침지된 ECD Cu
CU2O 표면 CuO를 CU2O로 전환시키기 위하여 조성물로 처리된 ECD Cu
Cu-CBTA 자연 pH에서 0.01% CBTA 용액에 침지된 ECD Cu
Cu-TAZ 자연 pH에서 0.2% TAZ 용액에 침지된 ECD Cu
Cu-5ATA 자연 pH에서 0.2% ATA 용액에 침지된 ECD Cu.
시험된 대부분의 용액에서 그에 용해된 구리 부동태화제의 양은 4-6 pH 범위이기가 쉬운 자연 pH에서 상기 화합물의 용해성으로 제시되었다.
결과는 실질적인 세정 및 부동태화 실험보다는 단순히 접촉각을 측정하는 것만으로도 적합한 보편적인 구리 부동태화제를 예측할 수 있음을 보여준다. 예를 들 어, 결과는 구리 부동태화제가 최적의 표면 "습윤성"을 제공하여 세정 수용액이 CMP후 세정동안 고 종횡비 구조물로 침투할 수 있을 가능성을 증가시키고 노출 구리 표면상에 "물방울자국(water spot)"의 형성 가능성을 감소시킴을 예증한다.
실시예 6
구리 표면상에 TAZ 흡착을 산성 및 염기성 매질에서 측정하였다. 수정 마이크로저울(QCM) 상의 전극에 구리를 도금하여 23 ℃에서 탈이온수에 약 5의 pH로 360 초간 침지시켰다. 산성 대조군 실험의 결과를 도 7에 나타내었는 바, 산화 및 수화 공정으로 인해 300 초에서 실측 질량 증가가 약 1.66 μg cm-2임을 나타낸다.
그 후, 구리-도금된 QCM 전극을 pH 5.8(용액의 자연 pH)에서 0.058 중량% TAZ를 함유하는 용액에 침지시켰다. 산성 매질에서의 실험 결과를 도 8에 나타내었는 바, 300 초에서 실측 질량 증가가 약 0.38 μg cm-2이었으며, 이는 약 26 Å의 TAZ 필름 두께에 해당하는 것이다. 도 9는 이러한 산성 매질 실험에 있어서, Ag/AgCl 기준 전극에 대한 상응하는 개방 회로 전위(OCP)를 나타낸다. 이로부터 QCM으로 결정된 두께가 300 초에서 약 0.38 μg cm-2인 흡착 TAZ의 전위는 약 0.18 V에 상응함을 알 수 있다.
구리-도금된 QCM 전극을 TMAH로 pH를 11.5로 조정한 염기성 탈이온수 용액에 침지시켜 실험을 반복하였다. 염기성 대조 실험 결과를 도 10에 나타내었는 바, 300 초에서 실측 질량 증가가 약 0.44 μg cm-2인 것으로 나타났다.
이어서, 구리-도금된 QCM 전극을 TMAH로 pH를 11.5로 조정한 0.058 중량% TAZ를 함유하는 용액에 침지시켰다. 염기성 매질에서 QCM 실험 결과는 확정되지 않았으나, 도 11은 염기성 매질에서 이 실험에 상응하는 OCP를 보여준다. 이로부터 염기성 매질에서 흡착된 TAZ에 대한 전위는 약 0.195 V에 상응함을 알 수 있다. 산성 TAZ 용액 및 염기성 TAZ 용액간의 OCP 결과 유사성은 TAZ가 용액의 pH와 무관하게 노출된 구리 표면에서 일관적으로 흡착될 것임을 제안한다. 이는 CMP가 pH 값이 다양한 각종 상이한 슬러리를 필요로 하고, 잔류 CMP 슬러리는 종종 CMP후 세정 조성물을 오염시켜 세정 조성물의 pH를 변경시키기 때문에 당연한 것이다. 바람직하게, 부동태화제는 pH와 무관하게 노출 구리상에 상응하게 흡착하여 세정 조성물의 응용성을 확대시키게 된다.
실시예 7
BTA-처리 구리 표면상에 TAZ의 흡착을 염기성 매질에서 결정하였다. 수정 마이크로저울(QCM) 상의 전극을 구리로 도금하여 23 ℃에서 자연 pH의 0.2 중량% BTA 용액에 300 초간 침지시켰다. 그 후, BTA-처리된 구리를 pH 11.5인 0.1 중량% TAZ 용액에 300 초간 침지시켰다. OCP 및 QCM의 실험 결과를 각각 도 12 및 13에 나타내었다. 이로부터 전극 질량이 감소하여 보다 무거운 BTA 분자(MW 119.1 g mole-1)가 보다 가벼운 TAZ 분자(69.07 g mole-1)로 치환되었음이 명백함을 알 수 있다. 이 실험 결과는 적어도 일부의 BTA가 TAZ 분자로 치환되었음을 제시한다.
실시예 8
도 14는 두 상이한 세정 방법후 구리, TEOS, Coral 및 흑다이아몬드(BD) 표면상에 잔류하는 총 결함수를 나타낸다. 컴퍼스 결함 분석 툴을 이용하여 각 표면상에 0.1 μm 보다 큰 결함수를 세었다. 제 1 세정 방법은 업계에 사용되는 통상적인 것으로, CMP 후, 웨이퍼를 시트르산 용액에 침지하고, MEA, TMAH, 아스코르브산 및 탈이온수를 포함하나 부동태화제를 함유하지 않는 세정 조성물로 브러시 세정하였다. 제 2 세정 방법은 CMP 후, 웨이퍼를 제제 BQ에 침지시킨 다음, 상기 언급된 부동태화제를 함유하지 않는 세정 조성물로 브러시 세정하는 단계를 포함한다.
도 14를 참조로 하여, 제 1 방법에 따라 세정된 구리는 결함수가 상당함을 알 수 있으며, 이들은 주로 선행 CMP 공정으로부터 흡착된 BTA의 존재로 가능한 유기 결함이다. 구리를 제 2 방법에 따라 세정한 경우, 표면은 TAZ를 포함하는 조성물(제제 BQ)에 침지되며, 결함수는 현저히 감소하였다. 이들 결과는 CMP후 세정동안 BTA가 TAZ로 치환되었음을 추가로 보여준다. 따라서, TAZ에 의한 BTA 치환의 추가의 이점은 표면 결함수의 감소에 따라 전체적인 마이크로일렉트로닉 디바이스의 신뢰성이 증가한다는 것이다.
실시예 9
도 15는 CMP 버프 단계동안 제제 BF의 10:1 희석물(탈이온수 이용)로 버프 세정하고, CMP후 브러시 박스에서 4.7% TMAH, 20.6% TEA, 3.3% 갈산, 11.2% 아스코르브산 및 잔량으로 물을 포함하는 농축 조성물의 30:1 희석물을 사용하여 세정한 뒤, 블랭킷된 구리 웨이퍼에 대한 총 결함, 유기 결함 및 입자 결함의 수를 시간의 함수로 나타낸 것이다. 이로부터 브러시 시간이 증가함에 따라 유기, 입자, 결함 총수가 감소함을 알 수 있다. 이들 결과는 실질적인 결함의 양을 제거하는데 브러시 세정을 바람직하게는 적어도 60 초간 행하는 것이 필요함을 제시한다.
실시예 10
도 16a는 Sematech 854 대조 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 주사 전자현미경 사진이며, 이 웨이퍼 위에는 CMP 잔사 및 슬러리 오염물이 있다. 도 16b는 Sematech 854 대조 웨이퍼의 결합 패드를 무작위로 선택하여 6,000 배 확대하여 나타낸 SEM이다.
본 발명의 세정 제제를 60:1 희석(탈이온수 사용)하여 Laurell 스핀-스프레이 툴을 이용하여 22 ℃에서 60 초간 Sematech 854 대조 웨이퍼를 세정하였다.
도 17-26은 각각 제제 BH-BM, BF 및 BN-BP로 세정한 것에 상당한 것으로, 도 a는 스핀/스프레이 처리후 웨이퍼의 결합 패드 중심부를 30,000 배 확대하여 나타낸 SEM이고, 도 B는 스핀/스프레이 처리후 웨이퍼의 결합 패드 에지부를 30,000 배 확대하여 나타낸 SEM이며, 도 c는 스핀/스프레이 처리후 웨이퍼의 무작위로 선택한 결합 패드를 6,000 배 확대하여 나타낸 SEM이고, 도 d는 스핀/스프레이 처리후 웨이퍼의 0.18 μm 라인 패턴 영역을 6,000 배 확대하여 나타낸 SEM이다.
도 17 및 18은 BTA를 포함하는 제제(각각 제제 BH 및 BI)로 세정한 웨이퍼에 상당한 것으로, BTA를 포함하는 CMP후 세정 조성물은 잔사 및 오염물을 제거하는데 적합치 않음을 보여준다.
도 19는 저 농도의 CBTA를 포함하는 제제(제제 BJ)로 세정한 웨이퍼에 상당한 것으로, CMP후 잔사 및 오염물이 실질적으로 제거되었음을 보여준다. 그러나, 도 20은 고 농도의 CBTA를 포함하는 제제(제제 BK)로 세정한 웨이퍼에 상당한 것으로, 더 농축시킨 제제는 잔사 및 오염물을 제거하지 못하였을 보여주고 있다. 이론적인 결부없이, 이와 같은 상이한 차이는 제제내 부동태화제와 기타 성분들간의 밸런스에 기인할 것으로 판단된다. 고 농도의 부동태화제는 제제의 세정능을 저해할 수 있다.
도 21 및 22는 ATA를 포함하는 제제(각각 제제 BL 및 BM)로 세정한 웨이퍼에 상당한 것으로, 세정 동태가 CBTA(즉, 도 19 및 20)와 유사하며, 따라서 적게 농축된 ATA 조성물은 잔사 및 오염물을 실질적으로 제거하나, 더 농축시킨 ATA 조성물은 그렇지 않다는 것을 예증한다. ATA를 포함하는 두 조성물 모두에 있어서 아마도 부동태화제 수준이 너무 높은 관계로 필름이 웨이퍼 표면에 흡착됨으로써 구리상에 부동태화제의 미립자가 고르지 않게 침착된 것으로 보이는 것을 인식할 필요가 있다.
도 23은 TAZ를 포함하는 제제(제제 BF)로 세정한 웨이퍼에 상당한 것으로, CMP후 잔사 및 오염물이 실질적으로 제거되었음을 보여준다.
도 24는 TAZ를 포함하는 제제(제제 BN)로 세정한 웨이퍼에 상당한 것으로, CMP후 잔사가 상당량 제거되었으나, 세정동안 웨이퍼 표면에 필름이 흡착되었다.
도 25는 TAZ를 포함하는 제제(제제 BO)로 세정한 웨이퍼에 상당한 것으로, CMP후 잔사 물질이 보다 소량으로 제거되었으나, 제제는 웨이퍼 표면으로부터 더 큰 미립자 물질은 제거할 수 없었음을 나타내고 있다. BO 제제는 독점적 웨이퍼로부터 모든 CMP후 잔사 물질 및 오염물을 실질적으로 제거한(도시되지 않음) 것으로 나타났다. 이는 모든 제제의 유용성은 웨이퍼상의 물질 성향뿐 아니라 선행 CMP 연마 상태에 좌우됨을 제시하는 것이다.
도 26은 TAZ를 포함하는 제제(제제 BP)로 세정한 웨이퍼에 상당한 것으로, CMP후 잔사 및 오염물이 실질적으로 제거되었음을 보여준다.
실시예 11
유전체 물질상에서 희석 제제 BR 및 BS의 접촉각을 측정하였다. 제제 BR을 희석하여 2.561 BR: 97.839 탈이온수 용액을 형성하였다. 제제 BS를 희석하여 2.56 BS: 97.84 탈이온수 용액을 형성하였다. 실측 접촉각의 광 이미지를 도 27A 및 27B에 나타내었다.
실시예 12
CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사 및 오염물을 제거하는 것에 대한 제제 BQ, CC-CG 및 CJ-CM의 효능을 평가하였다. 디바이스는 건조된 Arch1OK CMP 슬러리 및 다른 CMP후 잔사가 표면상에 존재하는 패턴화 Sematech 854 웨이퍼이었다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. Nanoscope IIIa 원자력 현미경(AFM)으로 세정전 및 세정후 분석을 실시하였다.
기판상에서 대상체의 감소에 따라 세정 효능을 등급화하였다. 샘플 기판상의 입자는 231-235 강도로부터 픽셀 범위로 "기록되었으며", 전형적인 AFM 이미지는 검은 바탕위의 흰색에 해당한다. Sigma Scan Pro 히스토그램을 적용하여 이들 픽셀을 필터하고 입자의 수를 세었다. 다음 식 (1)을 이용하여 세정 효능을 계산하였다:
Figure 112007093427051-PCT00001
표 2는 제시된 희석비율에서 상술된 조성물 BQ, CC-CG 및 CJ-CM에 대한 CMP후 세정 효능 결과를 포함한다.
표 2:
본 발명의 조성물에 대한 CMP후 잔사 및 오염물 세정 효능
제제 희석 세정 효능(%)
BQ 30:1 99.0
CC 30:1 70.0
CD 30:1 97.4
CE 30:1 99.7
CF 30:1 98.0
CG 30:1 99.0
CJ 30:1 0
CK 30:1 0
CL 30:1 0
CM 30:1 0
상기 결과로부터, 제제 BQ는 구리 부동태화제 TAZ를 함유하지 않는 제제 CC에 비해 세정성이 19% 향상되었음을 알 수 있다. 제제 CE 및 CG는 부동태화제 TAZ를 함유하지 않는 제제 CD 및 CF에 비해 세정성이 각각 2% 및 1% 향상되었다. 부동태화제로 BTA를 함유하는 제제, 특히 CJ, CK, CL 및 CM은 세정동안 입자가 가해져 세정성이 몹시 좋지 않았다.
실시예 13
제제 BQ 및 CC-CE의 존재하에 블랭킷 연마된 구리 웨이퍼의 구리 거칠음을 평가하였다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. Nanoscope IIIa AFM으로 세정전 및 세정후 분석을 실시하였다. 거칠음을 AFM 소프트웨어로 계산하여 거칠기 제곱평균으로 등급화하였다. 결과를 하기 표 3에 나타내었다.
표 3:
본 발명의 조성물을 사용한 경우 구리 거칠기
제제 희석 RMS 거칠기 %
BQ 30:1 1.01
CC 30:1 1.42
CD 30:1 1.14
CE 30:1 1.17
상기 데이터는 본 발명의 세정 조성물에 부동태화제을 첨가한 것, 즉 제제 CC 및 CE가 제제로 인한 구리 거칠음에 불리하게 영향을 미치지 않음을 보여준다.
실시예 14
CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사 및 오염물을 제거하는 것에 대한 제제 CC, CD, CF, CH 및 CI의 효능을 평가하였다. 디바이스는 건조된 Arch1OK CMP 슬러리가 표면상에 존재하는 패턴화 Sematech 854 웨이퍼이었다. 웨이퍼를 10 ppm의 BTA에 2 시간 동안 정적 침지하여 더 오염시켰다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사 용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. Nanoscope IIIa 원자력 현미경(AFM)으로 세정전 및 세정후 분석을 실시하였다. 세정 효능을 실시예 12의 방법에 따라 등급화하고, 상술된 식 (1)을 사용하여 계산하였다. 결과를 하기 표 4에 나타내었다.
표 4:
본 발명의 조성물에 대한 CMP후 잔사 및 오염물 세정 효능
제제 희석 세정 효능(%)
CC 30:1 92.6
CD 30:1 46.1
CF 30:1 86.4
CH 30:1 86.4
CI 30:1 98.6
상기 결과로부터, TAZ를 포함하는 제제 CI는 TAZ 부동태화제를 함유하지 않는 제제에 비해 슬러리 및 BTA 오염물의 세정능이 향상되었음을 알 수 있다.
실시예 15
구리 표면으로부터 BTA 잔사를 세정하는데 대한 제제 BQ 및 CC-CG의 효능을 평가하였다. 구리 표면은 10 ppm의 BTA에 2 시간 동안 정적 침지하여 처리한 블랭킷 시드 웨이퍼이었다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. 이어서, 웨이퍼를 H2S 가스에 2 분동안 노출시켰다. 가슬 노출에 따른 변색은 BTA-처리 구리 표면상에 잔류하는 BTA 오염 수준을 의미하며, BTA 제거의 최소에서 최대 범 위는 오렌지<적색<핑크색< 자주색<청색에 해당한다. 결과를 하기 표 5에 나타내었다.
표 5:
특정 제제 처리 및 H2S 가스 노출후 BTA 오염 수준
오염 제제 H2S 가스 노출후 색
자주/청색
10 ppm BTA 오렌지색
10 ppm BTA CC 핑크색
10 ppm BTA BQ 청색
10 ppm BTA CD 핑크색
10 ppm BTA CE 청색
10 ppm BTA CF 적색
10 ppm BTA CG 핑크/자주색
TAZ를 포함하는 본 발명의 제제, 특히 BQ, CE 및 CG로 세정된 표면은 보다 두드러지게 변색되었으며, 즉 TAZ 부동태화제를 함유하지 않는 제제 보다 상당히 BTA를 제거하였다는 증거이다. XPS 결과는 TAZ를 포함하는 제제가 박층의 TAZ 부동태화 구리를 남기고 있음을 예증한다. 이들 결과는 TAZ를 포함하는 본 발명의 제제가 웨이퍼 표면으로부터 BTA를 치환한다는 이론을 뒷받침해 준다.
실시예 16
다양한 구체예를 제제화하였으며, 여기에서 모든 백분율은 제제의 총 중량을 기준으로 한 중량으로 환산된다. 이들 중 일부는 바람직하고, 일부는 비교 목적으로 제제화되었다.
제제 DA
9 중량% 모노에탄올아민, 91 중량% H2O
제제 DB
9 중량% 모노에탄올아민, 0.1 wt% 2-머캅토벤즈이미다졸, 90.9 중량% H2O
제제 DC
11 중량% 1-아미노-2-프로판올, 89 중량% H2O
제제 DD
11 중량% 1-아미노-2-프로판올, 0.1 중량% 카복시벤조트리아졸, 88.9 중량% H2O
제제 DE
11 중량% NMEA, 89 중량% H2O
제제 DF
11 중량% NMEA, 0.1 wt% 5-아미노테트라졸, 88.9 중량% H2O
제제 DG
11 중량% NMEA, 0.1 wt% 5-아미노테트라졸, 1 wt% (40%) 글리옥살, 87.9 중량% H2O.
실시예 17
제제 DA-DG의 구리 에칭율을 평가하였다. 기판은 블랭킷 구리 웨이퍼이다. 웨이퍼를 각 경우 22 ℃에서 각 조성물에 450 rpm으로 10 분간 침지시킨 후, 탈이온수로 30초 동안 세척하고, 질소 건조시켰다. Res Map 4 포인트 프로브로 구리 두 께를 측정하여 세정전 및 세정후 분석을 실시하였다. 식 (2)를 이용하여 제제 존재하에 구리의 에칭율(ER)을 계산하였다. 식 (3)을 이용하여 에칭율의 변동성을 계산하였다.
Figure 112007093427051-PCT00002
상기 식에서,
A는 침지전 기판 두께(Å)이고,
B는 침지후 기판 두께(Å)이며,
T는 시간(분)이다.
Figure 112007093427051-PCT00003
상기 식에서,
SA는 처리전 기판의 변동성(Å)이고,
SB는 처리후 기판의 변동성(Å)이며,
T는 시간(분)이다.
에칭율을 결정하기 위한 실험 결과를 하기 표 6 및 7에 나타내었으며, 여기에서 DA 및 DB의 20:1 용액은 DA 및 DB를 탈이온수로 20:1 희석하였음을 의미한다.
표 6:
제제 DA-DG에 침지시킨 후 구리 두께 및 에칭율
제제 DA (20:1) DB (20:1) DA DB DC DD DE DF DG
침지전 두께 (Å) 764.3 785 769.1 737.1 790.2 797.5 779.1 750.7 779.8
침지후 두께 (Å) 636.7 783.4 506.7 724.3 478.3 685.9 630.6 627.9 693.3
ER (Å 분-1) 12.8 0.2 26.2 1.3 31.2 11.2 14.9 12.3 8.7
표 7:
구리 두께 변동성 및 에칭율 변동성
제제 DA (20:1) DB (20:1) DA DB DC DD DE DF DG
침지전 변동성 (Å) 2.6 1.7 1.6 4.7 1 0.9 1.5 3 1.4
침지후 변동성 (Å) 12.1 1.7 52.5 3.1 17.6 9.4 26.8 6.2 2.5
ER 변동성 (Å 분-1) 1.2 0.2 1.3 0.6 1.8 0.9 2.7 0.7 0.3
상기 결과로부터, 제제 DB, DD 및 DF에 부동태화제의 첨가는 제제 DA, DC 및 DE(즉, 부동태화제를 함유하지 않는 제제) 보다 샘플의 에칭율을 현저히 낮추고 구리 에칭율의 변동성을 감소시킴을 알 수 있다. 제제 DG에 환원제 글리옥살의 첨가는 제제 DE 및 DF(즉, 환원제를 함유하지 않는 제제) 보다 에칭율 및 구리 에칭율의 변동성을 추가로 감소시켰다.
실시예 18
각 조성이 다음과 같은 제제 EA-EP의 샘플을 제조하였다.
제제 EA
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중 량% 아스코르브산, 82.5 중량% H2O
제제 EB
1 중량% 1,2,4-트리아졸, 99 중량% H2O
제제 EC
1.75 중량% 아스코르브산, 1 중량% 1,2,4-트리아졸, 97.25 중량% H2O
제제 ED
2.5 중량% 테트라메틸암모늄 하이드록사이드, 1.75 중량% 아스코르브산, 1 중량% 1,2,4-트리아졸, 94.75 중량% H2O
제제 EE
4.5 중량% 모노에탄올아민, 1 중량% 1,2,4-트리아졸, 94.5 중량% H2O
제제 EF
4.5 중량% 모노에탄올아민, 1.75 중량% 아스코르브산, 1 중량% 1,2,4-트리아졸, 92.75 중량% H2O
제제 EG
2.5 중량% 테트라메틸암모늄 하이드록사이드, 1 중량% 1,2,4-트리아졸, 96.5 중량% H2O
제제 EH
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중 량% 갈산, 2 중량% 아스코르브산, 2 중량% 1,2,4-트리아졸, 78.5 중량% H2O
제제 EI
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1 중량% 벤조트리아졸, 79.5 중량% H2O
제제 EJ
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 10.9 중량% 아스코르브산, 71.6 중량% H2O
제제 EK
9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 10.9 중량% 아스코르브산, 2 중량% 1,2,4-트리아졸, 69.6 중량% H2O
제제 EL
5.5 중량% 모노이소프로판올아민, 1.75 중량% 아스코르브산, 1 중량% 1,2,4-트리아졸, 91.75 중량% H2O
제제 EM
7.75 중량% 아미노에톡시에탄올, 1.75 중량% 아스코르브산, 1 중량% 1,2,4-트리아졸, 89.5 중량% H2O
제제 EN
5.5 중량% 모노이소프로판올아민, 1.75 중량% 아스코르브산, 92.75 중량% H2O
제제 EO
4.5 중량% 모노에탄올아민, 1.75 중량% 아스코르브산, 93.75 중량% H2O
제제 EP
9.0 중량% 모노에탄올아민, 3.5 중량% 아스코르브산, 2 중량% 1,2,4-트리아졸, 85.5 중량% H2O
실시예 19
CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사 및 오염물을 제거하는 것에 대한 제제 BG, EA-EG, EL 및 EM의 효능을 평가하였다. 디바이스는 건조된 CMP 슬러리 및 기타 CMP후 잔사가 표면상에 존재하는 패턴화 Sematech 854 웨이퍼이었다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. Nanoscope IIIa 원자력 현미경(AFM)으로 세정전 및 세정후 분석을 실시하였다. 세정 효능을 상술된 기판상의 대상체 감소로 등급화하고, 상술된 식 (1)을 사용하여 계산하였다.
표 8은 제시된 희석비율에서 상술된 조성물 BG, EA-EG, EL 및 EM에 대한 CMP후 세정 효능 결과를 포함한다.
표 8:
본 발명의 조성물에 대한 CMP후 잔사 및 오염물 세정 효능
제제 희석 세정 효능(%)
EA 10:1 70
BG 5:1 100
EB 5:1 -4
EC 5:1 -2
ED 5:1 -3
EE 5:1 -42
EF 5:1 99
EG 5:1 -2
EL 5:1 99
EM 5:1 90
상기 결과로부터, 제제 EC(TAZ 및 아스코르브산) 및 제제 EE(TAZ 및 모노에탄올아민)는 웨이퍼 표면으로부터 CMP후 잔사 및 오염물을 세정하지 못하였음을 알 수 있다. 그러나, 놀랍고도 예기치 않게 제제 EC 및 EE 성분들을 배합하여 제제 EF(TAZ, 아스코르브산, 모노에탄올아민)를 형성한 경우, 세정 효능은 거의 100%에 가까웠다. 이러한 놀라운 효과는 도 28 및 29에 추가로 나타내었으며, 이는 각각 제제 EC 및 EF를 사용하여 세정하기 전과 후의 AFM 이미지를 나타낸다. 이로부터 제제 EC는 웨이퍼 표면으로부터 세정된 입자수(즉, 흰점)에 영향을 미치지 않으나, 제제 EF는 웨이퍼 표면을 효과적으로 세정하였음이 확실하다. 유사하게, 제제 EL 및 EN도 세정 효능이 거의 100%에 가까웠다.
또한, 제제 EA가 부동태화제를 함유하지 않는 것을 제외하고는 동일한 제제 EA 및 BG를 제시된 바와 같이 희석(즉, 각각 10:1 및 5:1)하였다. 결과는 부동태화제, 이 경우 TAZ가 효과적인 금속 부동태화제로 작용할 뿐만 아니라 CMP후 잔사 및 오염물의 제거를 향상시켰음을 제시한다.
실시예 20
CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사 및 오염물을 제거하는 것에 대한 제제 EA 및 BG의 효능을 평가하였다. 디바이스 는 건조된 CMP 슬러리 및 기타 CMP후 잔사가 표면상에 존재하는 패턴화 Sematech 854 웨이퍼이었다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. Joule 주사 전자 현미경(SEM)으로 세정전 및 세정후 분석을 실시하였다. 세정 효능을 상술된 기판상의 대상체 감소로 등급화하고, 상술된 식 (1)을 사용하여 계산하였다.
표 9는 제시된 희석비율에서 상술된 조성물 EA 및 BG에 대한 CMP후 세정 효능 결과를 포함한다.
표 9:
본 발명의 조성물에 대한 CMP후 잔사 및 오염물 세정 효능
제제 희석 세정 효능(%)
EA 30:1 80
BG 30:1 98
BG 10:1 98
중요하게, 실시예 20은 세정 조성물의 세정 효능 저하없이 효과적인 제제를 실질적으로 희석시킬 수 있음을 입증한다. 이는 세정되는 웨이퍼당 단가를 낮추는 이점이 있다.
실시예 21
CMP후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 CMP후 잔사 및 오염물을 제거하는 것에 대한 제제 EA, BG, EJ 및 EK의 효능을 평가하였다. 디바이스는 건조된 CMP 슬러리 및 기타 CMP후 잔사가 표면상에 존재하는 패턴화 Sematech 854 웨이퍼이었다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. Nanoscope IIIa 원자력 현미경(AFM)으로 세정전 및 세정후 분석을 실시하였다. 세정 효능을 상술된 기판상의 대상체 감소로 등급화하고, 상술된 식 (1)을 사용하여 계산하였다.
표 10은 제시된 희석비율에서 상술된 조성물 제제 EA, BG, EJ 및 EK에 대한 CMP후 세정 입자수를 포함한다.
표 10:
본 발명의 조성물을 사용한 경우 CMP후 잔사 및 오염물 세정 효능
제제 희석 입자수
EA 30:1 2104
BG 30:1 217
BG 5:1 158
EJ 30:1 1546
EK 10:1 270
실시예 21은 제제 BG 및 EK로 세정하는 경우 낮은 입자수로 예시되는 바와 같이, 본 발명의 알칼리 수성 세정 조성물이 바람직하게 TAZ 등의 부동태화제를 포함하고 있다는 것을 추가로 보여준다.
실시예 22
구리가 위에 있는 마이크로일렉트로닉 디바이스상에서 구리를 부동태화하기 위한 제제 EH 및 EI의 효능을 평가하였다. 샘플 웨이퍼는 구리 시드층을 포함하였다. 웨이퍼를 각 경우에 스핀/스프레이 툴에서 22 ℃에서 100 rpm으로 1 분간 30:1(세정 조성물 1 부 대 탈이온수 30 부)로 희석한 세정 조성물을 사용하여 세정하고, 탈이온수로 30초 동안 세척한 후, 스핀 건조시켰다. 정적 10 ppm BTA 처리 및 비처리 샘플을 비교 분석하였다. XPS를 15°- 90°의 각으로 하여 분석을 실시하였다. 표 11은 제제 EH 및 EI에 대한 구리 부동태화 결과를 정적 BTA 및 비처리 샘플과 비교하여 나타낸 것이다.
표 11:
본 발명의 조성물을 사용한 경우 구리 부동태화
Figure 112007093427051-PCT00004
질소 대 구리 비는 제제와 웨이퍼 표면의 접촉후 구리상에 남아 있는 BTA 또는 기타 부동태화제(즉, 아졸)의 양을 암시한다. 예상한 바와 같이, 정적 BTA 제제 및 BTA를 포함하는 제제 J는 웨이퍼 표면상에 BTA 후막층을 생성하였다. TAZ를 포함하는 제제 EH는 웨이퍼 표면상에 부동태화 박막층을 생성하였으며, 이는 보다 얇 은 부동태화 필름이 후속 공정 단계에서의 제거가 용이하고 보다 적은 유기 결함을 남기기 때문에 유리하다.
표 11의 결과를 도 30에 더 나타내었다.
실시예 23
제제 EA, BG, EF, EL, EN 및 EO의 존재하에 코발트 에칭율을 산업계에 대표적인 시트레이트계 세정제(수중 10 중량% 디암모늄 시트레이트)와 비교 시험하였다. 웨이퍼 샘플은 연마 구리상에 코발트가 100 Å 도금된 것이다. 웨이퍼를 700 rpm으로 교반하면서 제제에 침지시켰다. 샘플을, 구리와 코발트 간의 색 차이를 육안적으로 구분하여 판단함으로써 코발트가 완전히 제거될 때까지 용액에 두었다.
표 12:
본 발명의 조성물을 사용한 경우 코발트 에칭
Figure 112007093427051-PCT00005
* 코발트가 샘플상에 남아 있더라도, 샘플을 1080 분후에 제거하였다.
상기 결과로부터 디암모늄 시트레이트 세정제가 코발트에 대한 에칭율이 가장 크고, 본 발명의 제제는 종래 시트레이트계 세정제보다 대단히 개선되었음을 알 수 있다. 더욱이, 제제 EA 및 BG와 비교하여 볼 때, 조성물에 부동태화제를 첨가하는 것은 코발트 에칭율을 0.5 Å 분-1 미만으로 저하시켰다.
실시예 24
제제 EA 및 BG를 사용하여 CMP후 잔사 및 오염물에 대한 버프 세정 효능을 시험하였다. 웨이퍼 샘플은 CMP 슬러리로 미리 연마시킨 블랭킷 구리 웨이퍼이다. 웨이퍼를 각 경우 22 ℃에서 Applied Materials ReflexionTM 툴의 제 3 플래튼에 15 초간 다양한 속도 및 1 psi 다운포스로 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정/버프한 후, ReflexionTM 툴에 대한 표준 CMP후 세정 공정을 행하였다. 결함 빈(bin) 사이즈를 0.2 μm로 고정한 KLA-SP1 표면 결함 분석 기기로 연마후 세정 분석을 실시하였다. 표 13은 지정된 플래튼 속도에서 상술한 조성물 EA 및 BG에 대한 결함 밀도 결과를 포함한다.
표 13:
여러 플래튼 속도로 본 발명의 조성물을 사용한 경우 버프 세정성
제제 희석 플래튼 속도 (rpm) 평균 결함 밀도 (결함/㎠)
EA 10:1 63 3.9
EA 10:1 107 2.4
BG 5:1 63 2.9
BG 5:1 107 1.6
상기 결과로부터 부동태화제를 포함하는 제제 BG는 조성물 EA와 비교하여 동일한 조건하에서 결함을 감소시킴을 알 수 있다.
실시예 25
제제 EA 및 BG를 사용하여 CMP후 잔사 및 오염물에 대한 버프 세정 효능을 시험하였다. 웨이퍼 샘플은 CMP 슬러리로 미리 연마시킨 블랭킷 구리 웨이퍼이다. 웨이퍼를 각 경우 22 ℃에서 Applied Materials ReflexionTM 툴의 제 3 플래튼에 15 초간 107 rpm의 플래튼 속도 및 다양한 다운포스로 x:1(세정 조성물 1 부 대 탈이온수 x 부)로 희석한 특정 세정 조성물을 사용하여 세정/버프한 후, ReflexionTM 툴에 대한 표준 CMP후 세정 공정을 행하였다. 결함 빈 사이즈를 0.2 μm로 고정한 KLA-SP1 표면 결함 분석 기기로 연마후 세정 분석을 실시하였다. 표 14는 지정된 플래튼 속도에서 상술한 조성물 EA 및 BG에 대한 결함 밀도 결과를 포함한다.
표 14:
여러 다운 포스 압력에서 본 발명의 조성물을 사용한 경우 버프 세정성
제제 희석 다운포스 (psi) 평균 결함 밀도 (결함/㎠)
EA 10:1 0 4.4
EA 10:1 1 2.2
EA 10:1 1 2.5
EA 10:1 2 3.5
BG 5:1 0 3
BG 5:1 1 1.1
BG 5:1 1 1.4
BG 5:1 2 0.6
상기 결과로부터 부동태화제를 포함하는 제제 BG는 조성물 EA와 비교하여 동일한 조건하에서 결함을 감소시킴을 알 수 있다.
본 발명이 본 원에서 구체예 및 일면을 들어 다양하게 기술되었지만, 본 원 에 기술된 구체예 및 일면은 본 발명을 제한하지 않고, 당업자들은 본 원의 내용에 비추어 다른 변형예, 수정예 및 다른 구체예를 생각해 낼 수 있음을 인식할 것이다. 따라서, 본 발명은 이러한 모든 변형예, 수정예 및 대안의 구체예를 포함하여 하기 청구범위의 사상 및 영역 내에서 광범하게 해석하여야 할 것이다.

Claims (48)

  1. 적어도 하나의 아민, 적어도 하나의 부동태화제(passivating agent), 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 물을 포함하는 알칼리 수성 세정 조성물로서, 상기 알칼리 수성 세정 조성물은 화학적 기계적 연마(CMP)후 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 세정하기에 적합한 것인 알칼리 수성 세정 조성물.
  2. 제1항에 있어서, 적어도 하나의 환원제를 포함하는 알칼리 수성 세정 조성물.
  3. 제2항에 있어서, 아스코르브산을 포함하는 알칼리 수성 세정 조성물.
  4. 제1항에 있어서, 적어도 하나의 부동태화제는 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 하이드록시벤조트리아졸, 2-(5-아미노펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 카복시벤조트리아졸, 할로-벤조트리아졸, 나프토트리아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅 토티아졸린, 5-아미노테트라졸(ATA), 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 이미다졸, 인디아졸, 벤조산, 암모늄 벤조에이트 및 이들의 배합물로 구성된 군 중에서 선택되는 종을 포함하는 것인 알칼리 수성 세정 조성물.
  5. 제1항에 있어서, 적어도 하나의 부동태화제는 약 0.5 Å 분-1 내지 약 10 Å 분-1의 구리 정적 에칭율(static etch rate)에 효과적인 양으로 존재하는 것인 알칼리 수성 세정 조성물.
  6. 제2항에 있어서, 적어도 하나의 추가 환원제를 더 포함하는 알칼리 수성 세정 조성물.
  7. 제1항에 있어서, 아민(들) 대 부동태화제(들)의 비가 약 (2-25):(0.05-15)의 범위인 알칼리 수성 세정 조성물.
  8. 제6항에 있어서, 아민(들) 대 총 환원제(들)의 비가 약 (2-25):(0.001-25)의 범위인 알칼리 수성 세정 조성물.
  9. 제1항에 있어서, 적어도 하나의 아민은 모노에탄올아민, N-메틸에탄올아민(NMEA), 아미노에틸에탄올아민, N-메틸아미노에탄올, 1-아미노-2-프로판올, 아미노에톡시에탄올, 디에탄올아민, 모노이소프로판올아민, 이소부탄올아민, C2-C8 알칸올아민, 트리에틸렌디아민 및 이들의 배합물로 구성된 군 중에서 선택되는 아민 화합물을 포함하는 것인 알칼리 수성 세정 조성물.
  10. 제9항에 있어서, 트리에탄올아민, 메틸디에탄올아민, 트리에틸아민, N,N-디메틸글리콜아민, N,N-디메틸디글리콜아민 및 펜타메틸디에틸렌트리아민으로 구성된 군 중에서 선택되는 삼차 아민을 더 포함하는 알칼리 수성 세정 조성물.
  11. 제6항에 있어서, 적어도 하나의 추가 환원제는 이소아스코르브산, 아스코르브산 유도체, 갈산 및 이들의 배합물로 구성된 군 중에서 선택되는 산을 포함하는 것인 알칼리 수성 세정 조성물.
  12. 제1항에 있어서, 적어도 하나의 사급 염기를 포함하며, 여기서 상기 적어도 하나의 사급 염은 (NR1R2R3R4)OH를 포함하고, 식 중 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있으며, 각각 독립적으로 수소, C1-C10 알킬 기 및 아릴 기로 구성 된 군 중에서 선택되는 것인 알칼리 수성 세정 조성물.
  13. 제12항에 있어서, 아민(들) 대 사급 염기(들)의 비가 약 (2-25):(0.001-10)의 범위인 알칼리 수성 세정 조성물.
  14. 제1항에 있어서, 상기 적어도 하나의 사급 염기는 테트라메틸암모늄 하이드록사이드(TMAH)를 포함하는 것인 알칼리 수성 세정 조성물.
  15. 제1항에 있어서, 적어도 하나의 계면활성제를 더 포함하는 알칼리 수성 세정 조성물.
  16. 제15항에 있어서, 아민(들) 대 계면활성제(들)의 비가 약 (2-25):(0.001-l)의 범위인 알칼리 수성 세정 조성물.
  17. 제1항에 있어서, 마이크로일렉트로닉 디바이스는 반도체 기판, 평판 디스플레이 및 미세전자기계 시스템(MEMS: microelectromechanical systems)으로 구성된 군 중에서 선택되는 물품을 포함하는 것인 알칼리 수성 세정 조성물.
  18. 제1항에 있어서, 약 9 내지 약 12 범위의 pH를 갖는 알칼리 수성 세정 조성물.
  19. 제1항에 있어서, CMP후 잔사 및 오염물은 CMP 연마 슬러리로부터의 입자, CMP 연마 슬러리에 존재하는 화학물질, CMP 연마 슬러리의 반응 부산물, 탄소 농후 입자, 연마 패드 입자, 브러시 탈로딩(deloading) 입자, 구성 입자의 장비 물질, 구리 및 구리 산화물로 구성된 군 중에서 선택되는 물질을 포함하는 것인 알칼리 수성 세정 조성물.
  20. 제1항에 있어서, 적어도 하나의 부동태화제는 TAZ를 포함하는 것인 알칼리 수성 세정 조성물.
  21. 제1항에 있어서, 세정 조성물은 약 5:1 내지 약 50:1의 범위로 희석되는 것인 알칼리 수성 세정 조성물.
  22. 제1항에 있어서, 하기 제제 BA-CM(여기서, 모든 백분율은 제제의 총 중량을 기준으로 한 중량%임)으로 구성된 군 중에서 선택되는 알칼리 수성 세정 조성물:
    제제 BA
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, EDTA 0.01%, 탈이온수 84.4%,
    제제 BB
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 1%, 갈산 2.8%, EDTA 0.01%, 탈 이온수 83.4%,
    제제 BC
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 3%, 갈산 2.8%, EDTA 0.01%, 탈이온수 81.4%,
    제제 BD
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 5%, 갈산 2.8%, EDTA 0.01%, 탈이온수 74.4%, pH 10.3,
    제제 BE
    MEA 8.8%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, 탈이온수 80.91%,
    제제 BF
    MEA 4.5%, TMAH 2.5%, 아스코르브산 1.75%, TAZ 1%, 탈이온수 90.25%, pH 11.4,
    제제 BG
    MEA 4.5%, TMAH 2.5%, 아스코르브산 1%, 갈산 1.75%, TAZ 1%, 탈이온수 89.25%, pH 10.8,
    제제 BH
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, BTA 1.6%, EDTA 0.01%, 탈이온수 82.8%,
    제제 BI
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, BTA 8.5%, EDTA 0.01%, 탈이온수 75.9%,
    제제 BJ
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, 카복시 BTA 2.2%, EDTA 0.01%, 탈이온수 82.8%,
    제제 BK
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, 카복시 BTA 11.8%, EDTA 0.01%, 탈이온수 72.6%,
    제제 BL
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, ATA 1.2%, EDTA 0.01%, 탈이온수 83.2%,
    제제 BM
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, ATA 6.1%, EDTA 0.01%, 탈이온수 78.3%,
    제제 BN
    MEA 9%, TMAH 2.5%, 아스코르브산 3.5%, TAZ 1.0%, 탈이온수 84.0%,
    제제 BO
    MEA 4.5%, TMAH 2.5%, 아스코르브산 1.75%, TAZ 5.0%, 탈이온수 86.25%,
    제제 BO
    MEA 9%, TMAH 2.5%, 아스코르브산 3.5%, TAZ 5.0%, 탈이온수 80.0%,
    제제 BO
    MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2.0%, 탈이온수 81.5%, pH 11.6,
    제제 BR
    MEA 9%, TMAH 5%, 아스코르브산 2%, 갈산 3.5%, TAZ 2%, DDBSA 0.11%, 탈이온수 78.39%,
    제제 BS
    MEA 9%, TMAH 5%, 아스코르브산 2%, 갈산 3.5%, TAZ 2%, NATROSOL® 250 0.1%, 탈이온수 78.4%,
    제제 BT
    MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2%, NATROSOL® 250 0.1%, 탈이온수 80.4%,
    제제 BU
    MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2%, KLUCEL® EF 0.1%, 탈이온수 80.4%,
    제제 BV
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, NATROSOL® 250 1.00%, 탈이온수 79.89%,
    제제 BW
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, NATROSOL® 250 0.50%, 탈이온수 80.39%,
    제제 BX
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, KLUCEL® EF 1.00%, 탈이온수 79.89%,
    제제 BY
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, KLUCEL® EF 0.50%, 탈이온수 80.39%,
    제제 BZ
    MEA 10.29%, TMAH 5.71%, 아스코르브산 4.00%, TAZ 2.29%, KLUCEL® EF 1.14%, 탈이온수 76.57%,
    제제 CA
    MEA 9%, 아스코르브산 3.5%, TAZ 2%, 도데실벤젠설폰산 0.11%, 탈이온수 85.39%,
    제제 CB
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, 도데실벤젠설폰산 0.11%, 탈이온수 80.78%, pH 12.0,
    제제 CC
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중 량% 아스코르브산, 82.5 중량% H2O,
    제제 CD
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 82.5 중량% H2O,
    제제 CE
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2% 1,2,4-트리아졸, 80.5 중량% H2O,
    제제 CF
    11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 78.5 중량% H2O,
    제제 CG
    11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 2% 1,2,4-트리아졸, 76.5 중량% H2O,
    제제 CH
    7.2 중량% 모노에탄올아민, 4 중량% 테트라메틸암모늄 하이드록사이드, 2.8 중량% 갈산, 1.6 중량% 아스코르브산, 84.4 중량% H2O,
    제제 CI
    7.2 중량% 모노에탄올아민, 4 중량% 테트라메틸암모늄 하이드록사이드, 2.8 중량% 갈산, 1.6 중량% 아스코르브산, 2% 1,2,4-트리아졸, 82.4 중량% H2O,
    제제 CJ
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 아스코르브산, 1% 벤조트리아졸, 81.5 중량% H2O,
    제제 CK
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 1% 벤조트리아졸, 81.5 중량% H2O,
    제제 CL
    11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1% 벤조트리아졸, 77.5 중량% H2O 및
    제제 CM
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1% 벤조트리아졸, 79.5 중량% H2O.
  23. 하나 이상의 용기에, 알칼리 수성 세정 조성물을 형성하기 위한 하기 시약 중 하나 이상을 포함하는 키트로서, 상기 하나 이상의 시약은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 추가 환원제 및 임의로 물로 구성된 군 중에서 선택되며, 상기 기트는 제11항의 알칼리 수성 세정 조성물을 형성하도록 적합하게 되는 것인 키트.
  24. 제23항에 있어서, 알칼리 수성 세정 조성물은 적어도 하나의 환원제를 포함하며, 상기 환원제는 아스코르브산을 포함하는 것인 키트.
  25. 제23항에 있어서, 세정 조성물은 약 5:1 내지 약 50:1의 범위로 희석되는 것인 키트.
  26. 잔사 및 오염물이 위에 있는 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 세정하는 방법으로서, 마이크로일렉트로닉 디바이스로부터 상기 잔사 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로일렉트로닉 디바이스를 알칼리 수성 세정 조성물과 접촉시키는 단계를 포함하며, 여기서 알칼리 수성 세정 조성물은 적어도 하나의 아민, 적어도 하나의 부동태화제, 임의로 적어도 하나의 사급 염기, 임의로 적어도 하나의 환원제 및 물을 포함하는 것인 방법.
  27. 제26항에 있어서, 상기 잔사 및 오염물은 CMP 연마 슬러리로부터의 입자, CMP 연마 슬러리에 존재하는 화학물질, CMP 연마 슬러리의 반응 부산물, 탄소 농후 입자, 연마 패드 입자, 브러시 탈로딩 입자, 구성 입자의 장비 물질, 구리 및 구리 산화물로 구성된 군 중에서 선택되는 CMP후 잔사 및 오염물을 포함하는 것인 방법.
  28. 제26항에 있어서, 상기 접촉 단계는 약 15 초 내지 약 5 분의 시간 동안 수행하는 것인 방법.
  29. 제26항에 있어서, 상기 접촉 단계는 약 2O ℃ 내지 약 50 ℃의 온도에서 수행하는 것인 방법.
  30. 제26항에 있어서, 알칼리 수성 세정 조성물은 적어도 하나의 환원제를 포함하는 것인 방법.
  31. 제30항에 있어서, 적어도 하나의 환원제는 아스코르브산을 포함하는 것인 방법.
  32. 제30항에 있어서, 알칼리 수성 세정 조성물은 이소아스코르브산, 아스코르브산 유도체, 갈산 및 이들의 배합물로 구성된 군 중에서 선택되는 산을 포함한 적어도 하나의 추가 환원제를 포함하는 것인 방법.
  33. 제26항에 있어서, 아민(들) 대 부동태화제(들)의 비가 약 (2-25):(0.05-15)의 범위인 방법.
  34. 제32항에 있어서, 아민(들) 대 총 환원제(들)의 비가 약 (2-25):(0.001-25)의 범위인 방법.
  35. 제26항에 있어서,
    적어도 하나의 아민은 모노에탄올아민, N-메틸에탄올아민 (NMEA), 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디에탄올아민, 1-아미노-2-프로판올, 모노이소프로판올아민, 이소부탄올아민, C2-C8 알칸올아민, 트리에틸렌디아민 및 이들의 배합물로 구성된 군 중에서 선택되는 아민 화합물을 포함하고;
    적어도 하나의 부동태화제는 1,2,4-트리아졸(TAZ), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 하이드록시벤조트리아졸, 2-(5-아미노펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 카복시벤조트리아졸, 할로-벤조트리아졸, 나프토트리아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸(ATA), 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조 티아졸, 트리톨릴 포스페이트, 이미다졸, 인디아졸, 벤조산, 암모늄 벤조에이트 및 이들의 배합물로 구성된 군 중에서 선택되는 종을 포함하는 것인 방법.
  36. 제26항에 있어서, 알칼리 수성 세정 조성물은 적어도 하나의 사급 염기를 포함하며, 여기서 상기 적어도 하나의 사급 염기는 (NR1R2R3R4)OH를 포함하고, 식 중 R1, R2, R3 및 R4는 서로 동일하거나 상이할 수 있으며, 각각 독립적으로 수소, C1-C10 알킬 기 및 아릴 기로 구성된 군 중에서 선택되는 것인 방법.
  37. 제26항에 있어서, 아민(들) 대 사급 염기(들)의 비가 약 (2-25):(0.001-10)의 범위인 방법.
  38. 제26항에 있어서, 상기 조성물은 약 9 내지 약 12의 범위내 pH를 갖는 것인 방법.
  39. 제26항에 있어서, 마이크로일렉트로닉 디바이스는 반도체 기판, 평판 디스플레이 및 미세전자기계 시스템(MEMS)으로 구성된 군 중에서 선택되는 물품을 갖는 것인 방법.
  40. 제26항에 있어서, 알칼리 수성 세정 조성물을 사용시에 또는 사용전에 용매로 희석하는 단계를 더 포함하는 방법.
  41. 제40항에 있어서, 용매는 물을 포함하는 것인 방법.
  42. 제26항에 있어서, 마이크로일렉트로닉 디바이스는 구리-함유 물질을 포함하는 것인 방법.
  43. 제42항에 있어서, 알칼리 수성 세정 조성물은 약 0.5 Å 분-1 내지 약 10 Å 분-1의 구리 정적 에칭율에 효과적인 양으로 존재하는 것인 방법.
  44. 제26항에 있어서, 접촉 단계는 세정 조성물을 마이크로일렉트로닉 디바이스 표면상에 스프레이하는 공정; 마이크로일렉트로닉 디바이스를 충분한 부피의 세정 조성물에 침지시키는 공정; 마이크로일렉트로닉 디바이스 표면을 세정 조성물로 포화된 다른 물질과 접촉시키는 공정; 및 마이크로일렉트로닉 디바이스를 순환 세정 조성물과 접촉시키는 공정으로 구성된 군 중에서 선택되는 공정을 포함하는 것인 방법.
  45. 제26항에 있어서, 마이크로일렉트로닉 디바이스를 세정 조성물과 접촉시킨 후에 탈이온수로 세척하는 단계를 더 포함하는 방법.
  46. 제26항에 있어서, 마이크로일렉트로닉 디바이스는 코발트를 포함하는 것인 방법.
  47. 제26항에 있어서, CMP후 잔사 및 오염물은 코발트를 포함하는 것인 방법.
  48. 제26항에 있어서, 알칼리 수성 세정 조성물은 하기 제제 BA-CM(여기서 모든 백분율은 제제의 총 중량을 기준으로 한 중량%임)으로 구성된 군 중에서 선택되는 조성물을 포함하는 것인 방법:
    제제 BA
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, EDTA 0.01%, 탈이온수 84.4%,
    제제 BB
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 1%, 갈산 2.8%, EDTA 0.01%, 탈이온수 83.4%,
    제제 BC
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 3%, 갈산 2.8%, EDTA 0.01%, 탈이온수 81.4%,
    제제 BD
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, TAZ 5%, 갈산 2.8%, EDTA 0.01%, 탈이온수 74.4%, pH 10.3,
    제제 BE
    MEA 8.8%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, 탈이온수 80.91%,
    제제 BF
    MEA 4.5%, TMAH 2.5%, 아스코르브산 1.75%, TAZ 1%, 탈이온수 90.25%, pH 11.4,
    제제 BG
    MEA 4.5%, TMAH 2.5%, 아스코르브산 1%, 갈산 1.75%, TAZ 1%, 탈이온수 89.25%, pH 10.8,
    제제 BH
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, BTA 1.6%, EDTA 0.01%, 탈이온수 82.8%,
    제제 BI
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, BTA 8.5%, EDTA 0.01%, 탈이온수 75.9%,
    제제 BJ
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, 카복시 BTA 2.2%, EDTA 0.01%, 탈이온수 82.8%,
    제제 BK
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, 카복시 BTA 11.8%, EDTA 0.01%, 탈이온수 72.6%,
    제제 BL
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, ATA 1.2%, EDTA 0.01%, 탈이온수 83.2%,
    제제 BM
    MEA 7.2%, TMAH 4%, 아스코르브산 1.6%, 갈산 2.8%, ATA 6.1%, EDTA 0.01%, 탈이온수 78.3%,
    제제 BN
    MEA 9%, TMAH 2.5%, 아스코르브산 3.5%, TAZ 1.0%, 탈이온수 84.0%,
    제제 BO
    MEA 4.5%, TMAH 2.5%, 아스코르브산 1.75%, TAZ 5.0%, 탈이온수 86.25%,
    제제 BO
    MEA 9%, TMAH 2.5%, 아스코르브산 3.5%, TAZ 5.0%, 탈이온수 80.0%,
    제제 BO
    MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2.0%, 탈이온수 81.5%, pH 11.6,
    제제 BR
    MEA 9%, TMAH 5%, 아스코르브산 2%, 갈산 3.5%, TAZ 2%, DDBSA 0.11%, 탈이온수 78.39%,
    제제 BS
    MEA 9%, TMAH 5%, 아스코르브산 2%, 갈산 3.5%, TAZ 2%, NATROSOL® 250 0.1%, 탈이온수 78.4%,
    제제 BT
    MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2%, NATROSOL® 250 0.1%, 탈이온수 80.4%,
    제제 BU
    MEA 9%, TMAH 5%, 아스코르브산 3.5%, TAZ 2%, KLUCEL® EF 0.1%, 탈이온수 80.4%,
    제제 BV
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, NATROSOL® 250 1.00%, 탈이온수 79.89%,
    제제 BW
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, NATROSOL® 250 0.50%, 탈이온수 80.39%,
    제제 BX
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, KLUCEL® EF 1.00%, 탈이온수 79.89%,
    제제 BY
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, KLUCEL® EF 0.50%, 탈이온수 80.39%,
    제제 BZ
    MEA 10.29%, TMAH 5.71%, 아스코르브산 4.00%, TAZ 2.29%, KLUCEL® EF 1.14%, 탈이온수 76.57%,
    제제 CA
    MEA 9%, 아스코르브산 3.5%, TAZ 2%, 도데실벤젠설폰산 0.11%, 탈이온수 85.39%,
    제제 CB
    MEA 8.82%, TMAH 4.9%, 아스코르브산 3.43%, TAZ 1.96%, 도데실벤젠설폰산 0.11%, 탈이온수 80.78%, pH 12.0,
    제제 CC
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 아스코르브산, 82.5 중량% H2O,
    제제 CD
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 82.5 중량% H2O,
    제제 CE
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2% 1,2,4-트리아졸, 80.5 중량% H2O,
    제제 CF
    11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 78.5 중량% H2O,
    제제 CG
    11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 2% 1,2,4-트리아졸, 76.5 중량% H2O,
    제제 CH
    7.2 중량% 모노에탄올아민, 4 중량% 테트라메틸암모늄 하이드록사이드, 2.8 중량% 갈산, 1.6 중량% 아스코르브산, 84.4 중량% H2O,
    제제 CI
    7.2 중량% 모노에탄올아민, 4 중량% 테트라메틸암모늄 하이드록사이드, 2.8 중량% 갈산, 1.6 중량% 아스코르브산, 2% 1,2,4-트리아졸, 82.4 중량% H2O,
    제제 CJ
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 아스코르브산, 1% 벤조트리아졸, 81.5 중량% H2O,
    제제 CK
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 1% 벤조트리아졸, 81.5 중량% H2O,
    제제 CL
    11 중량% 1-아미노-2-프로판올, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1% 벤조트리아졸, 77.5 중량% H2O, 및
    제제 CM
    9 중량% 모노에탄올아민, 5 중량% 테트라메틸암모늄 하이드록사이드, 3.5 중량% 갈산, 2 중량% 아스코르브산, 1% 벤조트리아졸, 79.5 중량% H2O.
KR1020077030418A 2005-05-26 2006-05-25 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법 KR20080025697A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US68481205P 2005-05-26 2005-05-26
US60/684,812 2005-05-26
US73603605P 2005-11-10 2005-11-10
US60/736,036 2005-11-10
US77312606P 2006-02-13 2006-02-13
US60/773,126 2006-02-13

Publications (1)

Publication Number Publication Date
KR20080025697A true KR20080025697A (ko) 2008-03-21

Family

ID=37452361

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077030418A KR20080025697A (ko) 2005-05-26 2006-05-25 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법

Country Status (7)

Country Link
EP (1) EP1888735B1 (ko)
JP (1) JP2008543060A (ko)
KR (1) KR20080025697A (ko)
IL (1) IL187566A0 (ko)
SG (1) SG162725A1 (ko)
TW (3) TWI507521B (ko)
WO (1) WO2006127885A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103593067A (zh) * 2009-07-21 2014-02-19 Pnf有限公司 信号发生装置
KR101406761B1 (ko) * 2012-10-22 2014-07-02 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정방법
KR20190126320A (ko) * 2017-03-17 2019-11-11 미쯔비시 케미컬 주식회사 반도체 디바이스용 기판의 세정제 조성물, 반도체 디바이스용 기판의 세정 방법, 반도체 디바이스용 기판의 제조 방법 및 반도체 디바이스용 기판

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
TWI564387B (zh) * 2007-05-17 2017-01-01 恩特葛瑞斯股份有限公司 用於移除化學機械研磨後殘留物之清洗組成物、套組及方法
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
EP2449076B1 (en) 2009-06-30 2016-09-21 Basf Se Aqueous alkaline cleaning compositions and methods of their use
FR2965260B1 (fr) * 2010-09-27 2012-08-31 Arkema France Composition neutralisante et biostatique pour fluides aqueux
JP5808649B2 (ja) * 2010-11-12 2015-11-10 三洋化成工業株式会社 電子材料用洗浄剤
JP2012182158A (ja) * 2011-02-08 2012-09-20 Hitachi Chem Co Ltd 研磨液、及びこの研磨液を用いた基板の研磨方法
EP2688688A4 (en) 2011-03-21 2014-12-31 Basf Se AQUEOUS CLEANING COMPOSITION WITHOUT NITROGEN, PREPARATION AND USE THEREOF
CN102304327A (zh) * 2011-07-05 2012-01-04 复旦大学 一种基于金属Co的抛光工艺的抛光液
JP6066552B2 (ja) 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
JP6203525B2 (ja) 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN104427781B (zh) * 2013-09-11 2019-05-17 花王株式会社 树脂掩模层用洗涤剂组合物及电路基板的制造方法
US9862914B2 (en) 2013-11-08 2018-01-09 Wako Pure Chemical Industries, Ltd. Cleaning agent for semiconductor substrates and method for processing semiconductor substrate surface
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) * 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
EP3188403B1 (en) 2014-08-29 2021-10-06 National Institute of Advanced Industrial Science and Technology Method for controlling error rate of device-specific information, and program for controlling error rate of device-specific information
KR102463341B1 (ko) * 2015-01-13 2022-11-04 씨엠씨 머티리얼즈, 인코포레이티드 Cmp 후 반도체 웨이퍼 세정용 세정 조성물 및 방법
JP2017011225A (ja) * 2015-06-25 2017-01-12 株式会社フジミインコーポレーテッド 研磨方法及び不純物除去用組成物並びに基板及びその製造方法
US10400167B2 (en) * 2015-11-25 2019-09-03 Versum Materials Us, Llc Etching compositions and methods for using same
JP2018026461A (ja) * 2016-08-10 2018-02-15 株式会社荏原製作所 化学機械研磨後の基板洗浄技術
WO2019208614A1 (ja) * 2018-04-26 2019-10-31 三菱瓦斯化学株式会社 樹脂組成物、積層体、樹脂組成物層付き半導体ウェハ、樹脂組成物層付き半導体搭載用基板、及び半導体装置
JP7220040B2 (ja) 2018-09-20 2023-02-09 関東化学株式会社 洗浄液組成物
CN113774391B (zh) * 2021-08-12 2023-08-04 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液的应用
CN113774392B (zh) * 2021-08-12 2023-12-01 上海新阳半导体材料股份有限公司 一种用于化学机械抛光后的清洗液及其制备方法
CN113774390B (zh) * 2021-08-12 2023-08-04 上海新阳半导体材料股份有限公司 一种用于化学机械抛光后的清洗液及其制备方法
WO2023183316A1 (en) * 2022-03-23 2023-09-28 Entegris, Inc. Post cmp cleaning composition
WO2023232682A1 (en) 2022-05-31 2023-12-07 Basf Se Composition, its use and a process for cleaning substrates comprising cobalt and copper

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6723691B2 (en) * 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) * 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US7375066B2 (en) * 2000-03-21 2008-05-20 Wako Pure Chemical Industries, Ltd. Semiconductor wafer cleaning agent and cleaning method
TW554258B (en) * 2000-11-30 2003-09-21 Tosoh Corp Resist stripper
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103593067A (zh) * 2009-07-21 2014-02-19 Pnf有限公司 信号发生装置
KR101406761B1 (ko) * 2012-10-22 2014-07-02 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정방법
KR20190126320A (ko) * 2017-03-17 2019-11-11 미쯔비시 케미컬 주식회사 반도체 디바이스용 기판의 세정제 조성물, 반도체 디바이스용 기판의 세정 방법, 반도체 디바이스용 기판의 제조 방법 및 반도체 디바이스용 기판

Also Published As

Publication number Publication date
TW200712198A (en) 2007-04-01
IL187566A0 (en) 2008-03-20
SG162725A1 (en) 2010-07-29
TWI507521B (zh) 2015-11-11
TW201600594A (zh) 2016-01-01
JP2008543060A (ja) 2008-11-27
EP1888735A4 (en) 2011-01-19
EP1888735A1 (en) 2008-02-20
EP1888735B1 (en) 2013-08-07
TWI418622B (zh) 2013-12-11
WO2006127885A1 (en) 2006-11-30
TWI576428B (zh) 2017-04-01
TW201329225A (zh) 2013-07-16

Similar Documents

Publication Publication Date Title
TWI507521B (zh) 銅鈍化之後段化學機械拋光清洗組成物及利用該組成物之方法
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4942275B2 (ja) 化学的機械的平坦化(cmp)後の清浄化組成物
TWI703210B (zh) 化學機械研磨後調配物及使用方法
KR101331747B1 (ko) 반도체 기판 처리 조성물
US11149235B2 (en) Cleaning composition with corrosion inhibitor
JP2009013417A (ja) 化学機械平坦化(cmp)後の洗浄組成物
KR102314305B1 (ko) 세정용 조성물 및 세정 방법
WO2008144501A2 (en) New antioxidants for post-cmp cleaning formulations
WO2003065433A1 (fr) Detergent liquide pour substrat de dispositif semi-conducteur et procede de nettoyage
TW200538544A (en) Alkaline post-chemical mechanical planarization cleaning compositions
WO2015119925A1 (en) Non-amine post-cmp compositions and method of use
JP2003289060A (ja) 半導体デバイス用基板の洗浄液および洗浄方法
CN101233221A (zh) 铜钝化的化学机械抛光后清洗组合物及使用方法
JP2019502802A (ja) 化学機械研磨後の洗浄組成物
KR20180091928A (ko) 화학적-기계적-연마 후 세척용 조성물
CN112996893A (zh) 化学机械研磨后(post cmp)清洁组合物
KR20040087893A (ko) 반도체 기판 세정액 조성물
KR101572639B1 (ko) Cmp 후 세정액 조성물
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR20190016093A (ko) 포스트 화학적-기계적-폴리싱 세정용 조성물
JP4485786B2 (ja) 半導体基板用洗浄液
US8067352B2 (en) Aqueous cleaning composition for semiconductor copper processing
CN116438284A (zh) 微电子装置清洁组合物
JP2015203047A (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid